design updated
diff --git a/def/rest_top.def b/def/rest_top.def
index 435c4ae..8a92d3c 100644
--- a/def/rest_top.def
+++ b/def/rest_top.def
Binary files differ
diff --git a/def/user_project_wrapper.def b/def/user_project_wrapper.def
index 95b72e0..a9fcfcd 100644
--- a/def/user_project_wrapper.def
+++ b/def/user_project_wrapper.def
@@ -1304,9 +1304,8 @@
 TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
 GCELLGRID X 0 DO 423 STEP 6900 ;
 GCELLGRID Y 0 DO 511 STEP 6900 ;
-VIAS 4 ;
+VIAS 3 ;
     - via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800  + LAYERS met4 via4 met5  + CUTSPACING 800 800  + ENCLOSURE 350 350 350 350  + ROWCOL 2 2  ;
-    - via4_4000x3100 + VIARULE M4M5_PR + CUTSIZE 800 800  + LAYERS met4 via4 met5  + CUTSPACING 800 800  + ENCLOSURE 800 350 800 350  + ROWCOL 2 2  ;
     - via4_1740x3100 + VIARULE M4M5_PR + CUTSIZE 800 800  + LAYERS met4 via4 met5  + CUTSPACING 800 800  + ENCLOSURE 470 350 470 350  + ROWCOL 2 1  ;
     - via4_2000x3100 + VIARULE M4M5_PR + CUTSIZE 800 800  + LAYERS met4 via4 met5  + CUTSPACING 800 800  + ENCLOSURE 600 350 600 350  + ROWCOL 2 1  ;
 END VIAS
@@ -3441,27 +3440,24 @@
     - vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
       + PORT
         + LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
-        + LAYER met4 ( -181550 840400 ) ( -178450 1769310 )
-        + LAYER met4 ( -361550 840400 ) ( -358450 1769310 )
-        + LAYER met4 ( -541550 840400 ) ( -538450 1769310 )
-        + LAYER met4 ( -721550 840400 ) ( -718450 1769310 )
-        + LAYER met4 ( -901550 840400 ) ( -898450 1769310 )
-        + LAYER met4 ( -1081550 840400 ) ( -1078450 1769310 )
-        + LAYER met4 ( -1261550 840400 ) ( -1258450 1769310 )
-        + LAYER met4 ( -1441550 840400 ) ( -1438450 1769310 )
-        + LAYER met4 ( -1621550 840400 ) ( -1618450 1769310 )
-        + LAYER met4 ( -1801550 840400 ) ( -1798450 1769310 )
-        + LAYER met4 ( -1981550 840400 ) ( -1978450 1769310 )
-        + LAYER met4 ( -2161550 840400 ) ( -2158450 1769310 )
-        + LAYER met4 ( -2341550 840400 ) ( -2338450 1769310 )
-        + LAYER met4 ( -2521550 840400 ) ( -2518450 1769310 )
+        + LAYER met4 ( -181550 -1769310 ) ( -178450 1769310 )
+        + LAYER met4 ( -361550 -1769310 ) ( -358450 1769310 )
+        + LAYER met4 ( -541550 -1769310 ) ( -538450 1769310 )
+        + LAYER met4 ( -721550 1145380 ) ( -718450 1769310 )
+        + LAYER met4 ( -901550 1145380 ) ( -898450 1769310 )
+        + LAYER met4 ( -1081550 1145380 ) ( -1078450 1769310 )
+        + LAYER met4 ( -1261550 1145380 ) ( -1258450 1769310 )
+        + LAYER met4 ( -1441550 1145380 ) ( -1438450 1769310 )
+        + LAYER met4 ( -1621550 1145380 ) ( -1618450 1769310 )
+        + LAYER met4 ( -1801550 1145380 ) ( -1798450 1769310 )
+        + LAYER met4 ( -1981550 1145380 ) ( -1978450 1769310 )
+        + LAYER met4 ( -2161550 1145380 ) ( -2158450 1769310 )
+        + LAYER met4 ( -2341550 1145380 ) ( -2338450 1769310 )
+        + LAYER met4 ( -2521550 1145380 ) ( -2518450 1769310 )
         + LAYER met4 ( -2701550 -1769310 ) ( -2698450 1769310 )
         + LAYER met4 ( -2881550 -1769310 ) ( -2878450 1769310 )
         + LAYER met4 ( 36030 -1764510 ) ( 39130 1764510 )
         + LAYER met4 ( -2900550 -1764510 ) ( -2897450 1764510 )
-        + LAYER met4 ( -181550 -1769310 ) ( -178450 -1469840 )
-        + LAYER met4 ( -361550 -1769310 ) ( -358450 -1469840 )
-        + LAYER met4 ( -541550 -1769310 ) ( -538450 -1469840 )
         + LAYER met4 ( -721550 -1769310 ) ( -718450 -1469840 )
         + LAYER met4 ( -901550 -1769310 ) ( -898450 -1469840 )
         + LAYER met4 ( -1081550 -1769310 ) ( -1078450 -1469840 )
@@ -3499,27 +3495,24 @@
     - vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
       + PORT
         + LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
-        + LAYER met4 ( -181550 840400 ) ( -178450 1778910 )
-        + LAYER met4 ( -361550 840400 ) ( -358450 1778910 )
-        + LAYER met4 ( -541550 840400 ) ( -538450 1778910 )
-        + LAYER met4 ( -721550 840400 ) ( -718450 1778910 )
-        + LAYER met4 ( -901550 840400 ) ( -898450 1778910 )
-        + LAYER met4 ( -1081550 840400 ) ( -1078450 1778910 )
-        + LAYER met4 ( -1261550 840400 ) ( -1258450 1778910 )
-        + LAYER met4 ( -1441550 840400 ) ( -1438450 1778910 )
-        + LAYER met4 ( -1621550 840400 ) ( -1618450 1778910 )
-        + LAYER met4 ( -1801550 840400 ) ( -1798450 1778910 )
-        + LAYER met4 ( -1981550 840400 ) ( -1978450 1778910 )
-        + LAYER met4 ( -2161550 840400 ) ( -2158450 1778910 )
-        + LAYER met4 ( -2341550 840400 ) ( -2338450 1778910 )
-        + LAYER met4 ( -2521550 840400 ) ( -2518450 1778910 )
+        + LAYER met4 ( -181550 -1778910 ) ( -178450 1778910 )
+        + LAYER met4 ( -361550 -1778910 ) ( -358450 1778910 )
+        + LAYER met4 ( -541550 -1778910 ) ( -538450 1778910 )
+        + LAYER met4 ( -721550 1145380 ) ( -718450 1778910 )
+        + LAYER met4 ( -901550 1145380 ) ( -898450 1778910 )
+        + LAYER met4 ( -1081550 1145380 ) ( -1078450 1778910 )
+        + LAYER met4 ( -1261550 1145380 ) ( -1258450 1778910 )
+        + LAYER met4 ( -1441550 1145380 ) ( -1438450 1778910 )
+        + LAYER met4 ( -1621550 1145380 ) ( -1618450 1778910 )
+        + LAYER met4 ( -1801550 1145380 ) ( -1798450 1778910 )
+        + LAYER met4 ( -1981550 1145380 ) ( -1978450 1778910 )
+        + LAYER met4 ( -2161550 1145380 ) ( -2158450 1778910 )
+        + LAYER met4 ( -2341550 1145380 ) ( -2338450 1778910 )
+        + LAYER met4 ( -2521550 1145380 ) ( -2518450 1778910 )
         + LAYER met4 ( -2701550 -1778910 ) ( -2698450 1778910 )
         + LAYER met4 ( -2881550 -1778910 ) ( -2878450 1778910 )
         + LAYER met4 ( 27030 -1774110 ) ( 30130 1774110 )
         + LAYER met4 ( -2928750 -1774110 ) ( -2925650 1774110 )
-        + LAYER met4 ( -181550 -1778910 ) ( -178450 -1469840 )
-        + LAYER met4 ( -361550 -1778910 ) ( -358450 -1469840 )
-        + LAYER met4 ( -541550 -1778910 ) ( -538450 -1469840 )
         + LAYER met4 ( -721550 -1778910 ) ( -718450 -1469840 )
         + LAYER met4 ( -901550 -1778910 ) ( -898450 -1469840 )
         + LAYER met4 ( -1081550 -1778910 ) ( -1078450 -1469840 )
@@ -3557,25 +3550,23 @@
     - vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
       + PORT
         + LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
-        + LAYER met4 ( -181550 840400 ) ( -178450 1788510 )
-        + LAYER met4 ( -361550 840400 ) ( -358450 1788510 )
-        + LAYER met4 ( -541550 840400 ) ( -538450 1788510 )
-        + LAYER met4 ( -721550 840400 ) ( -718450 1788510 )
-        + LAYER met4 ( -901550 840400 ) ( -898450 1788510 )
-        + LAYER met4 ( -1081550 840400 ) ( -1078450 1788510 )
-        + LAYER met4 ( -1261550 840400 ) ( -1258450 1788510 )
-        + LAYER met4 ( -1441550 840400 ) ( -1438450 1788510 )
-        + LAYER met4 ( -1621550 840400 ) ( -1618450 1788510 )
-        + LAYER met4 ( -1801550 840400 ) ( -1798450 1788510 )
-        + LAYER met4 ( -1981550 840400 ) ( -1978450 1788510 )
-        + LAYER met4 ( -2161550 840400 ) ( -2158450 1788510 )
-        + LAYER met4 ( -2341550 840400 ) ( -2338450 1788510 )
+        + LAYER met4 ( -181550 -1788510 ) ( -178450 1788510 )
+        + LAYER met4 ( -361550 -1788510 ) ( -358450 1788510 )
+        + LAYER met4 ( -541550 1145380 ) ( -538450 1788510 )
+        + LAYER met4 ( -721550 1145380 ) ( -718450 1788510 )
+        + LAYER met4 ( -901550 1145380 ) ( -898450 1788510 )
+        + LAYER met4 ( -1081550 1145380 ) ( -1078450 1788510 )
+        + LAYER met4 ( -1261550 1145380 ) ( -1258450 1788510 )
+        + LAYER met4 ( -1441550 1145380 ) ( -1438450 1788510 )
+        + LAYER met4 ( -1621550 1145380 ) ( -1618450 1788510 )
+        + LAYER met4 ( -1801550 1145380 ) ( -1798450 1788510 )
+        + LAYER met4 ( -1981550 1145380 ) ( -1978450 1788510 )
+        + LAYER met4 ( -2161550 1145380 ) ( -2158450 1788510 )
+        + LAYER met4 ( -2341550 1145380 ) ( -2338450 1788510 )
         + LAYER met4 ( -2521550 -1788510 ) ( -2518450 1788510 )
         + LAYER met4 ( -2701550 -1788510 ) ( -2698450 1788510 )
         + LAYER met4 ( 198030 -1783710 ) ( 201130 1783710 )
         + LAYER met4 ( -2776950 -1783710 ) ( -2773850 1783710 )
-        + LAYER met4 ( -181550 -1788510 ) ( -178450 -1469840 )
-        + LAYER met4 ( -361550 -1788510 ) ( -358450 -1469840 )
         + LAYER met4 ( -541550 -1788510 ) ( -538450 -1469840 )
         + LAYER met4 ( -721550 -1788510 ) ( -718450 -1469840 )
         + LAYER met4 ( -901550 -1788510 ) ( -898450 -1469840 )
@@ -3613,25 +3604,23 @@
     - vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
       + PORT
         + LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
-        + LAYER met4 ( -181550 840400 ) ( -178450 1798110 )
-        + LAYER met4 ( -361550 840400 ) ( -358450 1798110 )
-        + LAYER met4 ( -541550 840400 ) ( -538450 1798110 )
-        + LAYER met4 ( -721550 840400 ) ( -718450 1798110 )
-        + LAYER met4 ( -901550 840400 ) ( -898450 1798110 )
-        + LAYER met4 ( -1081550 840400 ) ( -1078450 1798110 )
-        + LAYER met4 ( -1261550 840400 ) ( -1258450 1798110 )
-        + LAYER met4 ( -1441550 840400 ) ( -1438450 1798110 )
-        + LAYER met4 ( -1621550 840400 ) ( -1618450 1798110 )
-        + LAYER met4 ( -1801550 840400 ) ( -1798450 1798110 )
-        + LAYER met4 ( -1981550 840400 ) ( -1978450 1798110 )
-        + LAYER met4 ( -2161550 840400 ) ( -2158450 1798110 )
-        + LAYER met4 ( -2341550 840400 ) ( -2338450 1798110 )
+        + LAYER met4 ( -181550 -1798110 ) ( -178450 1798110 )
+        + LAYER met4 ( -361550 -1798110 ) ( -358450 1798110 )
+        + LAYER met4 ( -541550 1145380 ) ( -538450 1798110 )
+        + LAYER met4 ( -721550 1145380 ) ( -718450 1798110 )
+        + LAYER met4 ( -901550 1145380 ) ( -898450 1798110 )
+        + LAYER met4 ( -1081550 1145380 ) ( -1078450 1798110 )
+        + LAYER met4 ( -1261550 1145380 ) ( -1258450 1798110 )
+        + LAYER met4 ( -1441550 1145380 ) ( -1438450 1798110 )
+        + LAYER met4 ( -1621550 1145380 ) ( -1618450 1798110 )
+        + LAYER met4 ( -1801550 1145380 ) ( -1798450 1798110 )
+        + LAYER met4 ( -1981550 1145380 ) ( -1978450 1798110 )
+        + LAYER met4 ( -2161550 1145380 ) ( -2158450 1798110 )
+        + LAYER met4 ( -2341550 1145380 ) ( -2338450 1798110 )
         + LAYER met4 ( -2521550 -1798110 ) ( -2518450 1798110 )
         + LAYER met4 ( -2701550 -1798110 ) ( -2698450 1798110 )
         + LAYER met4 ( 189030 -1793310 ) ( 192130 1793310 )
         + LAYER met4 ( -2805150 -1793310 ) ( -2802050 1793310 )
-        + LAYER met4 ( -181550 -1798110 ) ( -178450 -1469840 )
-        + LAYER met4 ( -361550 -1798110 ) ( -358450 -1469840 )
         + LAYER met4 ( -541550 -1798110 ) ( -538450 -1469840 )
         + LAYER met4 ( -721550 -1798110 ) ( -718450 -1469840 )
         + LAYER met4 ( -901550 -1798110 ) ( -898450 -1469840 )
@@ -3670,24 +3659,22 @@
       + PORT
         + LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
         + LAYER met4 ( -115930 -1788510 ) ( -112830 1788510 )
-        + LAYER met4 ( -295930 840400 ) ( -292830 1788510 )
-        + LAYER met4 ( -475930 840400 ) ( -472830 1788510 )
-        + LAYER met4 ( -655930 840400 ) ( -652830 1788510 )
-        + LAYER met4 ( -835930 840400 ) ( -832830 1788510 )
-        + LAYER met4 ( -1015930 840400 ) ( -1012830 1788510 )
-        + LAYER met4 ( -1195930 840400 ) ( -1192830 1788510 )
-        + LAYER met4 ( -1375930 840400 ) ( -1372830 1788510 )
-        + LAYER met4 ( -1555930 840400 ) ( -1552830 1788510 )
-        + LAYER met4 ( -1735930 840400 ) ( -1732830 1788510 )
-        + LAYER met4 ( -1915930 840400 ) ( -1912830 1788510 )
-        + LAYER met4 ( -2095930 840400 ) ( -2092830 1788510 )
-        + LAYER met4 ( -2275930 840400 ) ( -2272830 1788510 )
-        + LAYER met4 ( -2455930 840400 ) ( -2452830 1788510 )
+        + LAYER met4 ( -295930 -1788510 ) ( -292830 1788510 )
+        + LAYER met4 ( -475930 -1788510 ) ( -472830 1788510 )
+        + LAYER met4 ( -655930 1145380 ) ( -652830 1788510 )
+        + LAYER met4 ( -835930 1145380 ) ( -832830 1788510 )
+        + LAYER met4 ( -1015930 1145380 ) ( -1012830 1788510 )
+        + LAYER met4 ( -1195930 1145380 ) ( -1192830 1788510 )
+        + LAYER met4 ( -1375930 1145380 ) ( -1372830 1788510 )
+        + LAYER met4 ( -1555930 1145380 ) ( -1552830 1788510 )
+        + LAYER met4 ( -1735930 1145380 ) ( -1732830 1788510 )
+        + LAYER met4 ( -1915930 1145380 ) ( -1912830 1788510 )
+        + LAYER met4 ( -2095930 1145380 ) ( -2092830 1788510 )
+        + LAYER met4 ( -2275930 1145380 ) ( -2272830 1788510 )
+        + LAYER met4 ( -2455930 1145380 ) ( -2452830 1788510 )
         + LAYER met4 ( -2635930 -1788510 ) ( -2632830 1788510 )
         + LAYER met4 ( -2815930 -1788510 ) ( -2812830 1788510 )
         + LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
-        + LAYER met4 ( -295930 -1788510 ) ( -292830 -1469840 )
-        + LAYER met4 ( -475930 -1788510 ) ( -472830 -1469840 )
         + LAYER met4 ( -655930 -1788510 ) ( -652830 -1469840 )
         + LAYER met4 ( -835930 -1788510 ) ( -832830 -1469840 )
         + LAYER met4 ( -1015930 -1788510 ) ( -1012830 -1469840 )
@@ -3725,25 +3712,22 @@
       + PORT
         + LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
         + LAYER met4 ( -106930 -1798110 ) ( -103830 1798110 )
-        + LAYER met4 ( -286930 840400 ) ( -283830 1798110 )
-        + LAYER met4 ( -466930 840400 ) ( -463830 1798110 )
-        + LAYER met4 ( -646930 840400 ) ( -643830 1798110 )
-        + LAYER met4 ( -826930 840400 ) ( -823830 1798110 )
-        + LAYER met4 ( -1006930 840400 ) ( -1003830 1798110 )
-        + LAYER met4 ( -1186930 840400 ) ( -1183830 1798110 )
-        + LAYER met4 ( -1366930 840400 ) ( -1363830 1798110 )
-        + LAYER met4 ( -1546930 840400 ) ( -1543830 1798110 )
-        + LAYER met4 ( -1726930 840400 ) ( -1723830 1798110 )
-        + LAYER met4 ( -1906930 840400 ) ( -1903830 1798110 )
-        + LAYER met4 ( -2086930 840400 ) ( -2083830 1798110 )
-        + LAYER met4 ( -2266930 840400 ) ( -2263830 1798110 )
-        + LAYER met4 ( -2446930 840400 ) ( -2443830 1798110 )
+        + LAYER met4 ( -286930 -1798110 ) ( -283830 1798110 )
+        + LAYER met4 ( -466930 -1798110 ) ( -463830 1798110 )
+        + LAYER met4 ( -646930 -1798110 ) ( -643830 1798110 )
+        + LAYER met4 ( -826930 1145380 ) ( -823830 1798110 )
+        + LAYER met4 ( -1006930 1145380 ) ( -1003830 1798110 )
+        + LAYER met4 ( -1186930 1145380 ) ( -1183830 1798110 )
+        + LAYER met4 ( -1366930 1145380 ) ( -1363830 1798110 )
+        + LAYER met4 ( -1546930 1145380 ) ( -1543830 1798110 )
+        + LAYER met4 ( -1726930 1145380 ) ( -1723830 1798110 )
+        + LAYER met4 ( -1906930 1145380 ) ( -1903830 1798110 )
+        + LAYER met4 ( -2086930 1145380 ) ( -2083830 1798110 )
+        + LAYER met4 ( -2266930 1145380 ) ( -2263830 1798110 )
+        + LAYER met4 ( -2446930 1145380 ) ( -2443830 1798110 )
         + LAYER met4 ( -2626930 -1798110 ) ( -2623830 1798110 )
         + LAYER met4 ( -2806930 -1798110 ) ( -2803830 1798110 )
         + LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
-        + LAYER met4 ( -286930 -1798110 ) ( -283830 -1469840 )
-        + LAYER met4 ( -466930 -1798110 ) ( -463830 -1469840 )
-        + LAYER met4 ( -646930 -1798110 ) ( -643830 -1469840 )
         + LAYER met4 ( -826930 -1798110 ) ( -823830 -1469840 )
         + LAYER met4 ( -1006930 -1798110 ) ( -1003830 -1469840 )
         + LAYER met4 ( -1186930 -1798110 ) ( -1183830 -1469840 )
@@ -3780,24 +3764,22 @@
       + PORT
         + LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
         + LAYER met4 ( -133930 -1769310 ) ( -130830 1769310 )
-        + LAYER met4 ( -313930 840400 ) ( -310830 1769310 )
-        + LAYER met4 ( -493930 840400 ) ( -490830 1769310 )
-        + LAYER met4 ( -673930 840400 ) ( -670830 1769310 )
-        + LAYER met4 ( -853930 840400 ) ( -850830 1769310 )
-        + LAYER met4 ( -1033930 840400 ) ( -1030830 1769310 )
-        + LAYER met4 ( -1213930 840400 ) ( -1210830 1769310 )
-        + LAYER met4 ( -1393930 840400 ) ( -1390830 1769310 )
-        + LAYER met4 ( -1573930 840400 ) ( -1570830 1769310 )
-        + LAYER met4 ( -1753930 840400 ) ( -1750830 1769310 )
-        + LAYER met4 ( -1933930 840400 ) ( -1930830 1769310 )
-        + LAYER met4 ( -2113930 840400 ) ( -2110830 1769310 )
-        + LAYER met4 ( -2293930 840400 ) ( -2290830 1769310 )
-        + LAYER met4 ( -2473930 840400 ) ( -2470830 1769310 )
+        + LAYER met4 ( -313930 -1769310 ) ( -310830 1769310 )
+        + LAYER met4 ( -493930 -1769310 ) ( -490830 1769310 )
+        + LAYER met4 ( -673930 1145380 ) ( -670830 1769310 )
+        + LAYER met4 ( -853930 1145380 ) ( -850830 1769310 )
+        + LAYER met4 ( -1033930 1145380 ) ( -1030830 1769310 )
+        + LAYER met4 ( -1213930 1145380 ) ( -1210830 1769310 )
+        + LAYER met4 ( -1393930 1145380 ) ( -1390830 1769310 )
+        + LAYER met4 ( -1573930 1145380 ) ( -1570830 1769310 )
+        + LAYER met4 ( -1753930 1145380 ) ( -1750830 1769310 )
+        + LAYER met4 ( -1933930 1145380 ) ( -1930830 1769310 )
+        + LAYER met4 ( -2113930 1145380 ) ( -2110830 1769310 )
+        + LAYER met4 ( -2293930 1145380 ) ( -2290830 1769310 )
+        + LAYER met4 ( -2473930 1145380 ) ( -2470830 1769310 )
         + LAYER met4 ( -2653930 -1769310 ) ( -2650830 1769310 )
         + LAYER met4 ( -2833930 -1769310 ) ( -2830830 1769310 )
         + LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
-        + LAYER met4 ( -313930 -1769310 ) ( -310830 -1469840 )
-        + LAYER met4 ( -493930 -1769310 ) ( -490830 -1469840 )
         + LAYER met4 ( -673930 -1769310 ) ( -670830 -1469840 )
         + LAYER met4 ( -853930 -1769310 ) ( -850830 -1469840 )
         + LAYER met4 ( -1033930 -1769310 ) ( -1030830 -1469840 )
@@ -3835,24 +3817,22 @@
       + PORT
         + LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
         + LAYER met4 ( -124930 -1778910 ) ( -121830 1778910 )
-        + LAYER met4 ( -304930 840400 ) ( -301830 1778910 )
-        + LAYER met4 ( -484930 840400 ) ( -481830 1778910 )
-        + LAYER met4 ( -664930 840400 ) ( -661830 1778910 )
-        + LAYER met4 ( -844930 840400 ) ( -841830 1778910 )
-        + LAYER met4 ( -1024930 840400 ) ( -1021830 1778910 )
-        + LAYER met4 ( -1204930 840400 ) ( -1201830 1778910 )
-        + LAYER met4 ( -1384930 840400 ) ( -1381830 1778910 )
-        + LAYER met4 ( -1564930 840400 ) ( -1561830 1778910 )
-        + LAYER met4 ( -1744930 840400 ) ( -1741830 1778910 )
-        + LAYER met4 ( -1924930 840400 ) ( -1921830 1778910 )
-        + LAYER met4 ( -2104930 840400 ) ( -2101830 1778910 )
-        + LAYER met4 ( -2284930 840400 ) ( -2281830 1778910 )
-        + LAYER met4 ( -2464930 840400 ) ( -2461830 1778910 )
+        + LAYER met4 ( -304930 -1778910 ) ( -301830 1778910 )
+        + LAYER met4 ( -484930 -1778910 ) ( -481830 1778910 )
+        + LAYER met4 ( -664930 1145380 ) ( -661830 1778910 )
+        + LAYER met4 ( -844930 1145380 ) ( -841830 1778910 )
+        + LAYER met4 ( -1024930 1145380 ) ( -1021830 1778910 )
+        + LAYER met4 ( -1204930 1145380 ) ( -1201830 1778910 )
+        + LAYER met4 ( -1384930 1145380 ) ( -1381830 1778910 )
+        + LAYER met4 ( -1564930 1145380 ) ( -1561830 1778910 )
+        + LAYER met4 ( -1744930 1145380 ) ( -1741830 1778910 )
+        + LAYER met4 ( -1924930 1145380 ) ( -1921830 1778910 )
+        + LAYER met4 ( -2104930 1145380 ) ( -2101830 1778910 )
+        + LAYER met4 ( -2284930 1145380 ) ( -2281830 1778910 )
+        + LAYER met4 ( -2464930 1145380 ) ( -2461830 1778910 )
         + LAYER met4 ( -2644930 -1778910 ) ( -2641830 1778910 )
         + LAYER met4 ( -2824930 -1778910 ) ( -2821830 1778910 )
         + LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
-        + LAYER met4 ( -304930 -1778910 ) ( -301830 -1469840 )
-        + LAYER met4 ( -484930 -1778910 ) ( -481830 -1469840 )
         + LAYER met4 ( -664930 -1778910 ) ( -661830 -1469840 )
         + LAYER met4 ( -844930 -1778910 ) ( -841830 -1469840 )
         + LAYER met4 ( -1024930 -1778910 ) ( -1021830 -1469840 )
@@ -4316,86 +4296,54 @@
 END BLOCKAGES
 SPECIALNETS 8 ;
     - vccd1 ( PIN vccd1 ) + USE POWER
-      + ROUTED met4 0 + SHAPE STRIPE ( 2713680 2535880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2692615 2535880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2224095 2535880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2089105 2535880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 1007165 2535880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 870515 2535880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 401995 2535880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 2535880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2713680 2355880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2692615 2355880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2224095 2355880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2089105 2355880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2063365 2355880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1594845 2355880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1501225 2355880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1032705 2355880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1007165 2355880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 870515 2355880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 401995 2355880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 2355880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2713680 2175880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2692615 2175880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2224095 2175880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2089105 2175880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2063365 2175880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1594845 2175880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1501225 2175880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1032705 2175880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1007165 2175880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 870515 2175880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 401995 2175880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 2175880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2713680 1995880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2692615 1995880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2224095 1995880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 870515 1995880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 401995 1995880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 1995880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2713680 1815880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2692615 1815880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2224095 1815880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 870515 1815880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 401995 1815880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 1815880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2713680 1635880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2692615 1635880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2224095 1635880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 870515 1635880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 401995 1635880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 1635880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2713680 1455880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2692615 1455880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2224095 1455880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 870515 1455880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 401995 1455880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 1455880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2713680 1275880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2692615 1275880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2224095 1275880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 870515 1275880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 401995 1275880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 1275880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2713680 1095880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2692615 1095880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2224095 1095880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 870515 1095880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 401995 1095880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 1095880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2713680 915880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2692615 915880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2224095 915880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 870515 915880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 401995 915880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 915880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2713680 735880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 735880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2713680 555880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 555880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2713680 375880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 375780 375880 ) via4_4000x3100
+      + ROUTED met4 0 + SHAPE STRIPE ( 2245575 2715880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2219835 2715880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1751315 2715880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1657695 2715880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1189175 2715880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1163635 2715880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2245575 2535880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2219835 2535880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1751315 2535880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1657695 2535880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1189175 2535880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1163635 2535880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2254930 2175880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1786410 2175880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1622150 2175880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1153630 2175880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2254930 1995880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1786410 1995880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1622150 1995880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1153630 1995880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2254930 1635880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1786410 1635880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1622150 1635880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1153630 1635880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2254930 1455880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1786410 1455880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1622150 1455880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1153630 1455880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2254930 1275880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1786410 1275880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1622150 1275880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1153630 1275880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2254930 1095880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1786410 1095880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1622150 1095880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1153630 1095880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2254930 915880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1786410 915880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1622150 915880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1153630 915880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2254930 735880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1786410 735880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1622150 735880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1153630 735880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2254930 555880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1786410 555880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1622150 555880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1153630 555880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via4_3100x3100
@@ -4477,17 +4425,6 @@
       NEW met4 0 + SHAPE STRIPE ( 2710520 2895880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2530520 2895880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2350520 2895880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2170520 2895880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1990520 2895880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1810520 2895880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1630520 2895880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1450520 2895880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1270520 2895880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1090520 2895880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 910520 2895880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 730520 2895880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 550520 2895880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 370520 2895880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via4_3100x3100
@@ -4496,82 +4433,110 @@
       NEW met4 0 + SHAPE STRIPE ( 2710520 2715880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2530520 2715880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2350520 2715880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2170520 2715880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1990520 2715880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1810520 2715880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1630520 2715880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1450520 2715880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1270520 2715880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1090520 2715880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 910520 2715880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 730520 2715880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 550520 2715880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 370520 2715880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 2535880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 2535880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 2535880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 2355880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 2355880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 2355880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 2175880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 2175880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 2175880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 1995880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 1995880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 1995880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 1815880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 1815880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 1815880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 1635880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 1635880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 1635880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 1455880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 1455880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 1275880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 1275880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 1095880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 1095880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 915880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 915880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 735880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 735880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 555880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 555880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2710520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2530520 375880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2350520 375880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via4_3100x3100
@@ -4655,27 +4620,24 @@
       NEW met5 3100 + SHAPE STRIPE ( -14830 15880 ) ( 2934450 15880 )
       NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
       NEW met4 3100 + SHAPE STRIPE ( 2890520 -9470 ) ( 2890520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2710520 2600240 ) ( 2710520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2530520 2600240 ) ( 2530520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2350520 2600240 ) ( 2350520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2170520 2600240 ) ( 2170520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1990520 2600240 ) ( 1990520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1810520 2600240 ) ( 1810520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1630520 2600240 ) ( 1630520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1450520 2600240 ) ( 1450520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1270520 2600240 ) ( 1270520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1090520 2600240 ) ( 1090520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 910520 2600240 ) ( 910520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 730520 2600240 ) ( 730520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 550520 2600240 ) ( 550520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 370520 2600240 ) ( 370520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2530520 -9470 ) ( 2530520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2350520 -9470 ) ( 2350520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2170520 2905220 ) ( 2170520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1990520 2905220 ) ( 1990520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1810520 2905220 ) ( 1810520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1630520 2905220 ) ( 1630520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1450520 2905220 ) ( 1450520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1270520 2905220 ) ( 1270520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1090520 2905220 ) ( 1090520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 910520 2905220 ) ( 910520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 730520 2905220 ) ( 730520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 550520 2905220 ) ( 550520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 370520 2905220 ) ( 370520 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( 190520 -9470 ) ( 190520 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( 10520 -9470 ) ( 10520 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
       NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 290000 )
-      NEW met4 3100 + SHAPE STRIPE ( 2530520 -9470 ) ( 2530520 290000 )
-      NEW met4 3100 + SHAPE STRIPE ( 2350520 -9470 ) ( 2350520 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2170520 -9470 ) ( 2170520 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 1990520 -9470 ) ( 1990520 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 1810520 -9470 ) ( 1810520 290000 )
@@ -4788,82 +4750,110 @@
       NEW met4 0 + SHAPE STRIPE ( 2729120 2734480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2549120 2734480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2369120 2734480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2189120 2734480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2009120 2734480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1829120 2734480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1649120 2734480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1469120 2734480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1289120 2734480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1109120 2734480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 929120 2734480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 749120 2734480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 569120 2734480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 389120 2734480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 2734480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 2734480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 2554480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 2554480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 2554480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 2554480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 2554480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 2374480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 2374480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 2374480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 2374480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 2374480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 2194480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 2194480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 2194480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 2194480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 2194480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 2014480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 2014480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 2014480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 2014480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 2014480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 1834480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 1834480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 1834480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 1834480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 1834480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 1654480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 1654480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 1654480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 1654480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 1654480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 1474480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 1474480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 1474480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 1474480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 1474480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 1294480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 1294480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 1294480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 1294480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 1294480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 1114480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 1114480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 1114480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 1114480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 1114480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 934480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 934480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 934480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 934480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 934480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 754480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 754480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 754480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 754480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 754480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 574480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 574480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 574480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 574480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 574480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2937700 394480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2729120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2549120 394480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2369120 394480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 209120 394480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -18080 394480 ) via4_3100x3100
@@ -4947,27 +4937,24 @@
       NEW met5 3100 + SHAPE STRIPE ( -24430 34480 ) ( 2944050 34480 )
       NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
       NEW met4 3100 + SHAPE STRIPE ( 2909120 -19070 ) ( 2909120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2729120 2600240 ) ( 2729120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2549120 2600240 ) ( 2549120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2369120 2600240 ) ( 2369120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2189120 2600240 ) ( 2189120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2009120 2600240 ) ( 2009120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1829120 2600240 ) ( 1829120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1649120 2600240 ) ( 1649120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1469120 2600240 ) ( 1469120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1289120 2600240 ) ( 1289120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1109120 2600240 ) ( 1109120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 929120 2600240 ) ( 929120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 749120 2600240 ) ( 749120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 569120 2600240 ) ( 569120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 389120 2600240 ) ( 389120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2549120 -19070 ) ( 2549120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2369120 -19070 ) ( 2369120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2189120 2905220 ) ( 2189120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2009120 2905220 ) ( 2009120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1829120 2905220 ) ( 1829120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1649120 2905220 ) ( 1649120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1469120 2905220 ) ( 1469120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1289120 2905220 ) ( 1289120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1109120 2905220 ) ( 1109120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 929120 2905220 ) ( 929120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 749120 2905220 ) ( 749120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 569120 2905220 ) ( 569120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 389120 2905220 ) ( 389120 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( 209120 -19070 ) ( 209120 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( 29120 -19070 ) ( 29120 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
       NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 290000 )
-      NEW met4 3100 + SHAPE STRIPE ( 2549120 -19070 ) ( 2549120 290000 )
-      NEW met4 3100 + SHAPE STRIPE ( 2369120 -19070 ) ( 2369120 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2189120 -19070 ) ( 2189120 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2009120 -19070 ) ( 2009120 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 1829120 -19070 ) ( 1829120 290000 )
@@ -5074,82 +5061,97 @@
       NEW met4 0 + SHAPE STRIPE ( 2747720 2753080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2567720 2753080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2387720 2753080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2207720 2753080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2027720 2753080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1847720 2753080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1667720 2753080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1487720 2753080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1307720 2753080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1127720 2753080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 947720 2753080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 767720 2753080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 587720 2753080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 407720 2753080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 2753080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 2753080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 2573080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 2573080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 2573080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 2573080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 2573080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 2573080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 2393080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 2393080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 2393080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 2393080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 2393080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 2393080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 2213080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 2213080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 2213080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 2213080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 2213080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 2213080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 2033080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 2033080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 2033080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 2033080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 2033080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 2033080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 1853080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 1853080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 1853080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 1853080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 1853080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 1853080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 1673080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 1673080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 1673080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 1673080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 1673080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 1673080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 1493080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 1493080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 1493080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 1493080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 1493080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 1493080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 1313080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 1313080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 1313080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 1313080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 1313080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 1313080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 1133080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 1133080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 1133080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 1133080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 1133080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 1133080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 953080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 953080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 953080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 953080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 953080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 953080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 773080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 773080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 773080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 773080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 773080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 773080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 593080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 593080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 593080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 593080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 593080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 593080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2947300 413080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2747720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2567720 413080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2387720 413080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 227720 413080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 47720 413080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -27680 413080 ) via4_3100x3100
@@ -5230,25 +5232,23 @@
       NEW met5 3100 + SHAPE STRIPE ( -34030 53080 ) ( 2953650 53080 )
       NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
       NEW met4 3100 + SHAPE STRIPE ( 2747720 -28670 ) ( 2747720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2567720 2600240 ) ( 2567720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2387720 2600240 ) ( 2387720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2207720 2600240 ) ( 2207720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2027720 2600240 ) ( 2027720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1847720 2600240 ) ( 1847720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1667720 2600240 ) ( 1667720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1487720 2600240 ) ( 1487720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1307720 2600240 ) ( 1307720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1127720 2600240 ) ( 1127720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 947720 2600240 ) ( 947720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 767720 2600240 ) ( 767720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 587720 2600240 ) ( 587720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 407720 2600240 ) ( 407720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2567720 -28670 ) ( 2567720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2387720 -28670 ) ( 2387720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2207720 2905220 ) ( 2207720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2027720 2905220 ) ( 2027720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1847720 2905220 ) ( 1847720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1667720 2905220 ) ( 1667720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1487720 2905220 ) ( 1487720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1307720 2905220 ) ( 1307720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1127720 2905220 ) ( 1127720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 947720 2905220 ) ( 947720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 767720 2905220 ) ( 767720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 587720 2905220 ) ( 587720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 407720 2905220 ) ( 407720 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( 227720 -28670 ) ( 227720 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( 47720 -28670 ) ( 47720 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
       NEW met4 3100 + SHAPE STRIPE ( -27680 -23870 ) ( -27680 3543550 )
-      NEW met4 3100 + SHAPE STRIPE ( 2567720 -28670 ) ( 2567720 290000 )
-      NEW met4 3100 + SHAPE STRIPE ( 2387720 -28670 ) ( 2387720 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2207720 -28670 ) ( 2207720 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2027720 -28670 ) ( 2027720 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 1847720 -28670 ) ( 1847720 290000 )
@@ -5355,82 +5355,97 @@
       NEW met4 0 + SHAPE STRIPE ( 2766320 2771680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2586320 2771680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2406320 2771680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2226320 2771680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2046320 2771680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1866320 2771680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1686320 2771680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1506320 2771680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1326320 2771680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1146320 2771680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 966320 2771680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 786320 2771680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 606320 2771680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 426320 2771680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 2771680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 2771680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 2771680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 2591680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 2591680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 2591680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 2591680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 2591680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 2591680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 2411680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 2411680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 2411680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 2411680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 2411680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 2411680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 2231680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 2231680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 2231680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 2231680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 2231680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 2231680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 2051680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 2051680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 2051680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 2051680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 2051680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 2051680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 1871680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 1871680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 1871680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 1871680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 1871680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 1871680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 1691680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 1691680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 1691680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 1691680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 1691680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 1691680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 1511680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 1511680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 1511680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 1511680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 1511680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 1511680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 1331680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 1331680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 1331680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 1331680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 1331680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 1331680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 1151680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 1151680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 1151680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 1151680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 1151680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 1151680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 971680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 971680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 971680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 971680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 971680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 971680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 791680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 791680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 791680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 791680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 791680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 791680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 611680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 611680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 611680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 611680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 611680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 611680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2956900 431680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2766320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2586320 431680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2406320 431680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 246320 431680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 66320 431680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -37280 431680 ) via4_3100x3100
@@ -5511,25 +5526,23 @@
       NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
       NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
       NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2586320 2600240 ) ( 2586320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2406320 2600240 ) ( 2406320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2226320 2600240 ) ( 2226320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2046320 2600240 ) ( 2046320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1866320 2600240 ) ( 1866320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1686320 2600240 ) ( 1686320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1506320 2600240 ) ( 1506320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1326320 2600240 ) ( 1326320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1146320 2600240 ) ( 1146320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 966320 2600240 ) ( 966320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 786320 2600240 ) ( 786320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 606320 2600240 ) ( 606320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 426320 2600240 ) ( 426320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2226320 2905220 ) ( 2226320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2046320 2905220 ) ( 2046320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1866320 2905220 ) ( 1866320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1686320 2905220 ) ( 1686320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1506320 2905220 ) ( 1506320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1326320 2905220 ) ( 1326320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1146320 2905220 ) ( 1146320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 966320 2905220 ) ( 966320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 786320 2905220 ) ( 786320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 606320 2905220 ) ( 606320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 426320 2905220 ) ( 426320 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
       NEW met4 3100 + SHAPE STRIPE ( -37280 -33470 ) ( -37280 3553150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 290000 )
-      NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2226320 -38270 ) ( 2226320 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2046320 -38270 ) ( 2046320 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 1866320 -38270 ) ( 1866320 290000 )
@@ -5618,17 +5631,6 @@
       NEW met4 0 + SHAPE STRIPE ( 2837720 2843080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2657720 2843080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2477720 2843080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2297720 2843080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2117720 2843080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1937720 2843080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1757720 2843080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1577720 2843080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1397720 2843080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1217720 2843080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1037720 2843080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 857720 2843080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 677720 2843080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 497720 2843080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 2843080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 2843080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 2843080 ) via4_3100x3100
@@ -5636,82 +5638,97 @@
       NEW met4 0 + SHAPE STRIPE ( 2837720 2663080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2657720 2663080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2477720 2663080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2297720 2663080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2117720 2663080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1937720 2663080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1757720 2663080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1577720 2663080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1397720 2663080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1217720 2663080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1037720 2663080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 857720 2663080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 677720 2663080 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 497720 2663080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 2663080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 2663080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 2663080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 2483080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 2483080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 2483080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 2483080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 2483080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 2483080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 2303080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 2303080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 2303080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 2303080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 2303080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 2303080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 2123080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 2123080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 2123080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 2123080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 2123080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 2123080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 1943080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 1943080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 1943080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 1943080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 1943080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 1943080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 1763080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 1763080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 1763080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 1763080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 1763080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 1763080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 1583080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 1583080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 1583080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 1583080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 1583080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 1583080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 1403080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 1403080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 1403080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 1403080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 1403080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 1403080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 1223080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 1223080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 1223080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 1223080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 1223080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 1223080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 1043080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 1043080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 1043080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 1043080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 1043080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 1043080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 863080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 863080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 863080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 863080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 863080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 863080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 683080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 683080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 683080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 683080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 683080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 683080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 503080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 503080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 503080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 503080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 503080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 503080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2952100 323080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2837720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2657720 323080 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2477720 323080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 317720 323080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 137720 323080 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -32480 323080 ) via4_3100x3100
@@ -5774,24 +5791,22 @@
       NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
       NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( 2837720 -28670 ) ( 2837720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2657720 2600240 ) ( 2657720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2477720 2600240 ) ( 2477720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2297720 2600240 ) ( 2297720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2117720 2600240 ) ( 2117720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1937720 2600240 ) ( 1937720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1757720 2600240 ) ( 1757720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1577720 2600240 ) ( 1577720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1397720 2600240 ) ( 1397720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1217720 2600240 ) ( 1217720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 1037720 2600240 ) ( 1037720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 857720 2600240 ) ( 857720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 677720 2600240 ) ( 677720 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 497720 2600240 ) ( 497720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2477720 -28670 ) ( 2477720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2297720 2905220 ) ( 2297720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 2117720 2905220 ) ( 2117720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1937720 2905220 ) ( 1937720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1757720 2905220 ) ( 1757720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1577720 2905220 ) ( 1577720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1397720 2905220 ) ( 1397720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1217720 2905220 ) ( 1217720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 1037720 2905220 ) ( 1037720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 857720 2905220 ) ( 857720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 677720 2905220 ) ( 677720 3548350 )
+      NEW met4 3100 + SHAPE STRIPE ( 497720 2905220 ) ( 497720 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( 317720 -28670 ) ( 317720 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( 137720 -28670 ) ( 137720 3548350 )
       NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
-      NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 290000 )
-      NEW met4 3100 + SHAPE STRIPE ( 2477720 -28670 ) ( 2477720 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2297720 -28670 ) ( 2297720 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2117720 -28670 ) ( 2117720 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 1937720 -28670 ) ( 1937720 290000 )
@@ -5881,16 +5896,6 @@
       NEW met4 0 + SHAPE STRIPE ( 2676320 2861680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2496320 2861680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2316320 2861680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2136320 2861680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1956320 2861680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1776320 2861680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1596320 2861680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1416320 2861680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1236320 2861680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1056320 2861680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 876320 2861680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 696320 2861680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 516320 2861680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 2861680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 2861680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 2861680 ) via4_3100x3100
@@ -5899,81 +5904,110 @@
       NEW met4 0 + SHAPE STRIPE ( 2676320 2681680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2496320 2681680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2316320 2681680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2136320 2681680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1956320 2681680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1776320 2681680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1596320 2681680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1416320 2681680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1236320 2681680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1056320 2681680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 876320 2681680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 696320 2681680 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 516320 2681680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 2681680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 2681680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 2681680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 2501680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 2501680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 2501680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 2501680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 2501680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 2501680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 2321680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 2321680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 2321680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 2321680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 2321680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 2321680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 2141680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 2141680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 2141680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 2141680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 2141680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 2141680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 1961680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 1961680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 1961680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 1961680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 1961680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 1961680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 1781680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 1781680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 1781680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 1781680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 1781680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 1781680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 1601680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 1601680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 1601680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 1601680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 1601680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 1601680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 1421680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 1421680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 1421680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 1421680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 1421680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 1421680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 1241680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 1241680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 1241680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 1241680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 1241680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 1241680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 1061680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 1061680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 1061680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 1061680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 1061680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 1061680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 881680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 881680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 881680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 881680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 881680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 881680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 701680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 701680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 701680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 701680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 701680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 701680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 521680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 521680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 521680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 521680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 521680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 521680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2961700 341680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2856320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2676320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2496320 341680 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2316320 341680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 336320 341680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 156320 341680 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -42080 341680 ) via4_3100x3100
@@ -6036,25 +6070,22 @@
       NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
       NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( 2856320 -38270 ) ( 2856320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2676320 2600240 ) ( 2676320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2496320 2600240 ) ( 2496320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2316320 2600240 ) ( 2316320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2136320 2600240 ) ( 2136320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1956320 2600240 ) ( 1956320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1776320 2600240 ) ( 1776320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1596320 2600240 ) ( 1596320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1416320 2600240 ) ( 1416320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1236320 2600240 ) ( 1236320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 1056320 2600240 ) ( 1056320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 876320 2600240 ) ( 876320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 696320 2600240 ) ( 696320 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 516320 2600240 ) ( 516320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2496320 -38270 ) ( 2496320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2316320 -38270 ) ( 2316320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 2136320 2905220 ) ( 2136320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1956320 2905220 ) ( 1956320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1776320 2905220 ) ( 1776320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1596320 2905220 ) ( 1596320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1416320 2905220 ) ( 1416320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1236320 2905220 ) ( 1236320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 1056320 2905220 ) ( 1056320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 876320 2905220 ) ( 876320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 696320 2905220 ) ( 696320 3557950 )
+      NEW met4 3100 + SHAPE STRIPE ( 516320 2905220 ) ( 516320 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( 336320 -38270 ) ( 336320 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( 156320 -38270 ) ( 156320 3557950 )
       NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
-      NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 290000 )
-      NEW met4 3100 + SHAPE STRIPE ( 2496320 -38270 ) ( 2496320 290000 )
-      NEW met4 3100 + SHAPE STRIPE ( 2316320 -38270 ) ( 2316320 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2136320 -38270 ) ( 2136320 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 1956320 -38270 ) ( 1956320 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 1776320 -38270 ) ( 1776320 290000 )
@@ -6066,80 +6097,56 @@
       NEW met4 3100 + SHAPE STRIPE ( 696320 -38270 ) ( 696320 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 516320 -38270 ) ( 516320 290000 ) ;
     - vssd1 ( PIN vssd1 ) + USE GROUND
-      + ROUTED met4 0 + SHAPE STRIPE ( 2719480 2445880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2696015 2445880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2220695 2445880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2092905 2445880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2066765 2445880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1591445 2445880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1504625 2445880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1029305 2445880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1003365 2445880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 873915 2445880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 398595 2445880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 2445880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2719480 2265880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2696015 2265880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2220695 2265880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2092905 2265880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2066765 2265880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1591445 2265880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1504625 2265880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1029305 2265880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 1003365 2265880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 873915 2265880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 398595 2265880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 2265880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2719480 2085880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2696015 2085880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2220695 2085880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2092905 2085880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 1003365 2085880 ) via4_2000x3100
-      NEW met4 0 + SHAPE STRIPE ( 873915 2085880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 398595 2085880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 2085880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2719480 1905880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2696015 1905880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2220695 1905880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 873915 1905880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 398595 1905880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 1905880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2719480 1725880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2696015 1725880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2220695 1725880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 873915 1725880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 398595 1725880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 1725880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2719480 1545880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2696015 1545880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2220695 1545880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 873915 1545880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 398595 1545880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 1545880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2719480 1365880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2696015 1365880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2220695 1365880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 873915 1365880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 398595 1365880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 1365880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2719480 1185880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2696015 1185880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2220695 1185880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 873915 1185880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 398595 1185880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 1185880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2719480 1005880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2696015 1005880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 2220695 1005880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 873915 1005880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 398595 1005880 ) via4_1740x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 1005880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2719480 825880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 825880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2719480 645880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 645880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 2719480 465880 ) via4_4000x3100
-      NEW met4 0 + SHAPE STRIPE ( 369980 465880 ) via4_4000x3100
+      + ROUTED met4 0 + SHAPE STRIPE ( 2249375 2805880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2223235 2805880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1747915 2805880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1661095 2805880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1185775 2805880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1159835 2805880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2249375 2625880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2223235 2625880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1747915 2625880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1661095 2625880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1185775 2625880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1159835 2625880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2249375 2445880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2223235 2445880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1747915 2445880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1661095 2445880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1185775 2445880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1159835 2445880 ) via4_2000x3100
+      NEW met4 0 + SHAPE STRIPE ( 2258330 2265880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1783010 2265880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1625550 2265880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1150230 2265880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2258330 2085880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1783010 2085880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1625550 2085880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1150230 2085880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2258330 1725880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1783010 1725880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1625550 1725880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1150230 1725880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2258330 1545880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1783010 1545880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1625550 1545880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1150230 1545880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2258330 1185880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1783010 1185880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1625550 1185880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1150230 1185880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2258330 1005880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1783010 1005880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1625550 1005880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1150230 1005880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2258330 645880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1783010 645880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1625550 645880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1150230 645880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 2258330 465880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1783010 465880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1625550 465880 ) via4_1740x3100
+      NEW met4 0 + SHAPE STRIPE ( 1150230 465880 ) via4_1740x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 3527600 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2620520 3527600 ) via4_3100x3100
@@ -6216,17 +6223,6 @@
       NEW met4 0 + SHAPE STRIPE ( 2800520 2805880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2620520 2805880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2440520 2805880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2260520 2805880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2080520 2805880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1900520 2805880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1720520 2805880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1540520 2805880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1360520 2805880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1180520 2805880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1000520 2805880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 820520 2805880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 640520 2805880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 460520 2805880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 2805880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 2805880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 2805880 ) via4_3100x3100
@@ -6234,77 +6230,90 @@
       NEW met4 0 + SHAPE STRIPE ( 2800520 2625880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2620520 2625880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2440520 2625880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2260520 2625880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2080520 2625880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1900520 2625880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1720520 2625880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1540520 2625880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1360520 2625880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1180520 2625880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1000520 2625880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 820520 2625880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 640520 2625880 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 460520 2625880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 2625880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 2625880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 2625880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 2445880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 2445880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 2445880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 2445880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 2445880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 2445880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 2265880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 2265880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 2265880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 2265880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 2265880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 2265880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 2085880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 2085880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 2085880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 2085880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 2085880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 2085880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 1905880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 1905880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 1905880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 1905880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 1905880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 1905880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 1725880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 1725880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 1725880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 1725880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 1725880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 1725880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 1545880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 1545880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 1545880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 1545880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 1545880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 1545880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 1365880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 1365880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 1365880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 1365880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 1365880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 1365880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 1185880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 1185880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 1185880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 1185880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 1185880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 1185880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 1005880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 1005880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 1005880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 1005880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 1005880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 1005880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 825880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 825880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 825880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 825880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 825880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 825880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 645880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 645880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 645880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 645880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 645880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 645880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2932900 465880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2800520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2620520 465880 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2440520 465880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 280520 465880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 100520 465880 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -13280 465880 ) via4_3100x3100
@@ -6385,24 +6394,22 @@
       NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
       NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( 2800520 -9470 ) ( 2800520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2620520 2600240 ) ( 2620520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2440520 2600240 ) ( 2440520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2260520 2600240 ) ( 2260520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2080520 2600240 ) ( 2080520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1900520 2600240 ) ( 1900520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1720520 2600240 ) ( 1720520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1540520 2600240 ) ( 1540520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1360520 2600240 ) ( 1360520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1180520 2600240 ) ( 1180520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 1000520 2600240 ) ( 1000520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 820520 2600240 ) ( 820520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 640520 2600240 ) ( 640520 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 460520 2600240 ) ( 460520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2440520 -9470 ) ( 2440520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2260520 2905220 ) ( 2260520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 2080520 2905220 ) ( 2080520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1900520 2905220 ) ( 1900520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1720520 2905220 ) ( 1720520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1540520 2905220 ) ( 1540520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1360520 2905220 ) ( 1360520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1180520 2905220 ) ( 1180520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 1000520 2905220 ) ( 1000520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 820520 2905220 ) ( 820520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 640520 2905220 ) ( 640520 3529150 )
+      NEW met4 3100 + SHAPE STRIPE ( 460520 2905220 ) ( 460520 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( 280520 -9470 ) ( 280520 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( 100520 -9470 ) ( 100520 3529150 )
       NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
-      NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 290000 )
-      NEW met4 3100 + SHAPE STRIPE ( 2440520 -9470 ) ( 2440520 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2260520 -9470 ) ( 2260520 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2080520 -9470 ) ( 2080520 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 1900520 -9470 ) ( 1900520 290000 )
@@ -6491,17 +6498,6 @@
       NEW met4 0 + SHAPE STRIPE ( 2819120 2824480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2639120 2824480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2459120 2824480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2279120 2824480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2099120 2824480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1919120 2824480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1739120 2824480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1559120 2824480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1379120 2824480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1199120 2824480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1019120 2824480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 839120 2824480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 659120 2824480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 479120 2824480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 2824480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 2824480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 2824480 ) via4_3100x3100
@@ -6509,82 +6505,97 @@
       NEW met4 0 + SHAPE STRIPE ( 2819120 2644480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2639120 2644480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2459120 2644480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2279120 2644480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 2099120 2644480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1919120 2644480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1739120 2644480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1559120 2644480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1379120 2644480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1199120 2644480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 1019120 2644480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 839120 2644480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 659120 2644480 ) via4_3100x3100
-      NEW met4 0 + SHAPE STRIPE ( 479120 2644480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 2644480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 2644480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 2644480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 2464480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 2464480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 2464480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 2464480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 2464480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 2464480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 2284480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 2284480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 2284480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 2284480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 2284480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 2284480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 2104480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 2104480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 2104480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 2104480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 2104480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 2104480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 1924480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 1924480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 1924480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 1924480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 1924480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 1924480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 1744480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 1744480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 1744480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 1744480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 1744480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 1744480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 1564480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 1564480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 1564480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 1564480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 1564480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 1564480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 1384480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 1384480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 1384480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 1384480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 1384480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 1384480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 1204480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 1204480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 1204480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 1204480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 1204480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 1204480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 1024480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 1024480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 1024480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 1024480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 1024480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 1024480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 844480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 844480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 844480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 844480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 844480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 844480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 664480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 664480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 664480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 664480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 664480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 664480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 484480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 484480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 484480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 484480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 484480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 484480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2942500 304480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 2819120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2639120 304480 ) via4_3100x3100
+      NEW met4 0 + SHAPE STRIPE ( 2459120 304480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 299120 304480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( 119120 304480 ) via4_3100x3100
       NEW met4 0 + SHAPE STRIPE ( -22880 304480 ) via4_3100x3100
@@ -6647,24 +6658,22 @@
       NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
       NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( 2819120 -19070 ) ( 2819120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2639120 2600240 ) ( 2639120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2459120 2600240 ) ( 2459120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2279120 2600240 ) ( 2279120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2099120 2600240 ) ( 2099120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1919120 2600240 ) ( 1919120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1739120 2600240 ) ( 1739120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1559120 2600240 ) ( 1559120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1379120 2600240 ) ( 1379120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1199120 2600240 ) ( 1199120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 1019120 2600240 ) ( 1019120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 839120 2600240 ) ( 839120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 659120 2600240 ) ( 659120 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 479120 2600240 ) ( 479120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2459120 -19070 ) ( 2459120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2279120 2905220 ) ( 2279120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 2099120 2905220 ) ( 2099120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1919120 2905220 ) ( 1919120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1739120 2905220 ) ( 1739120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1559120 2905220 ) ( 1559120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1379120 2905220 ) ( 1379120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1199120 2905220 ) ( 1199120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 1019120 2905220 ) ( 1019120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 839120 2905220 ) ( 839120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 659120 2905220 ) ( 659120 3538750 )
+      NEW met4 3100 + SHAPE STRIPE ( 479120 2905220 ) ( 479120 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( 299120 -19070 ) ( 299120 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( 119120 -19070 ) ( 119120 3538750 )
       NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
-      NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 290000 )
-      NEW met4 3100 + SHAPE STRIPE ( 2459120 -19070 ) ( 2459120 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2279120 -19070 ) ( 2279120 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 2099120 -19070 ) ( 2099120 290000 )
       NEW met4 3100 + SHAPE STRIPE ( 1919120 -19070 ) ( 1919120 290000 )
@@ -6679,7215 +6688,7412 @@
 END SPECIALNETS
 NETS 637 ;
     - analog_io[0] ( PIN analog_io[0] ) ( mprj analog_io[0] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1199840 ) ( * 1199860 )
-      NEW met3 ( 2729180 1199840 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 1421710 ) ( * 1426980 )
+      + ROUTED met2 ( 2900990 1421710 ) ( * 1426980 )
       NEW met3 ( 2900990 1426980 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1199860 ) ( 2742750 * )
-      NEW met2 ( 2742750 1199860 ) ( * 1421710 )
-      NEW met1 ( 2742750 1421710 ) ( 2900990 * )
+      NEW met3 ( 2286200 1319810 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1319540 ) ( * 1319810 )
+      NEW met3 ( 2289420 1319540 ) ( 2301150 * )
+      NEW met2 ( 2301150 1319540 ) ( * 1421710 )
+      NEW met1 ( 2301150 1421710 ) ( 2900990 * )
       NEW met1 ( 2900990 1421710 ) M1M2_PR
       NEW met2 ( 2900990 1426980 ) M2M3_PR_M
-      NEW met2 ( 2742750 1199860 ) M2M3_PR_M
-      NEW met1 ( 2742750 1421710 ) M1M2_PR ;
+      NEW met2 ( 2301150 1319540 ) M2M3_PR_M
+      NEW met1 ( 2301150 1421710 ) M1M2_PR ;
     - analog_io[10] ( PIN analog_io[10] ) ( mprj analog_io[10] ) + USE SIGNAL
-      + ROUTED met2 ( 2230770 3501490 ) ( * 3517980 0 )
-      NEW met1 ( 2176490 2607970 ) ( 2180170 * )
-      NEW met1 ( 2180170 3501490 ) ( 2230770 * )
-      NEW met2 ( 2176500 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 2176490 2591140 ) ( 2176500 * )
-      NEW met2 ( 2176490 2591140 ) ( * 2607970 )
-      NEW met2 ( 2180170 2607970 ) ( * 3501490 )
-      NEW met1 ( 2230770 3501490 ) M1M2_PR
-      NEW met1 ( 2176490 2607970 ) M1M2_PR
-      NEW met1 ( 2180170 2607970 ) M1M2_PR
-      NEW met1 ( 2180170 3501490 ) M1M2_PR ;
+      + ROUTED met1 ( 1844370 2911590 ) ( 1848970 * )
+      NEW met2 ( 1844370 2896460 ) ( * 2911590 )
+      NEW met2 ( 1844300 2896460 ) ( 1844370 * )
+      NEW met2 ( 1844300 2895100 0 ) ( * 2896460 )
+      NEW met2 ( 1848970 2911590 ) ( * 3501490 )
+      NEW met2 ( 2230770 3501490 ) ( * 3517980 0 )
+      NEW met1 ( 1848970 3501490 ) ( 2230770 * )
+      NEW met1 ( 1848970 2911590 ) M1M2_PR
+      NEW met1 ( 1844370 2911590 ) M1M2_PR
+      NEW met1 ( 1848970 3501490 ) M1M2_PR
+      NEW met1 ( 2230770 3501490 ) M1M2_PR ;
     - analog_io[11] ( PIN analog_io[11] ) ( mprj analog_io[11] ) + USE SIGNAL
-      + ROUTED met1 ( 1904630 2607970 ) ( 1907390 * )
-      NEW met2 ( 1907400 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1907390 2591140 ) ( 1907400 * )
-      NEW met2 ( 1907390 2591140 ) ( * 2607970 )
-      NEW met2 ( 1904630 2607970 ) ( * 3512100 )
-      NEW met2 ( 1904630 3512100 ) ( 1906010 * )
-      NEW met2 ( 1906010 3512100 ) ( * 3517980 0 )
-      NEW met1 ( 1904630 2607970 ) M1M2_PR
-      NEW met1 ( 1907390 2607970 ) M1M2_PR ;
+      + ROUTED met1 ( 1624490 2911590 ) ( 1628170 * )
+      NEW met2 ( 1624490 2896460 ) ( * 2911590 )
+      NEW met2 ( 1624400 2896460 ) ( 1624490 * )
+      NEW met2 ( 1624400 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 1628170 3502850 ) ( 1906010 * )
+      NEW met2 ( 1628170 2911590 ) ( * 3502850 )
+      NEW met2 ( 1906010 3502850 ) ( * 3517980 0 )
+      NEW met1 ( 1628170 2911590 ) M1M2_PR
+      NEW met1 ( 1624490 2911590 ) M1M2_PR
+      NEW met1 ( 1628170 3502850 ) M1M2_PR
+      NEW met1 ( 1906010 3502850 ) M1M2_PR ;
     - analog_io[12] ( PIN analog_io[12] ) ( mprj analog_io[12] ) + USE SIGNAL
-      + ROUTED met2 ( 1638300 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1638290 2591140 ) ( 1638300 * )
-      NEW met2 ( 1638290 2591140 ) ( * 2604570 )
-      NEW met1 ( 1586770 2604570 ) ( 1638290 * )
-      NEW met1 ( 1581710 3501150 ) ( 1586770 * )
-      NEW met2 ( 1586770 2604570 ) ( * 3501150 )
-      NEW met2 ( 1581710 3501150 ) ( * 3517980 0 )
-      NEW met1 ( 1638290 2604570 ) M1M2_PR
-      NEW met1 ( 1586770 2604570 ) M1M2_PR
-      NEW met1 ( 1581710 3501150 ) M1M2_PR
-      NEW met1 ( 1586770 3501150 ) M1M2_PR ;
+      + ROUTED met1 ( 1404610 2911590 ) ( 1407370 * )
+      NEW met2 ( 1404610 2896460 ) ( * 2911590 )
+      NEW met2 ( 1404500 2896460 ) ( 1404610 * )
+      NEW met2 ( 1404500 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 1407370 3502510 ) ( 1581710 * )
+      NEW met2 ( 1407370 2911590 ) ( * 3502510 )
+      NEW met2 ( 1581710 3502510 ) ( * 3517980 0 )
+      NEW met1 ( 1407370 2911590 ) M1M2_PR
+      NEW met1 ( 1404610 2911590 ) M1M2_PR
+      NEW met1 ( 1407370 3502510 ) M1M2_PR
+      NEW met1 ( 1581710 3502510 ) M1M2_PR ;
     - analog_io[13] ( PIN analog_io[13] ) ( mprj analog_io[13] ) + USE SIGNAL
-      + ROUTED met1 ( 1257410 3500470 ) ( 1262470 * )
-      NEW met2 ( 1262470 2604910 ) ( * 3500470 )
-      NEW met2 ( 1257410 3500470 ) ( * 3517980 0 )
-      NEW met2 ( 1369200 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1369190 2591140 ) ( 1369200 * )
-      NEW met2 ( 1369190 2591140 ) ( * 2604910 )
-      NEW met1 ( 1262470 2604910 ) ( 1369190 * )
-      NEW met1 ( 1262470 2604910 ) M1M2_PR
-      NEW met1 ( 1257410 3500470 ) M1M2_PR
-      NEW met1 ( 1262470 3500470 ) M1M2_PR
-      NEW met1 ( 1369190 2604910 ) M1M2_PR ;
+      + ROUTED met2 ( 1184600 2896460 ) ( 1186570 * )
+      NEW met2 ( 1184600 2895100 0 ) ( * 2896460 )
+      NEW met2 ( 1186570 2896460 ) ( * 3501830 )
+      NEW met2 ( 1257410 3501830 ) ( * 3517980 0 )
+      NEW met1 ( 1186570 3501830 ) ( 1257410 * )
+      NEW met1 ( 1186570 3501830 ) M1M2_PR
+      NEW met1 ( 1257410 3501830 ) M1M2_PR ;
     - analog_io[14] ( PIN analog_io[14] ) ( mprj analog_io[14] ) + USE SIGNAL
-      + ROUTED met1 ( 932650 3500810 ) ( 938170 * )
-      NEW met1 ( 938170 2604570 ) ( 1099630 * )
-      NEW met2 ( 938170 2604570 ) ( * 3500810 )
+      + ROUTED met2 ( 964390 2896460 ) ( * 2911590 )
+      NEW met2 ( 964390 2896460 ) ( 964600 * )
+      NEW met2 ( 964600 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 938170 2911590 ) ( 964390 * )
+      NEW met1 ( 932650 3500810 ) ( 938170 * )
+      NEW met2 ( 938170 2911590 ) ( * 3500810 )
       NEW met2 ( 932650 3500810 ) ( * 3517980 0 )
-      NEW met2 ( 1099900 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1099630 2591140 ) ( 1099900 * )
-      NEW met2 ( 1099630 2591140 ) ( * 2604570 )
-      NEW met1 ( 938170 2604570 ) M1M2_PR
+      NEW met1 ( 964390 2911590 ) M1M2_PR
+      NEW met1 ( 938170 2911590 ) M1M2_PR
       NEW met1 ( 932650 3500810 ) M1M2_PR
-      NEW met1 ( 938170 3500810 ) M1M2_PR
-      NEW met1 ( 1099630 2604570 ) M1M2_PR ;
+      NEW met1 ( 938170 3500810 ) M1M2_PR ;
     - analog_io[15] ( PIN analog_io[15] ) ( mprj analog_io[15] ) + USE SIGNAL
       + ROUTED met1 ( 608350 3498430 ) ( 613870 * )
-      NEW met2 ( 613870 2605590 ) ( * 3498430 )
+      NEW met2 ( 613870 2908190 ) ( * 3498430 )
       NEW met2 ( 608350 3498430 ) ( * 3517980 0 )
-      NEW met1 ( 613870 2605590 ) ( 830530 * )
-      NEW met2 ( 830800 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 830530 2591140 ) ( 830800 * )
-      NEW met2 ( 830530 2591140 ) ( * 2605590 )
-      NEW met1 ( 613870 2605590 ) M1M2_PR
+      NEW met2 ( 744510 2896460 ) ( * 2908190 )
+      NEW met2 ( 744510 2896460 ) ( 744700 * )
+      NEW met2 ( 744700 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 613870 2908190 ) ( 744510 * )
+      NEW met1 ( 613870 2908190 ) M1M2_PR
       NEW met1 ( 608350 3498430 ) M1M2_PR
       NEW met1 ( 613870 3498430 ) M1M2_PR
-      NEW met1 ( 830530 2605590 ) M1M2_PR ;
+      NEW met1 ( 744510 2908190 ) M1M2_PR ;
     - analog_io[16] ( PIN analog_io[16] ) ( mprj analog_io[16] ) + USE SIGNAL
       + ROUTED met1 ( 284050 3500810 ) ( 289570 * )
-      NEW met2 ( 289570 2605590 ) ( * 3500810 )
+      NEW met2 ( 289570 2909210 ) ( * 3500810 )
       NEW met2 ( 284050 3500810 ) ( * 3517980 0 )
-      NEW met1 ( 289570 2605590 ) ( 561430 * )
-      NEW met2 ( 561700 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 561430 2591140 ) ( 561700 * )
-      NEW met2 ( 561430 2591140 ) ( * 2605590 )
-      NEW met1 ( 289570 2605590 ) M1M2_PR
+      NEW met2 ( 524630 2896460 ) ( * 2909210 )
+      NEW met2 ( 524630 2896460 ) ( 524800 * )
+      NEW met2 ( 524800 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 289570 2909210 ) ( 524630 * )
+      NEW met1 ( 289570 2909210 ) M1M2_PR
       NEW met1 ( 284050 3500810 ) M1M2_PR
       NEW met1 ( 289570 3500810 ) M1M2_PR
-      NEW met1 ( 561430 2605590 ) M1M2_PR ;
+      NEW met1 ( 524630 2909210 ) M1M2_PR ;
     - analog_io[17] ( PIN analog_io[17] ) ( mprj analog_io[17] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3486700 0 ) ( 17250 * )
-      NEW met2 ( 17250 2532150 ) ( * 3486700 )
-      NEW met2 ( 345230 2526540 ) ( * 2532150 )
-      NEW met3 ( 345230 2526540 ) ( 357420 * )
-      NEW met3 ( 357420 2526325 ) ( * 2526540 )
-      NEW met3 ( 357420 2526325 ) ( 360180 * 0 )
-      NEW met1 ( 17250 2532150 ) ( 345230 * )
-      NEW met1 ( 17250 2532150 ) M1M2_PR
+      NEW met2 ( 17250 2828970 ) ( * 3486700 )
+      NEW met2 ( 345230 2823020 ) ( * 2828970 )
+      NEW met3 ( 345230 2823020 ) ( 357420 * )
+      NEW met3 ( 357420 2822975 ) ( * 2823020 )
+      NEW met3 ( 357420 2822975 ) ( 360180 * 0 )
+      NEW met1 ( 17250 2828970 ) ( 345230 * )
+      NEW met1 ( 17250 2828970 ) M1M2_PR
       NEW met2 ( 17250 3486700 ) M2M3_PR_M
-      NEW met1 ( 345230 2532150 ) M1M2_PR
-      NEW met2 ( 345230 2526540 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2828970 ) M1M2_PR
+      NEW met2 ( 345230 2823020 ) M2M3_PR_M ;
     - analog_io[18] ( PIN analog_io[18] ) ( mprj analog_io[18] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3225580 0 ) ( 19090 * )
-      NEW met2 ( 19090 2366570 ) ( * 3225580 )
-      NEW met2 ( 345230 2359940 ) ( * 2366570 )
-      NEW met3 ( 345230 2359940 ) ( 357420 * )
-      NEW met3 ( 357420 2359940 ) ( * 2360075 )
-      NEW met3 ( 357420 2360075 ) ( 360180 * 0 )
-      NEW met1 ( 19090 2366570 ) ( 345230 * )
-      NEW met1 ( 19090 2366570 ) M1M2_PR
+      NEW met2 ( 19090 2635510 ) ( * 3225580 )
+      NEW met2 ( 345230 2634660 ) ( * 2635510 )
+      NEW met3 ( 345230 2634660 ) ( 357420 * )
+      NEW met3 ( 357420 2634660 ) ( * 2634815 )
+      NEW met3 ( 357420 2634815 ) ( 360180 * 0 )
+      NEW met1 ( 19090 2635510 ) ( 345230 * )
+      NEW met1 ( 19090 2635510 ) M1M2_PR
       NEW met2 ( 19090 3225580 ) M2M3_PR_M
-      NEW met1 ( 345230 2366570 ) M1M2_PR
-      NEW met2 ( 345230 2359940 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2635510 ) M1M2_PR
+      NEW met2 ( 345230 2634660 ) M2M3_PR_M ;
     - analog_io[19] ( PIN analog_io[19] ) ( mprj analog_io[19] ) + USE SIGNAL
       + ROUTED met3 ( 2300 2965140 0 ) ( 16790 * )
-      NEW met2 ( 16790 2194190 ) ( * 2965140 )
-      NEW met2 ( 345230 2191980 ) ( * 2194190 )
-      NEW met3 ( 345230 2191980 ) ( 357420 * )
-      NEW met3 ( 357420 2191925 ) ( * 2191980 )
-      NEW met3 ( 357420 2191925 ) ( 360180 * 0 )
-      NEW met1 ( 16790 2194190 ) ( 345230 * )
-      NEW met1 ( 16790 2194190 ) M1M2_PR
+      NEW met2 ( 16790 2449190 ) ( * 2965140 )
+      NEW met2 ( 345230 2444260 ) ( * 2449190 )
+      NEW met3 ( 345230 2444260 ) ( 357420 * )
+      NEW met3 ( 357420 2444255 ) ( * 2444260 )
+      NEW met3 ( 357420 2444255 ) ( 360180 * 0 )
+      NEW met1 ( 16790 2449190 ) ( 345230 * )
+      NEW met1 ( 16790 2449190 ) M1M2_PR
       NEW met2 ( 16790 2965140 ) M2M3_PR_M
-      NEW met1 ( 345230 2194190 ) M1M2_PR
-      NEW met2 ( 345230 2191980 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2449190 ) M1M2_PR
+      NEW met2 ( 345230 2444260 ) M2M3_PR_M ;
     - analog_io[1] ( PIN analog_io[1] ) ( mprj analog_io[1] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 1690650 ) ( * 1692860 )
       NEW met3 ( 2900990 1692860 ) ( 2917780 * 0 )
-      NEW met3 ( 2729180 1371220 0 ) ( 2743670 * )
-      NEW met2 ( 2743670 1371220 ) ( * 1690650 )
-      NEW met1 ( 2743670 1690650 ) ( 2900990 * )
+      NEW met3 ( 2286200 1514210 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1514020 ) ( * 1514210 )
+      NEW met3 ( 2289420 1514020 ) ( 2302530 * )
+      NEW met2 ( 2302530 1514020 ) ( * 1690650 )
+      NEW met1 ( 2302530 1690650 ) ( 2900990 * )
       NEW met1 ( 2900990 1690650 ) M1M2_PR
       NEW met2 ( 2900990 1692860 ) M2M3_PR_M
-      NEW met2 ( 2743670 1371220 ) M2M3_PR_M
-      NEW met1 ( 2743670 1690650 ) M1M2_PR ;
+      NEW met2 ( 2302530 1514020 ) M2M3_PR_M
+      NEW met1 ( 2302530 1690650 ) M1M2_PR ;
     - analog_io[20] ( PIN analog_io[20] ) ( mprj analog_io[20] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2704020 0 ) ( 14950 * )
-      NEW met2 ( 14950 2028270 ) ( * 2704020 )
-      NEW met2 ( 345230 2024020 ) ( * 2028270 )
-      NEW met3 ( 345230 2024020 ) ( 357420 * )
-      NEW met3 ( 357420 2023775 ) ( * 2024020 )
-      NEW met3 ( 357420 2023775 ) ( 360180 * 0 )
-      NEW met1 ( 14950 2028270 ) ( 345230 * )
-      NEW met1 ( 14950 2028270 ) M1M2_PR
-      NEW met2 ( 14950 2704020 ) M2M3_PR_M
-      NEW met1 ( 345230 2028270 ) M1M2_PR
-      NEW met2 ( 345230 2024020 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2704020 0 ) ( 17710 * )
+      NEW met2 ( 17710 2256070 ) ( * 2704020 )
+      NEW met2 ( 345230 2253860 ) ( * 2256070 )
+      NEW met3 ( 345230 2253860 ) ( 357420 * )
+      NEW met3 ( 357420 2253600 ) ( * 2253860 )
+      NEW met3 ( 357420 2253600 ) ( 360180 * 0 )
+      NEW met1 ( 17710 2256070 ) ( 345230 * )
+      NEW met1 ( 17710 2256070 ) M1M2_PR
+      NEW met2 ( 17710 2704020 ) M2M3_PR_M
+      NEW met1 ( 345230 2256070 ) M1M2_PR
+      NEW met2 ( 345230 2253860 ) M2M3_PR_M ;
     - analog_io[21] ( PIN analog_io[21] ) ( mprj analog_io[21] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2443580 0 ) ( 17710 * )
-      NEW met2 ( 17710 1855890 ) ( * 2443580 )
-      NEW met2 ( 345230 1855380 ) ( * 1855890 )
-      NEW met3 ( 345230 1855380 ) ( 357420 * )
-      NEW met3 ( 357420 1855380 ) ( * 1855625 )
-      NEW met3 ( 357420 1855625 ) ( 360180 * 0 )
-      NEW met1 ( 17710 1855890 ) ( 345230 * )
-      NEW met1 ( 17710 1855890 ) M1M2_PR
-      NEW met2 ( 17710 2443580 ) M2M3_PR_M
-      NEW met1 ( 345230 1855890 ) M1M2_PR
-      NEW met2 ( 345230 1855380 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2443580 0 ) ( 19550 * )
+      NEW met2 ( 19550 2062950 ) ( * 2443580 )
+      NEW met2 ( 345230 2062780 ) ( * 2062950 )
+      NEW met3 ( 345230 2062780 ) ( 357420 * )
+      NEW met3 ( 357420 2062780 ) ( * 2063040 )
+      NEW met3 ( 357420 2063040 ) ( 360180 * 0 )
+      NEW met1 ( 19550 2062950 ) ( 345230 * )
+      NEW met1 ( 19550 2062950 ) M1M2_PR
+      NEW met2 ( 19550 2443580 ) M2M3_PR_M
+      NEW met1 ( 345230 2062950 ) M1M2_PR
+      NEW met2 ( 345230 2062780 ) M2M3_PR_M ;
     - analog_io[22] ( PIN analog_io[22] ) ( mprj analog_io[22] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2183140 0 ) ( 19550 * )
-      NEW met2 ( 19550 1690310 ) ( * 2183140 )
-      NEW met2 ( 345230 1687420 ) ( * 1690310 )
-      NEW met3 ( 345230 1687420 ) ( 357420 * )
-      NEW met3 ( 357420 1687420 ) ( * 1687475 )
-      NEW met3 ( 357420 1687475 ) ( 360180 * 0 )
-      NEW met1 ( 19550 1690310 ) ( 345230 * )
-      NEW met1 ( 19550 1690310 ) M1M2_PR
-      NEW met2 ( 19550 2183140 ) M2M3_PR_M
-      NEW met1 ( 345230 1690310 ) M1M2_PR
-      NEW met2 ( 345230 1687420 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2183140 0 ) ( 17710 * )
+      NEW met2 ( 17710 1876630 ) ( * 2183140 )
+      NEW met2 ( 345230 1872380 ) ( * 1876630 )
+      NEW met3 ( 345230 1872380 ) ( 357420 * )
+      NEW met3 ( 357420 1872380 ) ( * 1872480 )
+      NEW met3 ( 357420 1872480 ) ( 360180 * 0 )
+      NEW met1 ( 17710 1876630 ) ( 345230 * )
+      NEW met1 ( 17710 1876630 ) M1M2_PR
+      NEW met2 ( 17710 2183140 ) M2M3_PR_M
+      NEW met1 ( 345230 1876630 ) M1M2_PR
+      NEW met2 ( 345230 1872380 ) M2M3_PR_M ;
     - analog_io[23] ( PIN analog_io[23] ) ( mprj analog_io[23] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1922020 0 ) ( 16330 * )
-      NEW met2 ( 16330 1524730 ) ( * 1922020 )
-      NEW met2 ( 345230 1519460 ) ( * 1524730 )
-      NEW met3 ( 345230 1519460 ) ( 357420 * )
-      NEW met3 ( 357420 1519230 ) ( * 1519460 )
-      NEW met3 ( 357420 1519230 ) ( 360180 * 0 )
-      NEW met1 ( 16330 1524730 ) ( 345230 * )
-      NEW met2 ( 16330 1922020 ) M2M3_PR_M
-      NEW met1 ( 16330 1524730 ) M1M2_PR
-      NEW met1 ( 345230 1524730 ) M1M2_PR
-      NEW met2 ( 345230 1519460 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1922020 0 ) ( 19550 * )
+      NEW met2 ( 19550 1683510 ) ( * 1922020 )
+      NEW met2 ( 345230 1681980 ) ( * 1683510 )
+      NEW met3 ( 345230 1681980 ) ( 357420 * )
+      NEW met3 ( 357420 1681730 ) ( * 1681980 )
+      NEW met3 ( 357420 1681730 ) ( 360180 * 0 )
+      NEW met1 ( 19550 1683510 ) ( 345230 * )
+      NEW met2 ( 19550 1922020 ) M2M3_PR_M
+      NEW met1 ( 19550 1683510 ) M1M2_PR
+      NEW met1 ( 345230 1683510 ) M1M2_PR
+      NEW met2 ( 345230 1681980 ) M2M3_PR_M ;
     - analog_io[24] ( PIN analog_io[24] ) ( mprj analog_io[24] ) + USE SIGNAL
       + ROUTED met3 ( 2300 1661580 0 ) ( 18630 * )
-      NEW met2 ( 18630 1352350 ) ( * 1661580 )
-      NEW met2 ( 345230 1350820 ) ( * 1352350 )
-      NEW met3 ( 345230 1350820 ) ( 357420 * )
-      NEW met3 ( 357420 1350820 ) ( * 1350985 )
-      NEW met3 ( 357420 1350985 ) ( 360180 * 0 )
-      NEW met1 ( 18630 1352350 ) ( 345230 * )
-      NEW met1 ( 18630 1352350 ) M1M2_PR
+      NEW met2 ( 18630 1497190 ) ( * 1661580 )
+      NEW met2 ( 345230 1491580 ) ( * 1497190 )
+      NEW met3 ( 345230 1491580 ) ( 357420 * )
+      NEW met3 ( 357420 1491170 ) ( * 1491580 )
+      NEW met3 ( 357420 1491170 ) ( 360180 * 0 )
+      NEW met1 ( 18630 1497190 ) ( 345230 * )
       NEW met2 ( 18630 1661580 ) M2M3_PR_M
-      NEW met1 ( 345230 1352350 ) M1M2_PR
-      NEW met2 ( 345230 1350820 ) M2M3_PR_M ;
+      NEW met1 ( 18630 1497190 ) M1M2_PR
+      NEW met1 ( 345230 1497190 ) M1M2_PR
+      NEW met2 ( 345230 1491580 ) M2M3_PR_M ;
     - analog_io[25] ( PIN analog_io[25] ) ( mprj analog_io[25] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1400460 0 ) ( 17710 * )
-      NEW met2 ( 17710 1186770 ) ( * 1400460 )
-      NEW met2 ( 345230 1182860 ) ( * 1186770 )
-      NEW met3 ( 345230 1182860 ) ( 357420 * )
-      NEW met3 ( 357420 1182740 ) ( * 1182860 )
-      NEW met3 ( 357420 1182740 ) ( 360180 * 0 )
-      NEW met1 ( 17710 1186770 ) ( 345230 * )
-      NEW met1 ( 17710 1186770 ) M1M2_PR
-      NEW met2 ( 17710 1400460 ) M2M3_PR_M
-      NEW met1 ( 345230 1186770 ) M1M2_PR
-      NEW met2 ( 345230 1182860 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1400460 0 ) ( 17250 * )
+      NEW met2 ( 17250 1304070 ) ( * 1400460 )
+      NEW met2 ( 345230 1300500 ) ( * 1304070 )
+      NEW met3 ( 345230 1300500 ) ( 357420 * )
+      NEW met3 ( 357420 1300415 ) ( * 1300500 )
+      NEW met3 ( 357420 1300415 ) ( 360180 * 0 )
+      NEW met1 ( 17250 1304070 ) ( 345230 * )
+      NEW met1 ( 17250 1304070 ) M1M2_PR
+      NEW met2 ( 17250 1400460 ) M2M3_PR_M
+      NEW met1 ( 345230 1304070 ) M1M2_PR
+      NEW met2 ( 345230 1300500 ) M2M3_PR_M ;
     - analog_io[26] ( PIN analog_io[26] ) ( mprj analog_io[26] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1140020 0 ) ( 17710 * )
-      NEW met2 ( 17710 1021190 ) ( * 1140020 )
-      NEW met2 ( 345230 1014900 ) ( * 1021190 )
-      NEW met3 ( 345230 1014900 ) ( 357420 * )
-      NEW met3 ( 357420 1014685 ) ( * 1014900 )
-      NEW met3 ( 357420 1014685 ) ( 360180 * 0 )
-      NEW met1 ( 17710 1021190 ) ( 345230 * )
-      NEW met1 ( 17710 1021190 ) M1M2_PR
-      NEW met2 ( 17710 1140020 ) M2M3_PR_M
-      NEW met1 ( 345230 1021190 ) M1M2_PR
-      NEW met2 ( 345230 1014900 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1140020 0 ) ( 15870 * )
+      NEW met2 ( 15870 1110610 ) ( * 1140020 )
+      NEW met2 ( 345230 1110100 ) ( * 1110610 )
+      NEW met3 ( 345230 1110100 ) ( 357420 * )
+      NEW met3 ( 357420 1109760 ) ( * 1110100 )
+      NEW met3 ( 357420 1109760 ) ( 360180 * 0 )
+      NEW met1 ( 15870 1110610 ) ( 345230 * )
+      NEW met2 ( 15870 1140020 ) M2M3_PR_M
+      NEW met1 ( 15870 1110610 ) M1M2_PR
+      NEW met1 ( 345230 1110610 ) M1M2_PR
+      NEW met2 ( 345230 1110100 ) M2M3_PR_M ;
     - analog_io[27] ( PIN analog_io[27] ) ( mprj analog_io[27] ) + USE SIGNAL
       + ROUTED met3 ( 2300 879580 0 ) ( 17250 * )
-      NEW met2 ( 17250 848470 ) ( * 879580 )
-      NEW met2 ( 345230 846260 ) ( * 848470 )
-      NEW met3 ( 345230 846260 ) ( 357420 * )
-      NEW met3 ( 357420 846260 ) ( * 846440 )
-      NEW met3 ( 357420 846440 ) ( 360180 * 0 )
-      NEW met1 ( 17250 848470 ) ( 345230 * )
+      NEW met2 ( 17250 879580 ) ( * 917830 )
+      NEW met2 ( 345230 917830 ) ( * 919020 )
+      NEW met3 ( 345230 919020 ) ( 357420 * )
+      NEW met3 ( 357420 919020 ) ( * 919295 )
+      NEW met3 ( 357420 919295 ) ( 360180 * 0 )
+      NEW met1 ( 17250 917830 ) ( 345230 * )
       NEW met2 ( 17250 879580 ) M2M3_PR_M
-      NEW met1 ( 17250 848470 ) M1M2_PR
-      NEW met1 ( 345230 848470 ) M1M2_PR
-      NEW met2 ( 345230 846260 ) M2M3_PR_M ;
+      NEW met1 ( 17250 917830 ) M1M2_PR
+      NEW met1 ( 345230 917830 ) M1M2_PR
+      NEW met2 ( 345230 919020 ) M2M3_PR_M ;
     - analog_io[28] ( PIN analog_io[28] ) ( mprj analog_io[28] ) + USE SIGNAL
       + ROUTED met3 ( 2300 618460 0 ) ( 17710 * )
-      NEW met2 ( 17710 618460 ) ( * 676430 )
-      NEW met2 ( 345230 676430 ) ( * 678300 )
-      NEW met3 ( 345230 678300 ) ( 357420 * )
-      NEW met3 ( 357420 678195 ) ( * 678300 )
-      NEW met3 ( 357420 678195 ) ( 360180 * 0 )
-      NEW met1 ( 17710 676430 ) ( 345230 * )
-      NEW met1 ( 17710 676430 ) M1M2_PR
+      NEW met2 ( 17710 618460 ) ( * 724710 )
+      NEW met2 ( 345230 724710 ) ( * 728620 )
+      NEW met3 ( 345230 728620 ) ( 357420 * )
+      NEW met3 ( 357420 728620 ) ( * 728640 )
+      NEW met3 ( 357420 728640 ) ( 360180 * 0 )
+      NEW met1 ( 17710 724710 ) ( 345230 * )
       NEW met2 ( 17710 618460 ) M2M3_PR_M
-      NEW met1 ( 345230 676430 ) M1M2_PR
-      NEW met2 ( 345230 678300 ) M2M3_PR_M ;
+      NEW met1 ( 17710 724710 ) M1M2_PR
+      NEW met1 ( 345230 724710 ) M1M2_PR
+      NEW met2 ( 345230 728620 ) M2M3_PR_M ;
     - analog_io[2] ( PIN analog_io[2] ) ( mprj analog_io[2] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1542580 ) ( * 1542790 )
-      NEW met3 ( 2729180 1542790 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 1952790 ) ( * 1958740 )
+      + ROUTED met2 ( 2900990 1952790 ) ( * 1958740 )
       NEW met3 ( 2900990 1958740 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1542580 ) ( 2741830 * )
-      NEW met1 ( 2741830 1952790 ) ( 2900990 * )
-      NEW met2 ( 2741830 1542580 ) ( * 1952790 )
+      NEW met1 ( 2303450 1952790 ) ( 2900990 * )
+      NEW met3 ( 2286200 1708225 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1708225 ) ( * 1708500 )
+      NEW met3 ( 2289420 1708500 ) ( 2303450 * )
+      NEW met2 ( 2303450 1708500 ) ( * 1952790 )
       NEW met1 ( 2900990 1952790 ) M1M2_PR
       NEW met2 ( 2900990 1958740 ) M2M3_PR_M
-      NEW met2 ( 2741830 1542580 ) M2M3_PR_M
-      NEW met1 ( 2741830 1952790 ) M1M2_PR ;
+      NEW met1 ( 2303450 1952790 ) M1M2_PR
+      NEW met2 ( 2303450 1708500 ) M2M3_PR_M ;
     - analog_io[3] ( PIN analog_io[3] ) ( mprj analog_io[3] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 2222070 ) ( * 2223940 )
       NEW met3 ( 2900990 2223940 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1713940 ) ( * 1714075 )
-      NEW met3 ( 2729180 1714075 0 ) ( 2731940 * )
-      NEW met1 ( 2744590 2222070 ) ( 2900990 * )
-      NEW met3 ( 2731940 1713940 ) ( 2744590 * )
-      NEW met2 ( 2744590 1713940 ) ( * 2222070 )
+      NEW met1 ( 2301610 2222070 ) ( 2900990 * )
+      NEW met3 ( 2286200 1902530 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1902300 ) ( * 1902530 )
+      NEW met3 ( 2289420 1902300 ) ( 2301610 * )
+      NEW met2 ( 2301610 1902300 ) ( * 2222070 )
       NEW met1 ( 2900990 2222070 ) M1M2_PR
       NEW met2 ( 2900990 2223940 ) M2M3_PR_M
-      NEW met1 ( 2744590 2222070 ) M1M2_PR
-      NEW met2 ( 2744590 1713940 ) M2M3_PR_M ;
+      NEW met1 ( 2301610 2222070 ) M1M2_PR
+      NEW met2 ( 2301610 1902300 ) M2M3_PR_M ;
     - analog_io[4] ( PIN analog_io[4] ) ( mprj analog_io[4] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1885300 ) ( * 1885455 )
-      NEW met3 ( 2729180 1885455 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 2484210 ) ( * 2489820 )
+      + ROUTED met2 ( 2900990 2484210 ) ( * 2489820 )
       NEW met3 ( 2900990 2489820 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1885300 ) ( 2742750 * )
-      NEW met2 ( 2742750 1885300 ) ( * 2484210 )
-      NEW met1 ( 2742750 2484210 ) ( 2900990 * )
+      NEW met3 ( 2286200 2096930 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2096780 ) ( * 2096930 )
+      NEW met3 ( 2289420 2096780 ) ( 2303450 * )
+      NEW met2 ( 2303450 2096780 ) ( * 2484210 )
+      NEW met1 ( 2303450 2484210 ) ( 2900990 * )
       NEW met1 ( 2900990 2484210 ) M1M2_PR
       NEW met2 ( 2900990 2489820 ) M2M3_PR_M
-      NEW met2 ( 2742750 1885300 ) M2M3_PR_M
-      NEW met1 ( 2742750 2484210 ) M1M2_PR ;
+      NEW met2 ( 2303450 2096780 ) M2M3_PR_M
+      NEW met1 ( 2303450 2484210 ) M1M2_PR ;
     - analog_io[5] ( PIN analog_io[5] ) ( mprj analog_io[5] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 2056930 ) ( * 2057340 )
-      NEW met3 ( 2729180 2056930 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 2753150 ) ( * 2755700 )
+      + ROUTED met2 ( 2900990 2753150 ) ( * 2755700 )
       NEW met3 ( 2900990 2755700 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 2057340 ) ( 2745970 * )
-      NEW met2 ( 2745970 2057340 ) ( * 2753150 )
-      NEW met1 ( 2745970 2753150 ) ( 2900990 * )
+      NEW met3 ( 2286200 2291230 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2291230 ) ( * 2291260 )
+      NEW met3 ( 2289420 2291260 ) ( 2301610 * )
+      NEW met2 ( 2301610 2291260 ) ( * 2753150 )
+      NEW met1 ( 2301610 2753150 ) ( 2900990 * )
       NEW met1 ( 2900990 2753150 ) M1M2_PR
       NEW met2 ( 2900990 2755700 ) M2M3_PR_M
-      NEW met2 ( 2745970 2057340 ) M2M3_PR_M
-      NEW met1 ( 2745970 2753150 ) M1M2_PR ;
+      NEW met2 ( 2301610 2291260 ) M2M3_PR_M
+      NEW met1 ( 2301610 2753150 ) M1M2_PR ;
     - analog_io[6] ( PIN analog_io[6] ) ( mprj analog_io[6] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 2228405 ) ( * 2228700 )
-      NEW met3 ( 2729180 2228405 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 3015630 ) ( * 3020900 )
+      + ROUTED met2 ( 2900990 3015630 ) ( * 3020900 )
       NEW met3 ( 2900990 3020900 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 2228700 ) ( 2745050 * )
-      NEW met1 ( 2745050 3015630 ) ( 2900990 * )
-      NEW met2 ( 2745050 2228700 ) ( * 3015630 )
+      NEW met1 ( 2304370 3015630 ) ( 2900990 * )
+      NEW met3 ( 2286200 2485535 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2485535 ) ( * 2485740 )
+      NEW met3 ( 2289420 2485740 ) ( 2304370 * )
+      NEW met2 ( 2304370 2485740 ) ( * 3015630 )
       NEW met1 ( 2900990 3015630 ) M1M2_PR
       NEW met2 ( 2900990 3020900 ) M2M3_PR_M
-      NEW met2 ( 2745050 2228700 ) M2M3_PR_M
-      NEW met1 ( 2745050 3015630 ) M1M2_PR ;
+      NEW met1 ( 2304370 3015630 ) M1M2_PR
+      NEW met2 ( 2304370 2485740 ) M2M3_PR_M ;
     - analog_io[7] ( PIN analog_io[7] ) ( mprj analog_io[7] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 3284570 ) ( * 3286780 )
       NEW met3 ( 2900990 3286780 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 2399785 ) ( * 2400060 )
-      NEW met3 ( 2729180 2399785 0 ) ( 2731940 * )
-      NEW met1 ( 2743670 3284570 ) ( 2900990 * )
-      NEW met3 ( 2731940 2400060 ) ( 2743670 * )
-      NEW met2 ( 2743670 2400060 ) ( * 3284570 )
+      NEW met1 ( 2302530 3284570 ) ( 2900990 * )
+      NEW met3 ( 2286200 2679935 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2679935 ) ( * 2680220 )
+      NEW met3 ( 2289420 2680220 ) ( 2302530 * )
+      NEW met2 ( 2302530 2680220 ) ( * 3284570 )
       NEW met1 ( 2900990 3284570 ) M1M2_PR
       NEW met2 ( 2900990 3286780 ) M2M3_PR_M
-      NEW met1 ( 2743670 3284570 ) M1M2_PR
-      NEW met2 ( 2743670 2400060 ) M2M3_PR_M ;
+      NEW met1 ( 2302530 3284570 ) M1M2_PR
+      NEW met2 ( 2302530 2680220 ) M2M3_PR_M ;
     - analog_io[8] ( PIN analog_io[8] ) ( mprj analog_io[8] ) + USE SIGNAL
-      + ROUTED met2 ( 2710100 2590120 0 ) ( * 2590460 )
-      NEW met2 ( 2710100 2590460 ) ( 2711470 * )
-      NEW met2 ( 2711470 2590460 ) ( * 3501490 )
-      NEW met1 ( 2711470 3501490 ) ( 2879370 * )
+      + ROUTED met1 ( 2281370 2911590 ) ( 2283670 * )
+      NEW met2 ( 2281370 2896460 ) ( * 2911590 )
+      NEW met2 ( 2281100 2896460 ) ( 2281370 * )
+      NEW met2 ( 2281100 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 2283670 3501490 ) ( 2879370 * )
+      NEW met2 ( 2283670 2911590 ) ( * 3501490 )
       NEW met2 ( 2879370 3501490 ) ( * 3517980 0 )
-      NEW met1 ( 2711470 3501490 ) M1M2_PR
+      NEW met1 ( 2283670 2911590 ) M1M2_PR
+      NEW met1 ( 2281370 2911590 ) M1M2_PR
+      NEW met1 ( 2283670 3501490 ) M1M2_PR
       NEW met1 ( 2879370 3501490 ) M1M2_PR ;
     - analog_io[9] ( PIN analog_io[9] ) ( mprj analog_io[9] ) + USE SIGNAL
-      + ROUTED met1 ( 2445590 2607970 ) ( 2449270 * )
-      NEW met2 ( 2445700 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 2445590 2591140 ) ( 2445700 * )
-      NEW met2 ( 2445590 2591140 ) ( * 2607970 )
-      NEW met2 ( 2449270 2607970 ) ( * 3501830 )
-      NEW met1 ( 2449270 3501830 ) ( 2555070 * )
-      NEW met2 ( 2555070 3501830 ) ( * 3517980 0 )
-      NEW met1 ( 2445590 2607970 ) M1M2_PR
-      NEW met1 ( 2449270 2607970 ) M1M2_PR
-      NEW met1 ( 2449270 3501830 ) M1M2_PR
-      NEW met1 ( 2555070 3501830 ) M1M2_PR ;
+      + ROUTED met1 ( 2064250 2911590 ) ( 2069770 * )
+      NEW met2 ( 2064250 2896460 ) ( * 2911590 )
+      NEW met2 ( 2064250 2896460 ) ( 2064300 * )
+      NEW met2 ( 2064300 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 2069770 3502850 ) ( 2555070 * )
+      NEW met2 ( 2069770 2911590 ) ( * 3502850 )
+      NEW met2 ( 2555070 3502850 ) ( * 3517980 0 )
+      NEW met1 ( 2069770 2911590 ) M1M2_PR
+      NEW met1 ( 2064250 2911590 ) M1M2_PR
+      NEW met1 ( 2069770 3502850 ) M1M2_PR
+      NEW met1 ( 2555070 3502850 ) M1M2_PR ;
     - io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 302260 ) ( * 302565 )
-      NEW met3 ( 2729180 302565 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 32980 ) ( * 34170 )
+      + ROUTED met2 ( 2900990 28050 ) ( * 32980 )
       NEW met3 ( 2900990 32980 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 302260 ) ( 2742750 * )
-      NEW met1 ( 2742750 34170 ) ( 2900990 * )
-      NEW met2 ( 2742750 34170 ) ( * 302260 )
-      NEW met1 ( 2900990 34170 ) M1M2_PR
+      NEW met3 ( 2289420 301580 ) ( 2301150 * )
+      NEW met3 ( 2289420 301580 ) ( * 302015 )
+      NEW met3 ( 2286200 302015 0 ) ( 2289420 * )
+      NEW met1 ( 2301150 28050 ) ( 2900990 * )
+      NEW met2 ( 2301150 28050 ) ( * 301580 )
+      NEW met1 ( 2900990 28050 ) M1M2_PR
       NEW met2 ( 2900990 32980 ) M2M3_PR_M
-      NEW met1 ( 2742750 34170 ) M1M2_PR
-      NEW met2 ( 2742750 302260 ) M2M3_PR_M ;
+      NEW met1 ( 2301150 28050 ) M1M2_PR
+      NEW met2 ( 2301150 301580 ) M2M3_PR_M ;
     - io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1756780 ) ( * 1756825 )
-      NEW met3 ( 2729180 1756825 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 2283950 ) ( * 2290580 )
+      + ROUTED met2 ( 2900990 2283950 ) ( * 2290580 )
       NEW met3 ( 2900990 2290580 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1756780 ) ( 2744130 * )
-      NEW met2 ( 2744130 1756780 ) ( * 2283950 )
-      NEW met1 ( 2744130 2283950 ) ( 2900990 * )
+      NEW met3 ( 2286200 1951200 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1951200 ) ( * 1951260 )
+      NEW met3 ( 2289420 1951260 ) ( 2301150 * )
+      NEW met2 ( 2301150 1951260 ) ( * 2283950 )
+      NEW met1 ( 2301150 2283950 ) ( 2900990 * )
       NEW met1 ( 2900990 2283950 ) M1M2_PR
       NEW met2 ( 2900990 2290580 ) M2M3_PR_M
-      NEW met2 ( 2744130 1756780 ) M2M3_PR_M
-      NEW met1 ( 2744130 2283950 ) M1M2_PR ;
+      NEW met2 ( 2301150 1951260 ) M2M3_PR_M
+      NEW met1 ( 2301150 2283950 ) M1M2_PR ;
     - io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1928395 ) ( * 1928820 )
-      NEW met3 ( 2729180 1928395 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 2553230 ) ( * 2556460 )
+      + ROUTED met2 ( 2900990 2553230 ) ( * 2556460 )
       NEW met3 ( 2900990 2556460 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1928820 ) ( 2742290 * )
-      NEW met2 ( 2742290 1928820 ) ( * 2553230 )
-      NEW met1 ( 2742290 2553230 ) ( 2900990 * )
+      NEW met3 ( 2286200 2145505 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2145505 ) ( * 2145740 )
+      NEW met3 ( 2289420 2145740 ) ( 2302990 * )
+      NEW met2 ( 2302990 2145740 ) ( * 2553230 )
+      NEW met1 ( 2302990 2553230 ) ( 2900990 * )
       NEW met1 ( 2900990 2553230 ) M1M2_PR
       NEW met2 ( 2900990 2556460 ) M2M3_PR_M
-      NEW met2 ( 2742290 1928820 ) M2M3_PR_M
-      NEW met1 ( 2742290 2553230 ) M1M2_PR ;
+      NEW met2 ( 2302990 2145740 ) M2M3_PR_M
+      NEW met1 ( 2302990 2553230 ) M1M2_PR ;
     - io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) + USE SIGNAL
       + ROUTED met2 ( 2899150 2815370 ) ( * 2821660 )
       NEW met3 ( 2899150 2821660 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 2099775 ) ( * 2100180 )
-      NEW met3 ( 2729180 2099775 0 ) ( 2731940 * )
-      NEW met1 ( 2745510 2815370 ) ( 2899150 * )
-      NEW met3 ( 2731940 2100180 ) ( 2745510 * )
-      NEW met2 ( 2745510 2100180 ) ( * 2815370 )
+      NEW met3 ( 2286200 2339810 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2339540 ) ( * 2339810 )
+      NEW met3 ( 2289420 2339540 ) ( 2301150 * )
+      NEW met1 ( 2301150 2815370 ) ( 2899150 * )
+      NEW met2 ( 2301150 2339540 ) ( * 2815370 )
       NEW met1 ( 2899150 2815370 ) M1M2_PR
       NEW met2 ( 2899150 2821660 ) M2M3_PR_M
-      NEW met1 ( 2745510 2815370 ) M1M2_PR
-      NEW met2 ( 2745510 2100180 ) M2M3_PR_M ;
+      NEW met2 ( 2301150 2339540 ) M2M3_PR_M
+      NEW met1 ( 2301150 2815370 ) M1M2_PR ;
     - io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 3084310 ) ( * 3087540 )
       NEW met3 ( 2900990 3087540 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 2271155 ) ( * 2271540 )
-      NEW met3 ( 2729180 2271155 0 ) ( 2731940 * )
-      NEW met1 ( 2744590 3084310 ) ( 2900990 * )
-      NEW met3 ( 2731940 2271540 ) ( 2744590 * )
-      NEW met2 ( 2744590 2271540 ) ( * 3084310 )
+      NEW met3 ( 2286200 2534015 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2534015 ) ( * 2534020 )
+      NEW met3 ( 2289420 2534020 ) ( 2303910 * )
+      NEW met1 ( 2303910 3084310 ) ( 2900990 * )
+      NEW met2 ( 2303910 2534020 ) ( * 3084310 )
       NEW met1 ( 2900990 3084310 ) M1M2_PR
       NEW met2 ( 2900990 3087540 ) M2M3_PR_M
-      NEW met1 ( 2744590 3084310 ) M1M2_PR
-      NEW met2 ( 2744590 2271540 ) M2M3_PR_M ;
+      NEW met2 ( 2303910 2534020 ) M2M3_PR_M
+      NEW met1 ( 2303910 3084310 ) M1M2_PR ;
     - io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 2442725 ) ( * 2442900 )
-      NEW met3 ( 2729180 2442725 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 3353420 ) ( * 3353590 )
+      + ROUTED met2 ( 2900990 3353420 ) ( * 3353590 )
       NEW met3 ( 2900990 3353420 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 2442900 ) ( 2743210 * )
-      NEW met2 ( 2743210 2442900 ) ( * 3353590 )
-      NEW met1 ( 2743210 3353590 ) ( 2900990 * )
+      NEW met3 ( 2286200 2728415 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2728415 ) ( * 2728500 )
+      NEW met3 ( 2289420 2728500 ) ( 2302070 * )
+      NEW met2 ( 2302070 2728500 ) ( * 3353590 )
+      NEW met1 ( 2302070 3353590 ) ( 2900990 * )
       NEW met1 ( 2900990 3353590 ) M1M2_PR
       NEW met2 ( 2900990 3353420 ) M2M3_PR_M
-      NEW met2 ( 2743210 2442900 ) M2M3_PR_M
-      NEW met1 ( 2743210 3353590 ) M1M2_PR ;
+      NEW met2 ( 2302070 2728500 ) M2M3_PR_M
+      NEW met1 ( 2302070 3353590 ) M1M2_PR ;
     - io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) + USE SIGNAL
-      + ROUTED met2 ( 2798410 3501830 ) ( * 3517980 0 )
-      NEW met1 ( 2649370 3501830 ) ( 2798410 * )
-      NEW met2 ( 2647500 2590120 0 ) ( * 2590460 )
-      NEW met2 ( 2647500 2590460 ) ( 2649370 * )
-      NEW met2 ( 2649370 2590460 ) ( * 3501830 )
-      NEW met1 ( 2798410 3501830 ) M1M2_PR
-      NEW met1 ( 2649370 3501830 ) M1M2_PR ;
+      + ROUTED met1 ( 2229390 2911590 ) ( 2235370 * )
+      NEW met2 ( 2229390 2896460 ) ( * 2911590 )
+      NEW met2 ( 2229200 2896460 ) ( 2229390 * )
+      NEW met2 ( 2229200 2895100 0 ) ( * 2896460 )
+      NEW met2 ( 2235370 2911590 ) ( * 3501830 )
+      NEW met2 ( 2798410 3501830 ) ( * 3517980 0 )
+      NEW met1 ( 2235370 3501830 ) ( 2798410 * )
+      NEW met1 ( 2235370 2911590 ) M1M2_PR
+      NEW met1 ( 2229390 2911590 ) M1M2_PR
+      NEW met1 ( 2235370 3501830 ) M1M2_PR
+      NEW met1 ( 2798410 3501830 ) M1M2_PR ;
     - io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) + USE SIGNAL
-      + ROUTED met1 ( 2380270 3501490 ) ( 2474110 * )
-      NEW met2 ( 2378400 2590120 0 ) ( * 2590460 )
-      NEW met2 ( 2378400 2590460 ) ( 2380270 * )
-      NEW met2 ( 2380270 2590460 ) ( * 3501490 )
-      NEW met2 ( 2474110 3501490 ) ( * 3517980 0 )
-      NEW met1 ( 2380270 3501490 ) M1M2_PR
-      NEW met1 ( 2474110 3501490 ) M1M2_PR ;
+      + ROUTED met1 ( 2009050 2911590 ) ( 2014570 * )
+      NEW met2 ( 2009050 2896460 ) ( * 2911590 )
+      NEW met2 ( 2009050 2896460 ) ( 2009200 * )
+      NEW met2 ( 2009200 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 2014570 3503190 ) ( 2474110 * )
+      NEW met2 ( 2014570 2911590 ) ( * 3503190 )
+      NEW met2 ( 2474110 3503190 ) ( * 3517980 0 )
+      NEW met1 ( 2014570 2911590 ) M1M2_PR
+      NEW met1 ( 2009050 2911590 ) M1M2_PR
+      NEW met1 ( 2014570 3503190 ) M1M2_PR
+      NEW met1 ( 2474110 3503190 ) M1M2_PR ;
     - io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) + USE SIGNAL
-      + ROUTED met2 ( 2149350 3501490 ) ( * 3517980 0 )
-      NEW met1 ( 2111170 3501490 ) ( 2149350 * )
-      NEW met2 ( 2109200 2590120 0 ) ( * 2590460 )
-      NEW met2 ( 2109200 2590460 ) ( 2111170 * )
-      NEW met2 ( 2111170 2590460 ) ( * 3501490 )
-      NEW met1 ( 2149350 3501490 ) M1M2_PR
-      NEW met1 ( 2111170 3501490 ) M1M2_PR ;
+      + ROUTED met2 ( 2149350 3501830 ) ( * 3517980 0 )
+      NEW met1 ( 1789170 2911590 ) ( 1793770 * )
+      NEW met2 ( 1789170 2896460 ) ( * 2911590 )
+      NEW met2 ( 1789170 2896460 ) ( 1789300 * )
+      NEW met2 ( 1789300 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 1793770 3501830 ) ( 2149350 * )
+      NEW met2 ( 1793770 2911590 ) ( * 3501830 )
+      NEW met1 ( 2149350 3501830 ) M1M2_PR
+      NEW met1 ( 1793770 2911590 ) M1M2_PR
+      NEW met1 ( 1789170 2911590 ) M1M2_PR
+      NEW met1 ( 1793770 3501830 ) M1M2_PR ;
     - io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) + USE SIGNAL
-      + ROUTED met1 ( 1825050 3500470 ) ( 1835630 * )
-      NEW met2 ( 1840100 2590120 0 ) ( * 2590460 )
-      NEW met2 ( 1835630 2590460 ) ( 1840100 * )
-      NEW met2 ( 1835630 2590460 ) ( * 3500470 )
-      NEW met2 ( 1825050 3500470 ) ( * 3517980 0 )
-      NEW met1 ( 1825050 3500470 ) M1M2_PR
-      NEW met1 ( 1835630 3500470 ) M1M2_PR ;
+      + ROUTED met1 ( 1569290 2911590 ) ( 1572970 * )
+      NEW met2 ( 1569290 2896460 ) ( * 2911590 )
+      NEW met2 ( 1569290 2896460 ) ( 1569400 * )
+      NEW met2 ( 1569400 2895100 0 ) ( * 2896460 )
+      NEW met2 ( 1572970 2911590 ) ( * 3501490 )
+      NEW met2 ( 1825050 3501490 ) ( * 3517980 0 )
+      NEW met1 ( 1572970 3501490 ) ( 1825050 * )
+      NEW met1 ( 1572970 2911590 ) M1M2_PR
+      NEW met1 ( 1569290 2911590 ) M1M2_PR
+      NEW met1 ( 1572970 3501490 ) M1M2_PR
+      NEW met1 ( 1825050 3501490 ) M1M2_PR ;
     - io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) + USE SIGNAL
-      + ROUTED met2 ( 1570900 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1570670 2591140 ) ( 1570900 * )
-      NEW met2 ( 1570670 2591140 ) ( * 2604570 )
-      NEW met1 ( 1503970 2604570 ) ( 1570670 * )
-      NEW met1 ( 1500750 3498430 ) ( 1503970 * )
-      NEW met2 ( 1503970 2604570 ) ( * 3498430 )
-      NEW met2 ( 1500750 3498430 ) ( * 3517980 0 )
-      NEW met1 ( 1570670 2604570 ) M1M2_PR
-      NEW met1 ( 1503970 2604570 ) M1M2_PR
-      NEW met1 ( 1500750 3498430 ) M1M2_PR
-      NEW met1 ( 1503970 3498430 ) M1M2_PR ;
+      + ROUTED met1 ( 1349410 2911590 ) ( 1352170 * )
+      NEW met2 ( 1349410 2896460 ) ( * 2911590 )
+      NEW met2 ( 1349410 2896460 ) ( 1349500 * )
+      NEW met2 ( 1349500 2895100 0 ) ( * 2896460 )
+      NEW met2 ( 1352170 2911590 ) ( * 3501490 )
+      NEW met1 ( 1352170 3501490 ) ( 1500750 * )
+      NEW met2 ( 1500750 3501490 ) ( * 3517980 0 )
+      NEW met1 ( 1352170 2911590 ) M1M2_PR
+      NEW met1 ( 1349410 2911590 ) M1M2_PR
+      NEW met1 ( 1352170 3501490 ) M1M2_PR
+      NEW met1 ( 1500750 3501490 ) M1M2_PR ;
     - io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 428060 ) ( * 428250 )
-      NEW met3 ( 2729180 428250 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 231540 ) ( * 234430 )
+      + ROUTED met2 ( 2900990 231540 ) ( * 234430 )
       NEW met3 ( 2900990 231540 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 428060 ) ( 2744130 * )
-      NEW met2 ( 2744130 234430 ) ( * 428060 )
-      NEW met1 ( 2744130 234430 ) ( 2900990 * )
+      NEW met3 ( 2289420 445740 ) ( 2302530 * )
+      NEW met3 ( 2289420 445535 ) ( * 445740 )
+      NEW met3 ( 2286200 445535 0 ) ( 2289420 * )
+      NEW met2 ( 2302530 234430 ) ( * 445740 )
+      NEW met1 ( 2302530 234430 ) ( 2900990 * )
       NEW met1 ( 2900990 234430 ) M1M2_PR
       NEW met2 ( 2900990 231540 ) M2M3_PR_M
-      NEW met1 ( 2744130 234430 ) M1M2_PR
-      NEW met2 ( 2744130 428060 ) M2M3_PR_M ;
+      NEW met1 ( 2302530 234430 ) M1M2_PR
+      NEW met2 ( 2302530 445740 ) M2M3_PR_M ;
     - io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) + USE SIGNAL
-      + ROUTED met1 ( 1175990 3501830 ) ( 1179670 * )
-      NEW met2 ( 1179670 2604570 ) ( * 3501830 )
-      NEW met2 ( 1175990 3501830 ) ( * 3517980 0 )
-      NEW met1 ( 1179670 2604570 ) ( 1301570 * )
-      NEW met2 ( 1301800 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1301570 2591140 ) ( 1301800 * )
-      NEW met2 ( 1301570 2591140 ) ( * 2604570 )
-      NEW met1 ( 1179670 2604570 ) M1M2_PR
-      NEW met1 ( 1175990 3501830 ) M1M2_PR
-      NEW met1 ( 1179670 3501830 ) M1M2_PR
-      NEW met1 ( 1301570 2604570 ) M1M2_PR ;
+      + ROUTED met2 ( 1175990 3501490 ) ( * 3517980 0 )
+      NEW met1 ( 1129530 2911590 ) ( 1135050 * )
+      NEW met2 ( 1129530 2896460 ) ( * 2911590 )
+      NEW met2 ( 1129500 2896460 ) ( 1129530 * )
+      NEW met2 ( 1129500 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 1135050 3501490 ) ( 1175990 * )
+      NEW met2 ( 1135050 2911590 ) ( * 3501490 )
+      NEW met1 ( 1175990 3501490 ) M1M2_PR
+      NEW met1 ( 1135050 2911590 ) M1M2_PR
+      NEW met1 ( 1129530 2911590 ) M1M2_PR
+      NEW met1 ( 1135050 3501490 ) M1M2_PR ;
     - io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) + USE SIGNAL
       + ROUTED met1 ( 851690 3501150 ) ( 855370 * )
-      NEW met1 ( 855370 2605250 ) ( 1032470 * )
-      NEW met2 ( 855370 2605250 ) ( * 3501150 )
+      NEW met2 ( 909650 2896460 ) ( * 2908190 )
+      NEW met2 ( 909600 2896460 ) ( 909650 * )
+      NEW met2 ( 909600 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 855370 2908190 ) ( 909650 * )
+      NEW met2 ( 855370 2908190 ) ( * 3501150 )
       NEW met2 ( 851690 3501150 ) ( * 3517980 0 )
-      NEW met2 ( 1032600 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1032470 2591140 ) ( 1032600 * )
-      NEW met2 ( 1032470 2591140 ) ( * 2605250 )
-      NEW met1 ( 855370 2605250 ) M1M2_PR
+      NEW met1 ( 855370 2908190 ) M1M2_PR
       NEW met1 ( 851690 3501150 ) M1M2_PR
       NEW met1 ( 855370 3501150 ) M1M2_PR
-      NEW met1 ( 1032470 2605250 ) M1M2_PR ;
+      NEW met1 ( 909650 2908190 ) M1M2_PR ;
     - io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) + USE SIGNAL
-      + ROUTED met2 ( 763600 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 763370 2591140 ) ( 763600 * )
-      NEW met2 ( 763370 2591140 ) ( * 2605250 )
+      + ROUTED met2 ( 689310 2896460 ) ( * 2908870 )
+      NEW met2 ( 689310 2896460 ) ( 689700 * )
+      NEW met2 ( 689700 2895100 0 ) ( * 2896460 )
       NEW met1 ( 527390 3501830 ) ( 531070 * )
-      NEW met1 ( 531070 2605250 ) ( 763370 * )
-      NEW met2 ( 531070 2605250 ) ( * 3501830 )
+      NEW met1 ( 531070 2908870 ) ( 689310 * )
+      NEW met2 ( 531070 2908870 ) ( * 3501830 )
       NEW met2 ( 527390 3501830 ) ( * 3517980 0 )
-      NEW met1 ( 763370 2605250 ) M1M2_PR
-      NEW met1 ( 531070 2605250 ) M1M2_PR
+      NEW met1 ( 689310 2908870 ) M1M2_PR
+      NEW met1 ( 531070 2908870 ) M1M2_PR
       NEW met1 ( 527390 3501830 ) M1M2_PR
       NEW met1 ( 531070 3501830 ) M1M2_PR ;
     - io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) + USE SIGNAL
       + ROUTED met1 ( 202630 3501830 ) ( 206770 * )
-      NEW met2 ( 206770 2605250 ) ( * 3501830 )
+      NEW met2 ( 469890 2896460 ) ( * 2908870 )
+      NEW met2 ( 469800 2896460 ) ( 469890 * )
+      NEW met2 ( 469800 2895100 0 ) ( * 2896460 )
+      NEW met2 ( 206770 2908870 ) ( * 3501830 )
       NEW met2 ( 202630 3501830 ) ( * 3517980 0 )
-      NEW met2 ( 494400 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 494270 2591140 ) ( 494400 * )
-      NEW met2 ( 494270 2591140 ) ( * 2605250 )
-      NEW met1 ( 206770 2605250 ) ( 494270 * )
-      NEW met1 ( 206770 2605250 ) M1M2_PR
+      NEW met1 ( 206770 2908870 ) ( 469890 * )
+      NEW met1 ( 206770 2908870 ) M1M2_PR
       NEW met1 ( 202630 3501830 ) M1M2_PR
       NEW met1 ( 206770 3501830 ) M1M2_PR
-      NEW met1 ( 494270 2605250 ) M1M2_PR ;
+      NEW met1 ( 469890 2908870 ) M1M2_PR ;
     - io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3421420 0 ) ( 17710 * )
-      NEW met2 ( 17710 2490670 ) ( * 3421420 )
-      NEW met2 ( 345230 2486420 ) ( * 2490670 )
-      NEW met3 ( 345230 2486420 ) ( 357420 * )
-      NEW met3 ( 357420 2486330 ) ( * 2486420 )
-      NEW met3 ( 357420 2486330 ) ( 360180 * 0 )
-      NEW met1 ( 17710 2490670 ) ( 345230 * )
-      NEW met1 ( 17710 2490670 ) M1M2_PR
+      NEW met2 ( 17710 2780690 ) ( * 3421420 )
+      NEW met2 ( 345230 2778140 ) ( * 2780690 )
+      NEW met3 ( 345230 2778140 ) ( 357420 * )
+      NEW met3 ( 357420 2777855 ) ( * 2778140 )
+      NEW met3 ( 357420 2777855 ) ( 360180 * 0 )
+      NEW met1 ( 17710 2780690 ) ( 345230 * )
+      NEW met1 ( 17710 2780690 ) M1M2_PR
       NEW met2 ( 17710 3421420 ) M2M3_PR_M
-      NEW met1 ( 345230 2490670 ) M1M2_PR
-      NEW met2 ( 345230 2486420 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2780690 ) M1M2_PR
+      NEW met2 ( 345230 2778140 ) M2M3_PR_M ;
     - io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3160300 0 ) ( 19550 * )
-      NEW met2 ( 19550 2318290 ) ( * 3160300 )
-      NEW met2 ( 345230 2317780 ) ( * 2318290 )
-      NEW met3 ( 345230 2317780 ) ( 357420 * )
-      NEW met3 ( 357420 2317780 ) ( * 2318180 )
-      NEW met3 ( 357420 2318180 ) ( 360180 * 0 )
-      NEW met1 ( 19550 2318290 ) ( 345230 * )
-      NEW met1 ( 19550 2318290 ) M1M2_PR
+      NEW met2 ( 19550 2587230 ) ( * 3160300 )
+      NEW met2 ( 345230 2587060 ) ( * 2587230 )
+      NEW met3 ( 345230 2587060 ) ( 357420 * )
+      NEW met3 ( 357420 2587060 ) ( * 2587295 )
+      NEW met3 ( 357420 2587295 ) ( 360180 * 0 )
+      NEW met1 ( 19550 2587230 ) ( 345230 * )
+      NEW met1 ( 19550 2587230 ) M1M2_PR
       NEW met2 ( 19550 3160300 ) M2M3_PR_M
-      NEW met1 ( 345230 2318290 ) M1M2_PR
-      NEW met2 ( 345230 2317780 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2587230 ) M1M2_PR
+      NEW met2 ( 345230 2587060 ) M2M3_PR_M ;
     - io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) + USE SIGNAL
       + ROUTED met3 ( 2300 2899860 0 ) ( 16330 * )
-      NEW met2 ( 16330 2152710 ) ( * 2899860 )
-      NEW met2 ( 345230 2149820 ) ( * 2152710 )
-      NEW met3 ( 345230 2149820 ) ( 357420 * )
-      NEW met3 ( 357420 2149820 ) ( * 2150030 )
-      NEW met3 ( 357420 2150030 ) ( 360180 * 0 )
-      NEW met1 ( 16330 2152710 ) ( 345230 * )
-      NEW met1 ( 16330 2152710 ) M1M2_PR
+      NEW met2 ( 16330 2400910 ) ( * 2899860 )
+      NEW met2 ( 345230 2396660 ) ( * 2400910 )
+      NEW met3 ( 345230 2396660 ) ( 357420 * )
+      NEW met3 ( 357420 2396640 ) ( * 2396660 )
+      NEW met3 ( 357420 2396640 ) ( 360180 * 0 )
+      NEW met1 ( 16330 2400910 ) ( 345230 * )
       NEW met2 ( 16330 2899860 ) M2M3_PR_M
-      NEW met1 ( 345230 2152710 ) M1M2_PR
-      NEW met2 ( 345230 2149820 ) M2M3_PR_M ;
+      NEW met1 ( 16330 2400910 ) M1M2_PR
+      NEW met1 ( 345230 2400910 ) M1M2_PR
+      NEW met2 ( 345230 2396660 ) M2M3_PR_M ;
     - io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2639420 0 ) ( 14490 * )
-      NEW met2 ( 14490 1987130 ) ( * 2639420 )
-      NEW met2 ( 345230 1981860 ) ( * 1987130 )
-      NEW met3 ( 345230 1981860 ) ( 357420 * )
-      NEW met3 ( 357420 1981690 ) ( * 1981860 )
-      NEW met3 ( 357420 1981690 ) ( 360180 * 0 )
-      NEW met1 ( 14490 1987130 ) ( 345230 * )
-      NEW met2 ( 14490 2639420 ) M2M3_PR_M
-      NEW met1 ( 14490 1987130 ) M1M2_PR
-      NEW met1 ( 345230 1987130 ) M1M2_PR
-      NEW met2 ( 345230 1981860 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2639420 0 ) ( 18170 * )
+      NEW met2 ( 18170 2207790 ) ( * 2639420 )
+      NEW met2 ( 345230 2206260 ) ( * 2207790 )
+      NEW met3 ( 345230 2206260 ) ( 357420 * )
+      NEW met3 ( 357420 2205985 ) ( * 2206260 )
+      NEW met3 ( 357420 2205985 ) ( 360180 * 0 )
+      NEW met1 ( 18170 2207790 ) ( 345230 * )
+      NEW met2 ( 18170 2639420 ) M2M3_PR_M
+      NEW met1 ( 18170 2207790 ) M1M2_PR
+      NEW met1 ( 345230 2207790 ) M1M2_PR
+      NEW met2 ( 345230 2206260 ) M2M3_PR_M ;
     - io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2378300 0 ) ( 18170 * )
-      NEW met2 ( 18170 1814410 ) ( * 2378300 )
-      NEW met2 ( 345230 1813900 ) ( * 1814410 )
-      NEW met3 ( 345230 1813900 ) ( 357420 * )
-      NEW met3 ( 357420 1813635 ) ( * 1813900 )
-      NEW met3 ( 357420 1813635 ) ( 360180 * 0 )
-      NEW met1 ( 18170 1814410 ) ( 345230 * )
-      NEW met1 ( 18170 1814410 ) M1M2_PR
-      NEW met2 ( 18170 2378300 ) M2M3_PR_M
-      NEW met1 ( 345230 1814410 ) M1M2_PR
-      NEW met2 ( 345230 1813900 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2378300 0 ) ( 20010 * )
+      NEW met2 ( 20010 2021470 ) ( * 2378300 )
+      NEW met2 ( 345230 2015180 ) ( * 2021470 )
+      NEW met3 ( 345230 2015180 ) ( 357420 * )
+      NEW met3 ( 357420 2015180 ) ( * 2015330 )
+      NEW met3 ( 357420 2015330 ) ( 360180 * 0 )
+      NEW met1 ( 20010 2021470 ) ( 345230 * )
+      NEW met1 ( 20010 2021470 ) M1M2_PR
+      NEW met2 ( 20010 2378300 ) M2M3_PR_M
+      NEW met1 ( 345230 2021470 ) M1M2_PR
+      NEW met2 ( 345230 2015180 ) M2M3_PR_M ;
     - io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2117860 0 ) ( 20010 * )
-      NEW met2 ( 20010 1648830 ) ( * 2117860 )
-      NEW met2 ( 345230 1645260 ) ( * 1648830 )
-      NEW met3 ( 345230 1645260 ) ( 357420 * )
-      NEW met3 ( 357420 1645260 ) ( * 1645295 )
-      NEW met3 ( 357420 1645295 ) ( 360180 * 0 )
-      NEW met1 ( 20010 1648830 ) ( 345230 * )
-      NEW met1 ( 20010 1648830 ) M1M2_PR
-      NEW met2 ( 20010 2117860 ) M2M3_PR_M
-      NEW met1 ( 345230 1648830 ) M1M2_PR
-      NEW met2 ( 345230 1645260 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2117860 0 ) ( 18170 * )
+      NEW met2 ( 18170 1828350 ) ( * 2117860 )
+      NEW met2 ( 345230 1824780 ) ( * 1828350 )
+      NEW met3 ( 345230 1824780 ) ( 357420 * )
+      NEW met3 ( 357420 1824670 ) ( * 1824780 )
+      NEW met3 ( 357420 1824670 ) ( 360180 * 0 )
+      NEW met1 ( 18170 1828350 ) ( 345230 * )
+      NEW met1 ( 18170 1828350 ) M1M2_PR
+      NEW met2 ( 18170 2117860 ) M2M3_PR_M
+      NEW met1 ( 345230 1828350 ) M1M2_PR
+      NEW met2 ( 345230 1824780 ) M2M3_PR_M ;
     - io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 556580 ) ( * 556880 )
-      NEW met3 ( 2729180 556880 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 430780 ) ( * 434690 )
+      + ROUTED met2 ( 2900990 430780 ) ( * 434690 )
       NEW met3 ( 2900990 430780 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 556580 ) ( 2743210 * )
-      NEW met2 ( 2743210 434690 ) ( * 556580 )
-      NEW met1 ( 2743210 434690 ) ( 2900990 * )
+      NEW met3 ( 2289420 591260 ) ( 2301610 * )
+      NEW met3 ( 2289420 591260 ) ( * 591265 )
+      NEW met3 ( 2286200 591265 0 ) ( 2289420 * )
+      NEW met2 ( 2301610 434690 ) ( * 591260 )
+      NEW met1 ( 2301610 434690 ) ( 2900990 * )
       NEW met1 ( 2900990 434690 ) M1M2_PR
       NEW met2 ( 2900990 430780 ) M2M3_PR_M
-      NEW met1 ( 2743210 434690 ) M1M2_PR
-      NEW met2 ( 2743210 556580 ) M2M3_PR_M ;
+      NEW met2 ( 2301610 591260 ) M2M3_PR_M
+      NEW met1 ( 2301610 434690 ) M1M2_PR ;
     - io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) + USE SIGNAL
       + ROUTED met3 ( 2300 1856740 0 ) ( 17250 * )
-      NEW met2 ( 17250 1483250 ) ( * 1856740 )
-      NEW met2 ( 345230 1477300 ) ( * 1483250 )
-      NEW met3 ( 345230 1477300 ) ( 357420 * )
-      NEW met3 ( 357420 1477050 ) ( * 1477300 )
-      NEW met3 ( 357420 1477050 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1483250 ) ( 345230 * )
-      NEW met1 ( 17250 1483250 ) M1M2_PR
+      NEW met2 ( 17250 1635230 ) ( * 1856740 )
+      NEW met2 ( 345230 1634380 ) ( * 1635230 )
+      NEW met3 ( 345230 1634380 ) ( 357420 * )
+      NEW met3 ( 357420 1634015 ) ( * 1634380 )
+      NEW met3 ( 357420 1634015 ) ( 360180 * 0 )
+      NEW met1 ( 17250 1635230 ) ( 345230 * )
+      NEW met1 ( 17250 1635230 ) M1M2_PR
       NEW met2 ( 17250 1856740 ) M2M3_PR_M
-      NEW met1 ( 345230 1483250 ) M1M2_PR
-      NEW met2 ( 345230 1477300 ) M2M3_PR_M ;
+      NEW met1 ( 345230 1635230 ) M1M2_PR
+      NEW met2 ( 345230 1634380 ) M2M3_PR_M ;
     - io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1596300 0 ) ( 19090 * )
-      NEW met2 ( 19090 1310870 ) ( * 1596300 )
-      NEW met2 ( 345230 1309340 ) ( * 1310870 )
-      NEW met3 ( 345230 1309340 ) ( 357420 * )
-      NEW met3 ( 357420 1308995 ) ( * 1309340 )
-      NEW met3 ( 357420 1308995 ) ( 360180 * 0 )
-      NEW met1 ( 19090 1310870 ) ( 345230 * )
-      NEW met1 ( 19090 1310870 ) M1M2_PR
-      NEW met2 ( 19090 1596300 ) M2M3_PR_M
-      NEW met1 ( 345230 1310870 ) M1M2_PR
-      NEW met2 ( 345230 1309340 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1596300 0 ) ( 17250 * )
+      NEW met2 ( 17250 1448910 ) ( * 1596300 )
+      NEW met2 ( 345230 1443980 ) ( * 1448910 )
+      NEW met3 ( 345230 1443980 ) ( 357420 * )
+      NEW met3 ( 357420 1443650 ) ( * 1443980 )
+      NEW met3 ( 357420 1443650 ) ( 360180 * 0 )
+      NEW met1 ( 17250 1448910 ) ( 345230 * )
+      NEW met1 ( 17250 1448910 ) M1M2_PR
+      NEW met2 ( 17250 1596300 ) M2M3_PR_M
+      NEW met1 ( 345230 1448910 ) M1M2_PR
+      NEW met2 ( 345230 1443980 ) M2M3_PR_M ;
     - io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1335860 0 ) ( 18170 * )
-      NEW met2 ( 18170 1145290 ) ( * 1335860 )
-      NEW met2 ( 345230 1140700 ) ( * 1145290 )
-      NEW met3 ( 345230 1140700 ) ( 357420 * )
-      NEW met3 ( 357420 1140700 ) ( * 1140750 )
-      NEW met3 ( 357420 1140750 ) ( 360180 * 0 )
-      NEW met1 ( 18170 1145290 ) ( 345230 * )
-      NEW met1 ( 18170 1145290 ) M1M2_PR
-      NEW met2 ( 18170 1335860 ) M2M3_PR_M
-      NEW met1 ( 345230 1145290 ) M1M2_PR
-      NEW met2 ( 345230 1140700 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1335860 0 ) ( 17710 * )
+      NEW met2 ( 17710 1255790 ) ( * 1335860 )
+      NEW met2 ( 345230 1252900 ) ( * 1255790 )
+      NEW met3 ( 345230 1252900 ) ( 357420 * )
+      NEW met3 ( 357420 1252800 ) ( * 1252900 )
+      NEW met3 ( 357420 1252800 ) ( 360180 * 0 )
+      NEW met1 ( 17710 1255790 ) ( 345230 * )
+      NEW met1 ( 17710 1255790 ) M1M2_PR
+      NEW met2 ( 17710 1335860 ) M2M3_PR_M
+      NEW met1 ( 345230 1255790 ) M1M2_PR
+      NEW met2 ( 345230 1252900 ) M2M3_PR_M ;
     - io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1074740 0 ) ( 18170 * )
-      NEW met2 ( 18170 972570 ) ( * 1074740 )
-      NEW met2 ( 345230 972570 ) ( * 972740 )
-      NEW met3 ( 345230 972740 ) ( 357420 * )
-      NEW met3 ( 357420 972505 ) ( * 972740 )
-      NEW met3 ( 357420 972505 ) ( 360180 * 0 )
-      NEW met1 ( 18170 972570 ) ( 345230 * )
-      NEW met1 ( 18170 972570 ) M1M2_PR
-      NEW met2 ( 18170 1074740 ) M2M3_PR_M
-      NEW met1 ( 345230 972570 ) M1M2_PR
-      NEW met2 ( 345230 972740 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1074740 0 ) ( 15870 * )
+      NEW met2 ( 15870 1062330 ) ( * 1074740 )
+      NEW met2 ( 345230 1062330 ) ( * 1062500 )
+      NEW met3 ( 345230 1062500 ) ( 357420 * )
+      NEW met3 ( 357420 1062145 ) ( * 1062500 )
+      NEW met3 ( 357420 1062145 ) ( 360180 * 0 )
+      NEW met1 ( 15870 1062330 ) ( 345230 * )
+      NEW met2 ( 15870 1074740 ) M2M3_PR_M
+      NEW met1 ( 15870 1062330 ) M1M2_PR
+      NEW met1 ( 345230 1062330 ) M1M2_PR
+      NEW met2 ( 345230 1062500 ) M2M3_PR_M ;
     - io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 814300 0 ) ( 14490 * )
-      NEW met2 ( 14490 806990 ) ( * 814300 )
-      NEW met2 ( 345230 804780 ) ( * 806990 )
-      NEW met3 ( 345230 804780 ) ( 357420 * )
-      NEW met3 ( 357420 804450 ) ( * 804780 )
-      NEW met3 ( 357420 804450 ) ( 360180 * 0 )
-      NEW met1 ( 14490 806990 ) ( 345230 * )
-      NEW met1 ( 14490 806990 ) M1M2_PR
-      NEW met2 ( 14490 814300 ) M2M3_PR_M
-      NEW met1 ( 345230 806990 ) M1M2_PR
-      NEW met2 ( 345230 804780 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 814300 0 ) ( 17250 * )
+      NEW met2 ( 17250 814300 ) ( * 869550 )
+      NEW met2 ( 345230 869550 ) ( * 871420 )
+      NEW met3 ( 345230 871420 ) ( 357420 * )
+      NEW met3 ( 357420 871420 ) ( * 871680 )
+      NEW met3 ( 357420 871680 ) ( 360180 * 0 )
+      NEW met1 ( 17250 869550 ) ( 345230 * )
+      NEW met1 ( 17250 869550 ) M1M2_PR
+      NEW met2 ( 17250 814300 ) M2M3_PR_M
+      NEW met1 ( 345230 869550 ) M1M2_PR
+      NEW met2 ( 345230 871420 ) M2M3_PR_M ;
     - io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) + USE SIGNAL
       + ROUTED met3 ( 2300 553180 0 ) ( 17250 * )
-      NEW met2 ( 17250 553180 ) ( * 634950 )
-      NEW met2 ( 345230 634950 ) ( * 636140 )
-      NEW met3 ( 345230 636140 ) ( 357420 * )
-      NEW met3 ( 357420 636015 ) ( * 636140 )
-      NEW met3 ( 357420 636015 ) ( 360180 * 0 )
-      NEW met1 ( 17250 634950 ) ( 345230 * )
+      NEW met2 ( 17250 553180 ) ( * 676430 )
+      NEW met2 ( 345230 676430 ) ( * 681020 )
+      NEW met3 ( 345230 681020 ) ( 357420 * )
+      NEW met3 ( 357420 680930 ) ( * 681020 )
+      NEW met3 ( 357420 680930 ) ( 360180 * 0 )
+      NEW met1 ( 17250 676430 ) ( 345230 * )
+      NEW met1 ( 17250 676430 ) M1M2_PR
       NEW met2 ( 17250 553180 ) M2M3_PR_M
-      NEW met1 ( 17250 634950 ) M1M2_PR
-      NEW met1 ( 345230 634950 ) M1M2_PR
-      NEW met2 ( 345230 636140 ) M2M3_PR_M ;
+      NEW met1 ( 345230 676430 ) M1M2_PR
+      NEW met2 ( 345230 681020 ) M2M3_PR_M ;
     - io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) + USE SIGNAL
       + ROUTED met3 ( 2300 358020 0 ) ( 17250 * )
-      NEW met2 ( 17250 358020 ) ( * 503710 )
-      NEW met2 ( 345230 503710 ) ( * 509660 )
-      NEW met3 ( 345230 509660 ) ( 357420 * )
-      NEW met3 ( 357420 509660 ) ( * 509950 )
-      NEW met3 ( 357420 509950 ) ( 360180 * 0 )
-      NEW met1 ( 17250 503710 ) ( 345230 * )
-      NEW met1 ( 17250 503710 ) M1M2_PR
+      NEW met2 ( 17250 358020 ) ( * 531590 )
+      NEW met2 ( 345230 531590 ) ( * 537540 )
+      NEW met3 ( 345230 537540 ) ( 357420 * )
+      NEW met3 ( 357420 537540 ) ( * 537985 )
+      NEW met3 ( 357420 537985 ) ( 360180 * 0 )
+      NEW met1 ( 17250 531590 ) ( 345230 * )
       NEW met2 ( 17250 358020 ) M2M3_PR_M
-      NEW met1 ( 345230 503710 ) M1M2_PR
-      NEW met2 ( 345230 509660 ) M2M3_PR_M ;
+      NEW met1 ( 17250 531590 ) M1M2_PR
+      NEW met1 ( 345230 531590 ) M1M2_PR
+      NEW met2 ( 345230 537540 ) M2M3_PR_M ;
     - io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) + USE SIGNAL
       + ROUTED met3 ( 2300 162180 0 ) ( 18170 * )
-      NEW met2 ( 18170 162180 ) ( * 379610 )
-      NEW met2 ( 345230 379610 ) ( * 383860 )
-      NEW met3 ( 345230 383860 ) ( 357420 * )
-      NEW met3 ( 357420 383860 ) ( * 383885 )
-      NEW met3 ( 357420 383885 ) ( 360180 * 0 )
-      NEW met1 ( 18170 379610 ) ( 345230 * )
-      NEW met1 ( 18170 379610 ) M1M2_PR
+      NEW met2 ( 18170 162180 ) ( * 393550 )
+      NEW met2 ( 345230 393550 ) ( * 394740 )
+      NEW met3 ( 345230 394740 ) ( 357420 * )
+      NEW met3 ( 357420 394740 ) ( * 395040 )
+      NEW met3 ( 357420 395040 ) ( 360180 * 0 )
+      NEW met1 ( 18170 393550 ) ( 345230 * )
+      NEW met1 ( 18170 393550 ) M1M2_PR
       NEW met2 ( 18170 162180 ) M2M3_PR_M
-      NEW met1 ( 345230 379610 ) M1M2_PR
-      NEW met2 ( 345230 383860 ) M2M3_PR_M ;
+      NEW met1 ( 345230 393550 ) M1M2_PR
+      NEW met2 ( 345230 394740 ) M2M3_PR_M ;
     - io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 685100 ) ( * 685510 )
-      NEW met3 ( 2729180 685510 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 630020 ) ( * 634610 )
+      + ROUTED met2 ( 2900990 630020 ) ( * 634610 )
       NEW met3 ( 2900990 630020 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 685100 ) ( 2743210 * )
-      NEW met2 ( 2743210 634610 ) ( * 685100 )
-      NEW met1 ( 2743210 634610 ) ( 2900990 * )
+      NEW met3 ( 2289420 736780 ) ( 2301610 * )
+      NEW met3 ( 2289420 736780 ) ( * 736895 )
+      NEW met3 ( 2286200 736895 0 ) ( 2289420 * )
+      NEW met2 ( 2301610 634610 ) ( * 736780 )
+      NEW met1 ( 2301610 634610 ) ( 2900990 * )
       NEW met1 ( 2900990 634610 ) M1M2_PR
       NEW met2 ( 2900990 630020 ) M2M3_PR_M
-      NEW met2 ( 2743210 685100 ) M2M3_PR_M
-      NEW met1 ( 2743210 634610 ) M1M2_PR ;
+      NEW met1 ( 2301610 634610 ) M1M2_PR
+      NEW met2 ( 2301610 736780 ) M2M3_PR_M ;
     - io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 813620 ) ( * 814045 )
-      NEW met3 ( 2729180 814045 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 828070 ) ( * 829260 )
+      + ROUTED met2 ( 2900990 829260 ) ( * 834870 )
       NEW met3 ( 2900990 829260 ) ( 2917780 * 0 )
-      NEW met2 ( 2745970 813620 ) ( * 828070 )
-      NEW met3 ( 2731940 813620 ) ( 2745970 * )
-      NEW met1 ( 2745970 828070 ) ( 2900990 * )
-      NEW met1 ( 2900990 828070 ) M1M2_PR
+      NEW met3 ( 2289420 882300 ) ( 2301150 * )
+      NEW met3 ( 2289420 882300 ) ( * 882625 )
+      NEW met3 ( 2286200 882625 0 ) ( 2289420 * )
+      NEW met2 ( 2301150 834870 ) ( * 882300 )
+      NEW met1 ( 2301150 834870 ) ( 2900990 * )
+      NEW met1 ( 2900990 834870 ) M1M2_PR
       NEW met2 ( 2900990 829260 ) M2M3_PR_M
-      NEW met2 ( 2745970 813620 ) M2M3_PR_M
-      NEW met1 ( 2745970 828070 ) M1M2_PR ;
+      NEW met2 ( 2301150 882300 ) M2M3_PR_M
+      NEW met1 ( 2301150 834870 ) M1M2_PR ;
     - io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 942580 ) ( * 942820 )
-      NEW met3 ( 2729180 942580 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 1028330 ) ( * 1028500 )
+      + ROUTED met2 ( 2900990 1028500 ) ( * 1031390 )
       NEW met3 ( 2900990 1028500 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 942820 ) ( 2743670 * )
-      NEW met2 ( 2743670 942820 ) ( * 1028330 )
-      NEW met1 ( 2743670 1028330 ) ( 2900990 * )
-      NEW met1 ( 2900990 1028330 ) M1M2_PR
+      NEW met3 ( 2286200 1028450 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1028450 ) ( * 1028500 )
+      NEW met3 ( 2289420 1028500 ) ( 2297930 * )
+      NEW met2 ( 2297930 1028500 ) ( * 1031390 )
+      NEW met1 ( 2297930 1031390 ) ( 2900990 * )
+      NEW met1 ( 2900990 1031390 ) M1M2_PR
       NEW met2 ( 2900990 1028500 ) M2M3_PR_M
-      NEW met2 ( 2743670 942820 ) M2M3_PR_M
-      NEW met1 ( 2743670 1028330 ) M1M2_PR ;
+      NEW met2 ( 2297930 1028500 ) M2M3_PR_M
+      NEW met1 ( 2297930 1031390 ) M1M2_PR ;
     - io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1071115 ) ( * 1071340 )
-      NEW met3 ( 2729180 1071115 0 ) ( 2731940 * )
-      NEW met2 ( 2899150 1221450 ) ( * 1227740 )
+      + ROUTED met2 ( 2899150 1221450 ) ( * 1227740 )
       NEW met3 ( 2899150 1227740 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1071340 ) ( 2744130 * )
-      NEW met2 ( 2744130 1071340 ) ( * 1221450 )
-      NEW met1 ( 2744130 1221450 ) ( 2899150 * )
+      NEW met3 ( 2286200 1174080 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1174020 ) ( * 1174080 )
+      NEW met3 ( 2289420 1174020 ) ( 2301610 * )
+      NEW met2 ( 2301610 1174020 ) ( * 1221450 )
+      NEW met1 ( 2301610 1221450 ) ( 2899150 * )
       NEW met1 ( 2899150 1221450 ) M1M2_PR
       NEW met2 ( 2899150 1227740 ) M2M3_PR_M
-      NEW met2 ( 2744130 1071340 ) M2M3_PR_M
-      NEW met1 ( 2744130 1221450 ) M1M2_PR ;
+      NEW met2 ( 2301610 1174020 ) M2M3_PR_M
+      NEW met1 ( 2301610 1221450 ) M1M2_PR ;
     - io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1242590 ) ( * 1242700 )
-      NEW met3 ( 2729180 1242590 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 1490730 ) ( * 1493620 )
+      + ROUTED met2 ( 2900990 1490730 ) ( * 1493620 )
       NEW met3 ( 2900990 1493620 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1242700 ) ( 2745050 * )
-      NEW met2 ( 2745050 1242700 ) ( * 1490730 )
-      NEW met1 ( 2745050 1490730 ) ( 2900990 * )
+      NEW met3 ( 2286200 1368290 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1368290 ) ( * 1368500 )
+      NEW met3 ( 2289420 1368500 ) ( 2302070 * )
+      NEW met2 ( 2302070 1368500 ) ( * 1490730 )
+      NEW met1 ( 2302070 1490730 ) ( 2900990 * )
       NEW met1 ( 2900990 1490730 ) M1M2_PR
       NEW met2 ( 2900990 1493620 ) M2M3_PR_M
-      NEW met2 ( 2745050 1242700 ) M2M3_PR_M
-      NEW met1 ( 2745050 1490730 ) M1M2_PR ;
+      NEW met2 ( 2302070 1368500 ) M2M3_PR_M
+      NEW met1 ( 2302070 1490730 ) M1M2_PR ;
     - io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 1759500 ) ( * 1759670 )
       NEW met3 ( 2900990 1759500 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1414060 ) ( * 1414065 )
-      NEW met3 ( 2729180 1414065 0 ) ( 2731940 * )
-      NEW met1 ( 2743210 1759670 ) ( 2900990 * )
-      NEW met3 ( 2731940 1414060 ) ( 2743210 * )
-      NEW met2 ( 2743210 1414060 ) ( * 1759670 )
+      NEW met3 ( 2286200 1562590 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1562300 ) ( * 1562590 )
+      NEW met3 ( 2289420 1562300 ) ( 2302070 * )
+      NEW met1 ( 2302070 1759670 ) ( 2900990 * )
+      NEW met2 ( 2302070 1562300 ) ( * 1759670 )
       NEW met1 ( 2900990 1759670 ) M1M2_PR
       NEW met2 ( 2900990 1759500 ) M2M3_PR_M
-      NEW met1 ( 2743210 1759670 ) M1M2_PR
-      NEW met2 ( 2743210 1414060 ) M2M3_PR_M ;
+      NEW met2 ( 2302070 1562300 ) M2M3_PR_M
+      NEW met1 ( 2302070 1759670 ) M1M2_PR ;
     - io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 2021810 ) ( * 2024700 )
       NEW met3 ( 2900990 2024700 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1585420 ) ( * 1585540 )
-      NEW met3 ( 2729180 1585540 0 ) ( 2731940 * )
-      NEW met1 ( 2745970 2021810 ) ( 2900990 * )
-      NEW met3 ( 2731940 1585420 ) ( 2745970 * )
-      NEW met2 ( 2745970 1585420 ) ( * 2021810 )
+      NEW met3 ( 2286200 1756990 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1756780 ) ( * 1756990 )
+      NEW met3 ( 2289420 1756780 ) ( 2302990 * )
+      NEW met1 ( 2302990 2021810 ) ( 2900990 * )
+      NEW met2 ( 2302990 1756780 ) ( * 2021810 )
       NEW met1 ( 2900990 2021810 ) M1M2_PR
       NEW met2 ( 2900990 2024700 ) M2M3_PR_M
-      NEW met1 ( 2745970 2021810 ) M1M2_PR
-      NEW met2 ( 2745970 1585420 ) M2M3_PR_M ;
+      NEW met2 ( 2302990 1756780 ) M2M3_PR_M
+      NEW met1 ( 2302990 2021810 ) M1M2_PR ;
     - io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 385220 ) ( * 385405 )
-      NEW met3 ( 2729180 385405 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 165410 ) ( * 165580 )
+      + ROUTED met2 ( 2900990 165410 ) ( * 165580 )
       NEW met3 ( 2900990 165580 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 385220 ) ( 2743670 * )
-      NEW met2 ( 2743670 165410 ) ( * 385220 )
-      NEW met1 ( 2743670 165410 ) ( 2900990 * )
+      NEW met3 ( 2289420 396780 ) ( 2302070 * )
+      NEW met3 ( 2289420 396780 ) ( * 396865 )
+      NEW met3 ( 2286200 396865 0 ) ( 2289420 * )
+      NEW met2 ( 2302070 165410 ) ( * 396780 )
+      NEW met1 ( 2302070 165410 ) ( 2900990 * )
       NEW met1 ( 2900990 165410 ) M1M2_PR
       NEW met2 ( 2900990 165580 ) M2M3_PR_M
-      NEW met2 ( 2743670 385220 ) M2M3_PR_M
-      NEW met1 ( 2743670 165410 ) M1M2_PR ;
+      NEW met2 ( 2302070 396780 ) M2M3_PR_M
+      NEW met1 ( 2302070 165410 ) M1M2_PR ;
     - io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1842460 ) ( * 1842610 )
-      NEW met3 ( 2729180 1842610 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 2421990 ) ( * 2423180 )
+      + ROUTED met2 ( 2900990 2421990 ) ( * 2423180 )
       NEW met3 ( 2900990 2423180 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1842460 ) ( 2743210 * )
-      NEW met1 ( 2743210 2421990 ) ( 2900990 * )
-      NEW met2 ( 2743210 1842460 ) ( * 2421990 )
+      NEW met3 ( 2286200 2048350 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2048350 ) ( * 2048500 )
+      NEW met3 ( 2289420 2048500 ) ( 2303910 * )
+      NEW met1 ( 2303910 2421990 ) ( 2900990 * )
+      NEW met2 ( 2303910 2048500 ) ( * 2421990 )
       NEW met1 ( 2900990 2421990 ) M1M2_PR
       NEW met2 ( 2900990 2423180 ) M2M3_PR_M
-      NEW met2 ( 2743210 1842460 ) M2M3_PR_M
-      NEW met1 ( 2743210 2421990 ) M1M2_PR ;
+      NEW met2 ( 2303910 2048500 ) M2M3_PR_M
+      NEW met1 ( 2303910 2421990 ) M1M2_PR ;
     - io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 2014085 ) ( * 2014500 )
-      NEW met3 ( 2729180 2014085 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 2684130 ) ( * 2689060 )
+      + ROUTED met2 ( 2900990 2684130 ) ( * 2689060 )
       NEW met3 ( 2900990 2689060 ) ( 2917780 * 0 )
-      NEW met2 ( 2741830 2014500 ) ( * 2014670 )
-      NEW met1 ( 2741830 2014670 ) ( 2756550 * )
-      NEW met3 ( 2731940 2014500 ) ( 2741830 * )
-      NEW met2 ( 2756550 2014670 ) ( * 2684130 )
-      NEW met1 ( 2756550 2684130 ) ( 2900990 * )
+      NEW met3 ( 2286200 2242655 0 ) ( 2287580 * )
+      NEW met3 ( 2287580 2242655 ) ( * 2242980 )
+      NEW met3 ( 2287580 2242980 ) ( 2302070 * )
+      NEW met2 ( 2302070 2242980 ) ( * 2684130 )
+      NEW met1 ( 2302070 2684130 ) ( 2900990 * )
       NEW met1 ( 2900990 2684130 ) M1M2_PR
       NEW met2 ( 2900990 2689060 ) M2M3_PR_M
-      NEW met2 ( 2741830 2014500 ) M2M3_PR_M
-      NEW met1 ( 2741830 2014670 ) M1M2_PR
-      NEW met1 ( 2756550 2014670 ) M1M2_PR
-      NEW met1 ( 2756550 2684130 ) M1M2_PR ;
+      NEW met2 ( 2302070 2242980 ) M2M3_PR_M
+      NEW met1 ( 2302070 2684130 ) M1M2_PR ;
     - io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 2185465 ) ( * 2185860 )
-      NEW met3 ( 2729180 2185465 0 ) ( 2731940 * )
-      NEW met2 ( 2899150 2953410 ) ( * 2954940 )
+      + ROUTED met2 ( 2899150 2953410 ) ( * 2954940 )
       NEW met3 ( 2899150 2954940 ) ( 2917780 * 0 )
-      NEW met2 ( 2745050 2185860 ) ( * 2186030 )
-      NEW met1 ( 2745050 2186030 ) ( 2777250 * )
-      NEW met3 ( 2731940 2185860 ) ( 2745050 * )
-      NEW met2 ( 2777250 2186030 ) ( * 2953410 )
-      NEW met1 ( 2777250 2953410 ) ( 2899150 * )
+      NEW met3 ( 2286200 2436960 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2436780 ) ( * 2436960 )
+      NEW met3 ( 2289420 2436780 ) ( 2300690 * )
+      NEW met2 ( 2300690 2436780 ) ( * 2953410 )
+      NEW met1 ( 2300690 2953410 ) ( 2899150 * )
       NEW met1 ( 2899150 2953410 ) M1M2_PR
       NEW met2 ( 2899150 2954940 ) M2M3_PR_M
-      NEW met2 ( 2745050 2185860 ) M2M3_PR_M
-      NEW met1 ( 2745050 2186030 ) M1M2_PR
-      NEW met1 ( 2777250 2186030 ) M1M2_PR
-      NEW met1 ( 2777250 2953410 ) M1M2_PR ;
+      NEW met2 ( 2300690 2436780 ) M2M3_PR_M
+      NEW met1 ( 2300690 2953410 ) M1M2_PR ;
     - io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 3215550 ) ( * 3220140 )
       NEW met3 ( 2900990 3220140 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 2357035 ) ( * 2357220 )
-      NEW met3 ( 2729180 2357035 0 ) ( 2731940 * )
-      NEW met1 ( 2744130 3215550 ) ( 2900990 * )
-      NEW met3 ( 2731940 2357220 ) ( 2744130 * )
-      NEW met2 ( 2744130 2357220 ) ( * 3215550 )
+      NEW met3 ( 2286200 2631265 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2631260 ) ( * 2631265 )
+      NEW met3 ( 2289420 2631260 ) ( 2302990 * )
+      NEW met1 ( 2302990 3215550 ) ( 2900990 * )
+      NEW met2 ( 2302990 2631260 ) ( * 3215550 )
       NEW met1 ( 2900990 3215550 ) M1M2_PR
       NEW met2 ( 2900990 3220140 ) M2M3_PR_M
-      NEW met1 ( 2744130 3215550 ) M1M2_PR
-      NEW met2 ( 2744130 2357220 ) M2M3_PR_M ;
+      NEW met2 ( 2302990 2631260 ) M2M3_PR_M
+      NEW met1 ( 2302990 3215550 ) M1M2_PR ;
     - io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 2525180 ) ( * 2525375 )
-      NEW met3 ( 2729180 2525375 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 3484830 ) ( * 3486020 )
+      + ROUTED met2 ( 2900990 3484830 ) ( * 3486020 )
       NEW met3 ( 2900990 3486020 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 2525180 ) ( 2742750 * )
-      NEW met1 ( 2742750 3484830 ) ( 2900990 * )
-      NEW met2 ( 2742750 2525180 ) ( * 3484830 )
+      NEW met3 ( 2286200 2823550 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2823550 ) ( * 2823700 )
+      NEW met3 ( 2289420 2823700 ) ( 2301150 * )
+      NEW met1 ( 2301150 3484830 ) ( 2900990 * )
+      NEW met2 ( 2301150 2823700 ) ( * 3484830 )
       NEW met1 ( 2900990 3484830 ) M1M2_PR
       NEW met2 ( 2900990 3486020 ) M2M3_PR_M
-      NEW met2 ( 2742750 2525180 ) M2M3_PR_M
-      NEW met1 ( 2742750 3484830 ) M1M2_PR ;
+      NEW met2 ( 2301150 2823700 ) M2M3_PR_M
+      NEW met1 ( 2301150 3484830 ) M1M2_PR ;
     - io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) + USE SIGNAL
-      + ROUTED met1 ( 2512750 2607970 ) ( 2518270 * )
-      NEW met2 ( 2512900 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 2512750 2591140 ) ( 2512900 * )
-      NEW met2 ( 2512750 2591140 ) ( * 2607970 )
-      NEW met2 ( 2518270 2607970 ) ( * 3501490 )
-      NEW met2 ( 2636030 3501490 ) ( * 3517980 0 )
-      NEW met1 ( 2518270 3501490 ) ( 2636030 * )
-      NEW met1 ( 2512750 2607970 ) M1M2_PR
-      NEW met1 ( 2518270 2607970 ) M1M2_PR
-      NEW met1 ( 2518270 3501490 ) M1M2_PR
-      NEW met1 ( 2636030 3501490 ) M1M2_PR ;
+      + ROUTED met1 ( 2119450 2911590 ) ( 2124970 * )
+      NEW met2 ( 2119450 2896460 ) ( * 2911590 )
+      NEW met2 ( 2119300 2896460 ) ( 2119450 * )
+      NEW met2 ( 2119300 2895100 0 ) ( * 2896460 )
+      NEW met2 ( 2124970 2911590 ) ( * 3502510 )
+      NEW met2 ( 2636030 3502510 ) ( * 3517980 0 )
+      NEW met1 ( 2124970 3502510 ) ( 2636030 * )
+      NEW met1 ( 2124970 2911590 ) M1M2_PR
+      NEW met1 ( 2119450 2911590 ) M1M2_PR
+      NEW met1 ( 2124970 3502510 ) M1M2_PR
+      NEW met1 ( 2636030 3502510 ) M1M2_PR ;
     - io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) + USE SIGNAL
-      + ROUTED met1 ( 2243650 2605590 ) ( 2249170 * )
-      NEW met2 ( 2243800 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 2243650 2591140 ) ( 2243800 * )
-      NEW met2 ( 2243650 2591140 ) ( * 2605590 )
-      NEW met2 ( 2249170 2605590 ) ( * 3501490 )
-      NEW met2 ( 2311730 3501490 ) ( * 3517980 0 )
-      NEW met1 ( 2249170 3501490 ) ( 2311730 * )
-      NEW met1 ( 2243650 2605590 ) M1M2_PR
-      NEW met1 ( 2249170 2605590 ) M1M2_PR
-      NEW met1 ( 2249170 3501490 ) M1M2_PR
-      NEW met1 ( 2311730 3501490 ) M1M2_PR ;
+      + ROUTED met2 ( 2311730 3503870 ) ( * 3517980 0 )
+      NEW met1 ( 1899570 2911590 ) ( 1904170 * )
+      NEW met2 ( 1899570 2896460 ) ( * 2911590 )
+      NEW met2 ( 1899300 2896460 ) ( 1899570 * )
+      NEW met2 ( 1899300 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 1904170 3503870 ) ( 2311730 * )
+      NEW met2 ( 1904170 2911590 ) ( * 3503870 )
+      NEW met1 ( 2311730 3503870 ) M1M2_PR
+      NEW met1 ( 1904170 2911590 ) M1M2_PR
+      NEW met1 ( 1899570 2911590 ) M1M2_PR
+      NEW met1 ( 1904170 3503870 ) M1M2_PR ;
     - io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) + USE SIGNAL
-      + ROUTED met1 ( 1974550 2607970 ) ( 1980070 * )
-      NEW met1 ( 1980070 3498430 ) ( 1987430 * )
-      NEW met2 ( 1974700 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1974550 2591140 ) ( 1974700 * )
-      NEW met2 ( 1974550 2591140 ) ( * 2607970 )
-      NEW met2 ( 1980070 2607970 ) ( * 3498430 )
-      NEW met2 ( 1987430 3498430 ) ( * 3517980 0 )
-      NEW met1 ( 1974550 2607970 ) M1M2_PR
-      NEW met1 ( 1980070 2607970 ) M1M2_PR
-      NEW met1 ( 1980070 3498430 ) M1M2_PR
-      NEW met1 ( 1987430 3498430 ) M1M2_PR ;
+      + ROUTED met1 ( 1679690 2911590 ) ( 1683370 * )
+      NEW met2 ( 1679690 2896460 ) ( * 2911590 )
+      NEW met2 ( 1679400 2896460 ) ( 1679690 * )
+      NEW met2 ( 1679400 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 1683370 3502510 ) ( 1987430 * )
+      NEW met2 ( 1683370 2911590 ) ( * 3502510 )
+      NEW met2 ( 1987430 3502510 ) ( * 3517980 0 )
+      NEW met1 ( 1683370 2911590 ) M1M2_PR
+      NEW met1 ( 1679690 2911590 ) M1M2_PR
+      NEW met1 ( 1683370 3502510 ) M1M2_PR
+      NEW met1 ( 1987430 3502510 ) M1M2_PR ;
     - io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) + USE SIGNAL
-      + ROUTED met2 ( 1662670 2604570 ) ( * 3517980 0 )
-      NEW met1 ( 1662670 2604570 ) ( 1705450 * )
-      NEW met2 ( 1705500 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1705450 2591140 ) ( 1705500 * )
-      NEW met2 ( 1705450 2591140 ) ( * 2604570 )
-      NEW met1 ( 1662670 2604570 ) M1M2_PR
-      NEW met1 ( 1705450 2604570 ) M1M2_PR ;
+      + ROUTED met1 ( 1459350 2911590 ) ( 1462570 * )
+      NEW met2 ( 1459350 2896460 ) ( * 2911590 )
+      NEW met2 ( 1459350 2896460 ) ( 1459500 * )
+      NEW met2 ( 1459500 2895100 0 ) ( * 2896460 )
+      NEW met2 ( 1462570 2911590 ) ( * 3502170 )
+      NEW met2 ( 1662670 3502170 ) ( * 3517980 0 )
+      NEW met1 ( 1462570 3502170 ) ( 1662670 * )
+      NEW met1 ( 1462570 2911590 ) M1M2_PR
+      NEW met1 ( 1459350 2911590 ) M1M2_PR
+      NEW met1 ( 1462570 3502170 ) M1M2_PR
+      NEW met1 ( 1662670 3502170 ) M1M2_PR ;
     - io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) + USE SIGNAL
-      + ROUTED met2 ( 1436400 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1436350 2591140 ) ( 1436400 * )
-      NEW met2 ( 1436350 2591140 ) ( * 2604570 )
-      NEW met1 ( 1338370 2604570 ) ( 1436350 * )
-      NEW met2 ( 1338370 2604570 ) ( * 3517980 0 )
-      NEW met1 ( 1436350 2604570 ) M1M2_PR
-      NEW met1 ( 1338370 2604570 ) M1M2_PR ;
+      + ROUTED met1 ( 1239470 2911590 ) ( 1241770 * )
+      NEW met2 ( 1239470 2896460 ) ( * 2911590 )
+      NEW met2 ( 1239470 2896460 ) ( 1239600 * )
+      NEW met2 ( 1239600 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 1241770 3501490 ) ( 1338370 * )
+      NEW met2 ( 1241770 2911590 ) ( * 3501490 )
+      NEW met2 ( 1338370 3501490 ) ( * 3517980 0 )
+      NEW met1 ( 1241770 2911590 ) M1M2_PR
+      NEW met1 ( 1239470 2911590 ) M1M2_PR
+      NEW met1 ( 1241770 3501490 ) M1M2_PR
+      NEW met1 ( 1338370 3501490 ) M1M2_PR ;
     - io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 513740 ) ( * 514035 )
-      NEW met3 ( 2729180 514035 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 364820 ) ( * 365670 )
+      + ROUTED met2 ( 2900990 364820 ) ( * 365670 )
       NEW met3 ( 2900990 364820 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 513740 ) ( 2742750 * )
-      NEW met2 ( 2742750 365670 ) ( * 513740 )
-      NEW met1 ( 2742750 365670 ) ( 2900990 * )
+      NEW met3 ( 2289420 542300 ) ( 2301150 * )
+      NEW met3 ( 2289420 542300 ) ( * 542495 )
+      NEW met3 ( 2286200 542495 0 ) ( 2289420 * )
+      NEW met2 ( 2301150 365670 ) ( * 542300 )
+      NEW met1 ( 2301150 365670 ) ( 2900990 * )
       NEW met1 ( 2900990 365670 ) M1M2_PR
       NEW met2 ( 2900990 364820 ) M2M3_PR_M
-      NEW met2 ( 2742750 513740 ) M2M3_PR_M
-      NEW met1 ( 2742750 365670 ) M1M2_PR ;
+      NEW met1 ( 2301150 365670 ) M1M2_PR
+      NEW met2 ( 2301150 542300 ) M2M3_PR_M ;
     - io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) + USE SIGNAL
-      + ROUTED met2 ( 1167300 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1167250 2591140 ) ( 1167300 * )
-      NEW met2 ( 1167250 2591140 ) ( * 2604910 )
-      NEW met1 ( 1014070 2604910 ) ( 1167250 * )
-      NEW met2 ( 1014070 2604910 ) ( * 3517980 0 )
-      NEW met1 ( 1167250 2604910 ) M1M2_PR
-      NEW met1 ( 1014070 2604910 ) M1M2_PR ;
+      + ROUTED met1 ( 1014070 2911590 ) ( 1019590 * )
+      NEW met2 ( 1019590 2896460 ) ( * 2911590 )
+      NEW met2 ( 1019590 2896460 ) ( 1019600 * )
+      NEW met2 ( 1019600 2895100 0 ) ( * 2896460 )
+      NEW met2 ( 1014070 2911590 ) ( * 3517980 0 )
+      NEW met1 ( 1014070 2911590 ) M1M2_PR
+      NEW met1 ( 1019590 2911590 ) M1M2_PR ;
     - io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) + USE SIGNAL
-      + ROUTED met2 ( 689770 2604570 ) ( * 3512100 )
+      + ROUTED met2 ( 799710 2896460 ) ( * 2908530 )
+      NEW met2 ( 799700 2896460 ) ( 799710 * )
+      NEW met2 ( 799700 2895100 0 ) ( * 2896460 )
+      NEW met2 ( 689770 2908530 ) ( * 3512100 )
       NEW met2 ( 689310 3512100 ) ( 689770 * )
       NEW met2 ( 689310 3512100 ) ( * 3517980 0 )
-      NEW met2 ( 898200 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 898150 2591140 ) ( 898200 * )
-      NEW met2 ( 898150 2591140 ) ( * 2604570 )
-      NEW met1 ( 689770 2604570 ) ( 898150 * )
-      NEW met1 ( 689770 2604570 ) M1M2_PR
-      NEW met1 ( 898150 2604570 ) M1M2_PR ;
+      NEW met1 ( 689770 2908530 ) ( 799710 * )
+      NEW met1 ( 689770 2908530 ) M1M2_PR
+      NEW met1 ( 799710 2908530 ) M1M2_PR ;
     - io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) + USE SIGNAL
-      + ROUTED met1 ( 365470 2604570 ) ( 629050 * )
-      NEW met2 ( 365470 2604570 ) ( * 3512100 )
+      + ROUTED met2 ( 579830 2896460 ) ( * 2908190 )
+      NEW met2 ( 579800 2896460 ) ( 579830 * )
+      NEW met2 ( 579800 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 365470 2908190 ) ( 579830 * )
+      NEW met2 ( 365470 2908190 ) ( * 3512100 )
       NEW met2 ( 365010 3512100 ) ( 365470 * )
       NEW met2 ( 365010 3512100 ) ( * 3517980 0 )
-      NEW met2 ( 629000 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 629000 2591140 ) ( 629050 * )
-      NEW met2 ( 629050 2591140 ) ( * 2604570 )
-      NEW met1 ( 365470 2604570 ) M1M2_PR
-      NEW met1 ( 629050 2604570 ) M1M2_PR ;
+      NEW met1 ( 579830 2908190 ) M1M2_PR
+      NEW met1 ( 365470 2908190 ) M1M2_PR ;
     - io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) + USE SIGNAL
-      + ROUTED met1 ( 41170 2604570 ) ( 364550 * )
-      NEW met2 ( 41170 2604570 ) ( * 3512100 )
+      + ROUTED met2 ( 363630 2896460 ) ( * 2908190 )
+      NEW met2 ( 363630 2896460 ) ( 363700 * )
+      NEW met2 ( 363700 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 41170 2908190 ) ( 363630 * )
+      NEW met2 ( 41170 2908190 ) ( * 3512100 )
       NEW met2 ( 40710 3512100 ) ( 41170 * )
       NEW met2 ( 40710 3512100 ) ( * 3517980 0 )
-      NEW met2 ( 364800 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 364550 2591140 ) ( 364800 * )
-      NEW met2 ( 364550 2591140 ) ( * 2604570 )
-      NEW met1 ( 41170 2604570 ) M1M2_PR
-      NEW met1 ( 364550 2604570 ) M1M2_PR ;
+      NEW met1 ( 41170 2908190 ) M1M2_PR
+      NEW met1 ( 363630 2908190 ) M1M2_PR ;
     - io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3290860 0 ) ( 18630 * )
-      NEW met2 ( 18630 2408050 ) ( * 3290860 )
-      NEW met2 ( 345230 2402100 ) ( * 2408050 )
-      NEW met3 ( 345230 2402100 ) ( 357420 * )
-      NEW met3 ( 357420 2402100 ) ( * 2402255 )
-      NEW met3 ( 357420 2402255 ) ( 360180 * 0 )
-      NEW met1 ( 18630 2408050 ) ( 345230 * )
-      NEW met1 ( 18630 2408050 ) M1M2_PR
+      NEW met2 ( 18630 2683790 ) ( * 3290860 )
+      NEW met2 ( 345230 2682940 ) ( * 2683790 )
+      NEW met3 ( 345230 2682940 ) ( 357420 * )
+      NEW met3 ( 357420 2682625 ) ( * 2682940 )
+      NEW met3 ( 357420 2682625 ) ( 360180 * 0 )
+      NEW met1 ( 18630 2683790 ) ( 345230 * )
       NEW met2 ( 18630 3290860 ) M2M3_PR_M
-      NEW met1 ( 345230 2408050 ) M1M2_PR
-      NEW met2 ( 345230 2402100 ) M2M3_PR_M ;
+      NEW met1 ( 18630 2683790 ) M1M2_PR
+      NEW met1 ( 345230 2683790 ) M1M2_PR
+      NEW met2 ( 345230 2682940 ) M2M3_PR_M ;
     - io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3030420 0 ) ( 20470 * )
-      NEW met2 ( 20470 2235330 ) ( * 3030420 )
-      NEW met2 ( 345230 2234140 ) ( * 2235330 )
-      NEW met3 ( 345230 2234140 ) ( 357420 * )
-      NEW met3 ( 357420 2234010 ) ( * 2234140 )
-      NEW met3 ( 357420 2234010 ) ( 360180 * 0 )
-      NEW met1 ( 20470 2235330 ) ( 345230 * )
-      NEW met1 ( 20470 2235330 ) M1M2_PR
+      NEW met2 ( 20470 2497470 ) ( * 3030420 )
+      NEW met2 ( 345230 2491860 ) ( * 2497470 )
+      NEW met3 ( 345230 2491860 ) ( 357420 * )
+      NEW met3 ( 357420 2491860 ) ( * 2491970 )
+      NEW met3 ( 357420 2491970 ) ( 360180 * 0 )
+      NEW met1 ( 20470 2497470 ) ( 345230 * )
+      NEW met1 ( 20470 2497470 ) M1M2_PR
       NEW met2 ( 20470 3030420 ) M2M3_PR_M
-      NEW met1 ( 345230 2235330 ) M1M2_PR
-      NEW met2 ( 345230 2234140 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2497470 ) M1M2_PR
+      NEW met2 ( 345230 2491860 ) M2M3_PR_M ;
     - io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2769300 0 ) ( 15410 * )
-      NEW met2 ( 15410 2069750 ) ( * 2769300 )
-      NEW met2 ( 345230 2066180 ) ( * 2069750 )
-      NEW met3 ( 345230 2066180 ) ( 357420 * )
-      NEW met3 ( 357420 2065860 ) ( * 2066180 )
-      NEW met3 ( 357420 2065860 ) ( 360180 * 0 )
-      NEW met1 ( 15410 2069750 ) ( 345230 * )
-      NEW met1 ( 15410 2069750 ) M1M2_PR
-      NEW met2 ( 15410 2769300 ) M2M3_PR_M
-      NEW met1 ( 345230 2069750 ) M1M2_PR
-      NEW met2 ( 345230 2066180 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2769300 0 ) ( 17250 * )
+      NEW met2 ( 17250 2304350 ) ( * 2769300 )
+      NEW met2 ( 345230 2301460 ) ( * 2304350 )
+      NEW met3 ( 345230 2301460 ) ( 357420 * )
+      NEW met3 ( 357420 2301310 ) ( * 2301460 )
+      NEW met3 ( 357420 2301310 ) ( 360180 * 0 )
+      NEW met1 ( 17250 2304350 ) ( 345230 * )
+      NEW met1 ( 17250 2304350 ) M1M2_PR
+      NEW met2 ( 17250 2769300 ) M2M3_PR_M
+      NEW met1 ( 345230 2304350 ) M1M2_PR
+      NEW met2 ( 345230 2301460 ) M2M3_PR_M ;
     - io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2508860 0 ) ( 17250 * )
-      NEW met2 ( 17250 1904170 ) ( * 2508860 )
-      NEW met2 ( 345230 1897540 ) ( * 1904170 )
-      NEW met3 ( 345230 1897540 ) ( 357420 * )
-      NEW met3 ( 357420 1897540 ) ( * 1897615 )
-      NEW met3 ( 357420 1897615 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1904170 ) ( 345230 * )
-      NEW met2 ( 17250 2508860 ) M2M3_PR_M
-      NEW met1 ( 17250 1904170 ) M1M2_PR
-      NEW met1 ( 345230 1904170 ) M1M2_PR
-      NEW met2 ( 345230 1897540 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2508860 0 ) ( 19090 * )
+      NEW met2 ( 19090 2111230 ) ( * 2508860 )
+      NEW met2 ( 345230 2111060 ) ( * 2111230 )
+      NEW met3 ( 345230 2111060 ) ( 357420 * )
+      NEW met3 ( 357420 2110655 ) ( * 2111060 )
+      NEW met3 ( 357420 2110655 ) ( 360180 * 0 )
+      NEW met1 ( 19090 2111230 ) ( 345230 * )
+      NEW met2 ( 19090 2508860 ) M2M3_PR_M
+      NEW met1 ( 19090 2111230 ) M1M2_PR
+      NEW met1 ( 345230 2111230 ) M1M2_PR
+      NEW met2 ( 345230 2111060 ) M2M3_PR_M ;
     - io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2247740 0 ) ( 19090 * )
-      NEW met2 ( 19090 1731790 ) ( * 2247740 )
+      + ROUTED met3 ( 2300 2247740 0 ) ( 17250 * )
+      NEW met2 ( 17250 1924910 ) ( * 2247740 )
+      NEW met2 ( 345230 1919980 ) ( * 1924910 )
+      NEW met3 ( 345230 1919980 ) ( 357420 * )
+      NEW met3 ( 357420 1919980 ) ( * 1920095 )
+      NEW met3 ( 357420 1920095 ) ( 360180 * 0 )
+      NEW met1 ( 17250 1924910 ) ( 345230 * )
+      NEW met1 ( 17250 1924910 ) M1M2_PR
+      NEW met2 ( 17250 2247740 ) M2M3_PR_M
+      NEW met1 ( 345230 1924910 ) M1M2_PR
+      NEW met2 ( 345230 1919980 ) M2M3_PR_M ;
+    - io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL
+      + ROUTED met3 ( 2300 1987300 0 ) ( 19090 * )
+      NEW met2 ( 19090 1731790 ) ( * 1987300 )
       NEW met2 ( 345230 1729580 ) ( * 1731790 )
       NEW met3 ( 345230 1729580 ) ( 357420 * )
-      NEW met3 ( 357420 1729560 ) ( * 1729580 )
-      NEW met3 ( 357420 1729560 ) ( 360180 * 0 )
+      NEW met3 ( 357420 1729345 ) ( * 1729580 )
+      NEW met3 ( 357420 1729345 ) ( 360180 * 0 )
       NEW met1 ( 19090 1731790 ) ( 345230 * )
       NEW met1 ( 19090 1731790 ) M1M2_PR
-      NEW met2 ( 19090 2247740 ) M2M3_PR_M
+      NEW met2 ( 19090 1987300 ) M2M3_PR_M
       NEW met1 ( 345230 1731790 ) M1M2_PR
       NEW met2 ( 345230 1729580 ) M2M3_PR_M ;
-    - io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1987300 0 ) ( 16790 * )
-      NEW met2 ( 16790 1566210 ) ( * 1987300 )
-      NEW met2 ( 345230 1561620 ) ( * 1566210 )
-      NEW met3 ( 345230 1561620 ) ( 357420 * )
-      NEW met3 ( 357420 1561220 ) ( * 1561620 )
-      NEW met3 ( 357420 1561220 ) ( 360180 * 0 )
-      NEW met1 ( 16790 1566210 ) ( 345230 * )
-      NEW met1 ( 16790 1566210 ) M1M2_PR
-      NEW met2 ( 16790 1987300 ) M2M3_PR_M
-      NEW met1 ( 345230 1566210 ) M1M2_PR
-      NEW met2 ( 345230 1561620 ) M2M3_PR_M ;
     - io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 642260 ) ( * 642665 )
-      NEW met3 ( 2729180 642665 0 ) ( 2731940 * )
-      NEW met2 ( 2899150 564060 ) ( * 565590 )
+      + ROUTED met2 ( 2899150 564060 ) ( * 565590 )
       NEW met3 ( 2899150 564060 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 642260 ) ( 2742750 * )
-      NEW met2 ( 2742750 565590 ) ( * 642260 )
-      NEW met1 ( 2742750 565590 ) ( 2899150 * )
+      NEW met3 ( 2289420 687820 ) ( 2301150 * )
+      NEW met3 ( 2289420 687820 ) ( * 688225 )
+      NEW met3 ( 2286200 688225 0 ) ( 2289420 * )
+      NEW met2 ( 2301150 565590 ) ( * 687820 )
+      NEW met1 ( 2301150 565590 ) ( 2899150 * )
       NEW met1 ( 2899150 565590 ) M1M2_PR
       NEW met2 ( 2899150 564060 ) M2M3_PR_M
-      NEW met1 ( 2742750 565590 ) M1M2_PR
-      NEW met2 ( 2742750 642260 ) M2M3_PR_M ;
+      NEW met2 ( 2301150 687820 ) M2M3_PR_M
+      NEW met1 ( 2301150 565590 ) M1M2_PR ;
     - io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) + USE SIGNAL
       + ROUTED met3 ( 2300 1726860 0 ) ( 18170 * )
-      NEW met2 ( 18170 1393490 ) ( * 1726860 )
-      NEW met2 ( 345230 1392980 ) ( * 1393490 )
-      NEW met3 ( 345230 1392980 ) ( 357420 * )
-      NEW met3 ( 357420 1392980 ) ( * 1393070 )
-      NEW met3 ( 357420 1393070 ) ( 360180 * 0 )
-      NEW met1 ( 18170 1393490 ) ( 345230 * )
+      NEW met2 ( 18170 1545470 ) ( * 1726860 )
+      NEW met2 ( 345230 1539180 ) ( * 1545470 )
+      NEW met3 ( 345230 1539180 ) ( 357420 * )
+      NEW met3 ( 357420 1538880 ) ( * 1539180 )
+      NEW met3 ( 357420 1538880 ) ( 360180 * 0 )
+      NEW met1 ( 18170 1545470 ) ( 345230 * )
+      NEW met1 ( 18170 1545470 ) M1M2_PR
       NEW met2 ( 18170 1726860 ) M2M3_PR_M
-      NEW met1 ( 18170 1393490 ) M1M2_PR
-      NEW met1 ( 345230 1393490 ) M1M2_PR
-      NEW met2 ( 345230 1392980 ) M2M3_PR_M ;
+      NEW met1 ( 345230 1545470 ) M1M2_PR
+      NEW met2 ( 345230 1539180 ) M2M3_PR_M ;
     - io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1465740 0 ) ( 17250 * )
-      NEW met2 ( 17250 1227910 ) ( * 1465740 )
-      NEW met2 ( 345230 1225020 ) ( * 1227910 )
-      NEW met3 ( 345230 1225020 ) ( 357420 * )
-      NEW met3 ( 357420 1224825 ) ( * 1225020 )
-      NEW met3 ( 357420 1224825 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1227910 ) ( 345230 * )
-      NEW met2 ( 17250 1465740 ) M2M3_PR_M
-      NEW met1 ( 17250 1227910 ) M1M2_PR
-      NEW met1 ( 345230 1227910 ) M1M2_PR
-      NEW met2 ( 345230 1225020 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1465740 0 ) ( 18170 * )
+      NEW met2 ( 18170 1352350 ) ( * 1465740 )
+      NEW met2 ( 345230 1348100 ) ( * 1352350 )
+      NEW met3 ( 345230 1348100 ) ( 357420 * )
+      NEW met3 ( 357420 1348100 ) ( * 1348130 )
+      NEW met3 ( 357420 1348130 ) ( 360180 * 0 )
+      NEW met1 ( 18170 1352350 ) ( 345230 * )
+      NEW met1 ( 18170 1352350 ) M1M2_PR
+      NEW met2 ( 18170 1465740 ) M2M3_PR_M
+      NEW met1 ( 345230 1352350 ) M1M2_PR
+      NEW met2 ( 345230 1348100 ) M2M3_PR_M ;
     - io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1205300 0 ) ( 17250 * )
-      NEW met2 ( 17250 1062330 ) ( * 1205300 )
-      NEW met2 ( 345230 1056380 ) ( * 1062330 )
-      NEW met3 ( 345230 1056380 ) ( 357420 * )
-      NEW met3 ( 357420 1056380 ) ( * 1056580 )
-      NEW met3 ( 357420 1056580 ) ( 360180 * 0 )
-      NEW met1 ( 17250 1062330 ) ( 345230 * )
-      NEW met1 ( 17250 1062330 ) M1M2_PR
-      NEW met2 ( 17250 1205300 ) M2M3_PR_M
-      NEW met1 ( 345230 1062330 ) M1M2_PR
-      NEW met2 ( 345230 1056380 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1205300 0 ) ( 17710 * )
+      NEW met2 ( 17710 1158890 ) ( * 1205300 )
+      NEW met2 ( 345230 1157700 ) ( * 1158890 )
+      NEW met3 ( 345230 1157700 ) ( 357420 * )
+      NEW met3 ( 357420 1157470 ) ( * 1157700 )
+      NEW met3 ( 357420 1157470 ) ( 360180 * 0 )
+      NEW met1 ( 17710 1158890 ) ( 345230 * )
+      NEW met1 ( 17710 1158890 ) M1M2_PR
+      NEW met2 ( 17710 1205300 ) M2M3_PR_M
+      NEW met1 ( 345230 1158890 ) M1M2_PR
+      NEW met2 ( 345230 1157700 ) M2M3_PR_M ;
     - io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 944180 0 ) ( 17710 * )
-      NEW met2 ( 17710 889950 ) ( * 944180 )
-      NEW met2 ( 345230 888420 ) ( * 889950 )
-      NEW met3 ( 345230 888420 ) ( 357420 * )
-      NEW met3 ( 357420 888420 ) ( * 888430 )
-      NEW met3 ( 357420 888430 ) ( 360180 * 0 )
-      NEW met1 ( 17710 889950 ) ( 345230 * )
-      NEW met1 ( 17710 889950 ) M1M2_PR
-      NEW met2 ( 17710 944180 ) M2M3_PR_M
-      NEW met1 ( 345230 889950 ) M1M2_PR
-      NEW met2 ( 345230 888420 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 944180 0 ) ( 17250 * )
+      NEW met2 ( 17250 944180 ) ( * 966110 )
+      NEW met2 ( 345230 966110 ) ( * 966620 )
+      NEW met3 ( 345230 966620 ) ( 357420 * )
+      NEW met3 ( 357420 966620 ) ( * 967010 )
+      NEW met3 ( 357420 967010 ) ( 360180 * 0 )
+      NEW met1 ( 17250 966110 ) ( 345230 * )
+      NEW met1 ( 17250 966110 ) M1M2_PR
+      NEW met2 ( 17250 944180 ) M2M3_PR_M
+      NEW met1 ( 345230 966110 ) M1M2_PR
+      NEW met2 ( 345230 966620 ) M2M3_PR_M ;
     - io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 683740 0 ) ( 17710 * )
-      NEW met2 ( 17710 683740 ) ( * 717910 )
-      NEW met2 ( 345230 717910 ) ( * 720460 )
-      NEW met3 ( 345230 720460 ) ( 357420 * )
-      NEW met3 ( 357420 720280 ) ( * 720460 )
-      NEW met3 ( 357420 720280 ) ( 360180 * 0 )
-      NEW met1 ( 17710 717910 ) ( 345230 * )
-      NEW met2 ( 17710 683740 ) M2M3_PR_M
-      NEW met1 ( 17710 717910 ) M1M2_PR
-      NEW met1 ( 345230 717910 ) M1M2_PR
-      NEW met2 ( 345230 720460 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 683740 0 ) ( 17250 * )
+      NEW met2 ( 17250 683740 ) ( * 772990 )
+      NEW met2 ( 345230 772990 ) ( * 776220 )
+      NEW met3 ( 345230 776220 ) ( 357420 * )
+      NEW met3 ( 357420 776160 ) ( * 776220 )
+      NEW met3 ( 357420 776160 ) ( 360180 * 0 )
+      NEW met1 ( 17250 772990 ) ( 345230 * )
+      NEW met2 ( 17250 683740 ) M2M3_PR_M
+      NEW met1 ( 17250 772990 ) M1M2_PR
+      NEW met1 ( 345230 772990 ) M1M2_PR
+      NEW met2 ( 345230 776220 ) M2M3_PR_M ;
     - io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) + USE SIGNAL
       + ROUTED met3 ( 2300 423300 0 ) ( 17710 * )
-      NEW met2 ( 17710 423300 ) ( * 552330 )
-      NEW met2 ( 345230 552330 ) ( * 552500 )
-      NEW met3 ( 345230 552500 ) ( 357420 * )
-      NEW met3 ( 357420 552130 ) ( * 552500 )
-      NEW met3 ( 357420 552130 ) ( 360180 * 0 )
-      NEW met1 ( 17710 552330 ) ( 345230 * )
+      NEW met2 ( 17710 423300 ) ( * 579870 )
+      NEW met2 ( 345230 579870 ) ( * 585820 )
+      NEW met3 ( 345230 585820 ) ( 357420 * )
+      NEW met3 ( 357420 585600 ) ( * 585820 )
+      NEW met3 ( 357420 585600 ) ( 360180 * 0 )
+      NEW met1 ( 17710 579870 ) ( 345230 * )
+      NEW met1 ( 17710 579870 ) M1M2_PR
       NEW met2 ( 17710 423300 ) M2M3_PR_M
-      NEW met1 ( 17710 552330 ) M1M2_PR
-      NEW met1 ( 345230 552330 ) M1M2_PR
-      NEW met2 ( 345230 552500 ) M2M3_PR_M ;
+      NEW met1 ( 345230 579870 ) M1M2_PR
+      NEW met2 ( 345230 585820 ) M2M3_PR_M ;
     - io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) + USE SIGNAL
       + ROUTED met3 ( 2300 227460 0 ) ( 18630 * )
-      NEW met2 ( 18630 227460 ) ( * 421090 )
-      NEW met2 ( 345230 421090 ) ( * 426020 )
-      NEW met3 ( 345230 426020 ) ( 357420 * )
-      NEW met3 ( 357420 425970 ) ( * 426020 )
-      NEW met3 ( 357420 425970 ) ( 360180 * 0 )
-      NEW met1 ( 18630 421090 ) ( 345230 * )
+      NEW met2 ( 18630 227460 ) ( * 441830 )
+      NEW met2 ( 345230 441830 ) ( * 442340 )
+      NEW met3 ( 345230 442340 ) ( 357420 * )
+      NEW met3 ( 357420 442340 ) ( * 442655 )
+      NEW met3 ( 357420 442655 ) ( 360180 * 0 )
+      NEW met1 ( 18630 441830 ) ( 345230 * )
       NEW met2 ( 18630 227460 ) M2M3_PR_M
-      NEW met1 ( 18630 421090 ) M1M2_PR
-      NEW met1 ( 345230 421090 ) M1M2_PR
-      NEW met2 ( 345230 426020 ) M2M3_PR_M ;
+      NEW met1 ( 18630 441830 ) M1M2_PR
+      NEW met1 ( 345230 441830 ) M1M2_PR
+      NEW met2 ( 345230 442340 ) M2M3_PR_M ;
     - io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) + USE SIGNAL
       + ROUTED met3 ( 2300 32300 0 ) ( 17250 * )
       NEW met2 ( 17250 32300 ) ( * 296990 )
-      NEW met2 ( 345230 296990 ) ( * 301580 )
-      NEW met3 ( 345230 301580 ) ( 357420 * )
-      NEW met3 ( 357420 301580 ) ( * 301805 )
-      NEW met3 ( 357420 301805 ) ( 360180 * 0 )
+      NEW met2 ( 345230 296990 ) ( * 300900 )
+      NEW met3 ( 345230 300900 ) ( 357420 * )
+      NEW met3 ( 357420 300770 ) ( * 300900 )
+      NEW met3 ( 357420 300770 ) ( 360180 * 0 )
       NEW met1 ( 17250 296990 ) ( 345230 * )
       NEW met2 ( 17250 32300 ) M2M3_PR_M
       NEW met1 ( 17250 296990 ) M1M2_PR
       NEW met1 ( 345230 296990 ) M1M2_PR
-      NEW met2 ( 345230 301580 ) M2M3_PR_M ;
+      NEW met2 ( 345230 300900 ) M2M3_PR_M ;
     - io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 770780 ) ( * 771200 )
-      NEW met3 ( 2729180 771200 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 763300 ) ( * 765850 )
+      + ROUTED met2 ( 2900990 763300 ) ( * 765850 )
       NEW met3 ( 2900990 763300 ) ( 2917780 * 0 )
-      NEW met2 ( 2745970 765850 ) ( * 770780 )
-      NEW met3 ( 2731940 770780 ) ( 2745970 * )
-      NEW met1 ( 2745970 765850 ) ( 2900990 * )
+      NEW met1 ( 2301610 765850 ) ( 2900990 * )
+      NEW met3 ( 2286200 834050 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 834020 ) ( * 834050 )
+      NEW met3 ( 2289420 834020 ) ( 2301610 * )
+      NEW met2 ( 2301610 765850 ) ( * 834020 )
       NEW met1 ( 2900990 765850 ) M1M2_PR
       NEW met2 ( 2900990 763300 ) M2M3_PR_M
-      NEW met2 ( 2745970 770780 ) M2M3_PR_M
-      NEW met1 ( 2745970 765850 ) M1M2_PR ;
+      NEW met1 ( 2301610 765850 ) M1M2_PR
+      NEW met2 ( 2301610 834020 ) M2M3_PR_M ;
     - io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 899735 ) ( * 899980 )
-      NEW met3 ( 2729180 899735 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 959310 ) ( * 962540 )
+      + ROUTED met2 ( 2900990 962540 ) ( * 965770 )
       NEW met3 ( 2900990 962540 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 899980 ) ( 2742750 * )
-      NEW met1 ( 2742750 959310 ) ( 2900990 * )
-      NEW met2 ( 2742750 899980 ) ( * 959310 )
-      NEW met1 ( 2900990 959310 ) M1M2_PR
+      NEW met2 ( 2301150 965770 ) ( * 979540 )
+      NEW met3 ( 2289420 979540 ) ( 2301150 * )
+      NEW met3 ( 2289420 979540 ) ( * 979775 )
+      NEW met3 ( 2286200 979775 0 ) ( 2289420 * )
+      NEW met1 ( 2301150 965770 ) ( 2900990 * )
+      NEW met1 ( 2900990 965770 ) M1M2_PR
       NEW met2 ( 2900990 962540 ) M2M3_PR_M
-      NEW met2 ( 2742750 899980 ) M2M3_PR_M
-      NEW met1 ( 2742750 959310 ) M1M2_PR ;
+      NEW met1 ( 2301150 965770 ) M1M2_PR
+      NEW met2 ( 2301150 979540 ) M2M3_PR_M ;
     - io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 1159230 ) ( * 1161780 )
       NEW met3 ( 2900990 1161780 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1028365 ) ( * 1028500 )
-      NEW met3 ( 2729180 1028365 0 ) ( 2731940 * )
-      NEW met1 ( 2742750 1159230 ) ( 2900990 * )
-      NEW met3 ( 2731940 1028500 ) ( 2742750 * )
-      NEW met2 ( 2742750 1028500 ) ( * 1159230 )
+      NEW met1 ( 2301150 1159230 ) ( 2900990 * )
+      NEW met3 ( 2286200 1125505 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1125505 ) ( * 1125740 )
+      NEW met3 ( 2289420 1125740 ) ( 2301150 * )
+      NEW met2 ( 2301150 1125740 ) ( * 1159230 )
       NEW met1 ( 2900990 1159230 ) M1M2_PR
       NEW met2 ( 2900990 1161780 ) M2M3_PR_M
-      NEW met1 ( 2742750 1159230 ) M1M2_PR
-      NEW met2 ( 2742750 1028500 ) M2M3_PR_M ;
+      NEW met1 ( 2301150 1159230 ) M1M2_PR
+      NEW met2 ( 2301150 1125740 ) M2M3_PR_M ;
     - io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1156995 ) ( * 1157020 )
-      NEW met3 ( 2729180 1156995 0 ) ( 2731940 * )
-      NEW met2 ( 2899150 1359490 ) ( * 1361020 )
+      + ROUTED met2 ( 2899150 1359490 ) ( * 1361020 )
       NEW met3 ( 2899150 1361020 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1157020 ) ( 2743210 * )
-      NEW met1 ( 2743210 1359490 ) ( 2899150 * )
-      NEW met2 ( 2743210 1157020 ) ( * 1359490 )
+      NEW met3 ( 2286200 1271135 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1271135 ) ( * 1271260 )
+      NEW met3 ( 2289420 1271260 ) ( 2301610 * )
+      NEW met1 ( 2301610 1359490 ) ( 2899150 * )
+      NEW met2 ( 2301610 1271260 ) ( * 1359490 )
       NEW met1 ( 2899150 1359490 ) M1M2_PR
       NEW met2 ( 2899150 1361020 ) M2M3_PR_M
-      NEW met2 ( 2743210 1157020 ) M2M3_PR_M
-      NEW met1 ( 2743210 1359490 ) M1M2_PR ;
+      NEW met2 ( 2301610 1271260 ) M2M3_PR_M
+      NEW met1 ( 2301610 1359490 ) M1M2_PR ;
     - io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1328380 ) ( * 1328470 )
-      NEW met3 ( 2729180 1328470 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 1621630 ) ( * 1626220 )
+      + ROUTED met2 ( 2900990 1621630 ) ( * 1626220 )
       NEW met3 ( 2900990 1626220 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1328380 ) ( 2744130 * )
-      NEW met2 ( 2744130 1328380 ) ( * 1621630 )
-      NEW met1 ( 2744130 1621630 ) ( 2900990 * )
+      NEW met3 ( 2286200 1465535 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1465535 ) ( * 1465740 )
+      NEW met3 ( 2289420 1465740 ) ( 2301150 * )
+      NEW met2 ( 2301150 1465740 ) ( * 1621630 )
+      NEW met1 ( 2301150 1621630 ) ( 2900990 * )
       NEW met1 ( 2900990 1621630 ) M1M2_PR
       NEW met2 ( 2900990 1626220 ) M2M3_PR_M
-      NEW met2 ( 2744130 1328380 ) M2M3_PR_M
-      NEW met1 ( 2744130 1621630 ) M1M2_PR ;
+      NEW met2 ( 2301150 1465740 ) M2M3_PR_M
+      NEW met1 ( 2301150 1621630 ) M1M2_PR ;
     - io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1499740 ) ( * 1499850 )
-      NEW met3 ( 2729180 1499850 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 1890910 ) ( * 1892100 )
+      + ROUTED met2 ( 2900990 1890910 ) ( * 1892100 )
       NEW met3 ( 2900990 1892100 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1499740 ) ( 2742290 * )
-      NEW met2 ( 2742290 1499740 ) ( * 1890910 )
-      NEW met1 ( 2742290 1890910 ) ( 2900990 * )
+      NEW met3 ( 2286200 1659840 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1659540 ) ( * 1659840 )
+      NEW met3 ( 2289420 1659540 ) ( 2301150 * )
+      NEW met2 ( 2301150 1659540 ) ( * 1890910 )
+      NEW met1 ( 2301150 1890910 ) ( 2900990 * )
       NEW met1 ( 2900990 1890910 ) M1M2_PR
       NEW met2 ( 2900990 1892100 ) M2M3_PR_M
-      NEW met2 ( 2742290 1499740 ) M2M3_PR_M
-      NEW met1 ( 2742290 1890910 ) M1M2_PR ;
+      NEW met2 ( 2301150 1659540 ) M2M3_PR_M
+      NEW met1 ( 2301150 1890910 ) M1M2_PR ;
     - io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1671100 ) ( * 1671230 )
-      NEW met3 ( 2729180 1671230 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 2153050 ) ( * 2157980 )
+      + ROUTED met2 ( 2900990 2153050 ) ( * 2157980 )
       NEW met3 ( 2900990 2157980 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1671100 ) ( 2745050 * )
-      NEW met1 ( 2745050 2153050 ) ( 2900990 * )
-      NEW met2 ( 2745050 1671100 ) ( * 2153050 )
+      NEW met3 ( 2286200 1854145 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1854020 ) ( * 1854145 )
+      NEW met3 ( 2289420 1854020 ) ( 2302070 * )
+      NEW met1 ( 2302070 2153050 ) ( 2900990 * )
+      NEW met2 ( 2302070 1854020 ) ( * 2153050 )
       NEW met1 ( 2900990 2153050 ) M1M2_PR
       NEW met2 ( 2900990 2157980 ) M2M3_PR_M
-      NEW met2 ( 2745050 1671100 ) M2M3_PR_M
-      NEW met1 ( 2745050 2153050 ) M1M2_PR ;
+      NEW met2 ( 2302070 1854020 ) M2M3_PR_M
+      NEW met1 ( 2302070 2153050 ) M1M2_PR ;
     - io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) + USE SIGNAL
       + ROUTED met2 ( 2900070 98940 ) ( * 103190 )
       NEW met3 ( 2900070 98940 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 342380 ) ( * 342655 )
-      NEW met3 ( 2729180 342655 0 ) ( 2731940 * )
-      NEW met1 ( 2743210 103190 ) ( 2900070 * )
-      NEW met3 ( 2731940 342380 ) ( 2743210 * )
-      NEW met2 ( 2743210 103190 ) ( * 342380 )
+      NEW met1 ( 2301610 103190 ) ( 2900070 * )
+      NEW met3 ( 2289420 347820 ) ( 2301610 * )
+      NEW met3 ( 2289420 347820 ) ( * 348095 )
+      NEW met3 ( 2286200 348095 0 ) ( 2289420 * )
+      NEW met2 ( 2301610 103190 ) ( * 347820 )
       NEW met1 ( 2900070 103190 ) M1M2_PR
       NEW met2 ( 2900070 98940 ) M2M3_PR_M
-      NEW met1 ( 2743210 103190 ) M1M2_PR
-      NEW met2 ( 2743210 342380 ) M2M3_PR_M ;
+      NEW met1 ( 2301610 103190 ) M1M2_PR
+      NEW met2 ( 2301610 347820 ) M2M3_PR_M ;
     - io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1799620 ) ( * 1799765 )
-      NEW met3 ( 2729180 1799765 0 ) ( 2731940 * )
-      NEW met2 ( 2900070 2352970 ) ( * 2357220 )
+      + ROUTED met2 ( 2900070 2352970 ) ( * 2357220 )
       NEW met3 ( 2900070 2357220 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1799620 ) ( 2743670 * )
-      NEW met2 ( 2743670 1799620 ) ( * 2352970 )
-      NEW met1 ( 2743670 2352970 ) ( 2900070 * )
+      NEW met3 ( 2286200 1999870 0 ) ( 2287580 * )
+      NEW met3 ( 2287580 1999870 ) ( * 2000220 )
+      NEW met3 ( 2287580 2000220 ) ( 2304370 * )
+      NEW met2 ( 2304370 2000220 ) ( * 2352970 )
+      NEW met1 ( 2304370 2352970 ) ( 2900070 * )
       NEW met1 ( 2900070 2352970 ) M1M2_PR
       NEW met2 ( 2900070 2357220 ) M2M3_PR_M
-      NEW met2 ( 2743670 1799620 ) M2M3_PR_M
-      NEW met1 ( 2743670 2352970 ) M1M2_PR ;
+      NEW met2 ( 2304370 2000220 ) M2M3_PR_M
+      NEW met1 ( 2304370 2352970 ) M1M2_PR ;
     - io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 2622250 ) ( * 2622420 )
       NEW met3 ( 2900990 2622420 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1971240 ) ( * 1971660 )
-      NEW met3 ( 2729180 1971240 0 ) ( 2731940 * )
-      NEW met1 ( 2784150 2622250 ) ( 2900990 * )
-      NEW met2 ( 2741830 1971660 ) ( * 1971830 )
-      NEW met1 ( 2741830 1971830 ) ( 2784150 * )
-      NEW met3 ( 2731940 1971660 ) ( 2741830 * )
-      NEW met2 ( 2784150 1971830 ) ( * 2622250 )
+      NEW met1 ( 2302530 2622250 ) ( 2900990 * )
+      NEW met3 ( 2286200 2194270 0 ) ( 2287580 * )
+      NEW met3 ( 2287580 2194270 ) ( * 2194700 )
+      NEW met3 ( 2287580 2194700 ) ( 2302530 * )
+      NEW met2 ( 2302530 2194700 ) ( * 2622250 )
       NEW met1 ( 2900990 2622250 ) M1M2_PR
       NEW met2 ( 2900990 2622420 ) M2M3_PR_M
-      NEW met1 ( 2784150 2622250 ) M1M2_PR
-      NEW met2 ( 2741830 1971660 ) M2M3_PR_M
-      NEW met1 ( 2741830 1971830 ) M1M2_PR
-      NEW met1 ( 2784150 1971830 ) M1M2_PR ;
+      NEW met1 ( 2302530 2622250 ) M1M2_PR
+      NEW met2 ( 2302530 2194700 ) M2M3_PR_M ;
     - io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 2142715 ) ( * 2143020 )
-      NEW met3 ( 2729180 2142715 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 2884390 ) ( * 2888300 )
+      + ROUTED met2 ( 2900990 2884390 ) ( * 2888300 )
       NEW met3 ( 2900990 2888300 ) ( 2917780 * 0 )
-      NEW met2 ( 2791050 2145570 ) ( * 2884390 )
-      NEW met2 ( 2741370 2143020 ) ( * 2145570 )
-      NEW met3 ( 2731940 2143020 ) ( 2741370 * )
-      NEW met1 ( 2741370 2145570 ) ( 2791050 * )
-      NEW met1 ( 2791050 2884390 ) ( 2900990 * )
-      NEW met1 ( 2791050 2145570 ) M1M2_PR
-      NEW met1 ( 2791050 2884390 ) M1M2_PR
+      NEW met1 ( 2300230 2884390 ) ( 2900990 * )
+      NEW met3 ( 2286200 2388290 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2388290 ) ( * 2388500 )
+      NEW met3 ( 2289420 2388500 ) ( 2300230 * )
+      NEW met2 ( 2300230 2388500 ) ( * 2884390 )
       NEW met1 ( 2900990 2884390 ) M1M2_PR
       NEW met2 ( 2900990 2888300 ) M2M3_PR_M
-      NEW met2 ( 2741370 2143020 ) M2M3_PR_M
-      NEW met1 ( 2741370 2145570 ) M1M2_PR ;
+      NEW met1 ( 2300230 2884390 ) M1M2_PR
+      NEW met2 ( 2300230 2388500 ) M2M3_PR_M ;
     - io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 2314095 ) ( * 2314380 )
-      NEW met3 ( 2729180 2314095 0 ) ( 2731940 * )
-      NEW met2 ( 2804850 2318290 ) ( * 3153330 )
-      NEW met2 ( 2900990 3153330 ) ( * 3154180 )
+      + ROUTED met2 ( 2900990 3153330 ) ( * 3154180 )
       NEW met3 ( 2900990 3154180 ) ( 2917780 * 0 )
-      NEW met2 ( 2741830 2314380 ) ( * 2318290 )
-      NEW met3 ( 2731940 2314380 ) ( 2741830 * )
-      NEW met1 ( 2741830 2318290 ) ( 2804850 * )
-      NEW met1 ( 2804850 3153330 ) ( 2900990 * )
-      NEW met1 ( 2804850 2318290 ) M1M2_PR
-      NEW met1 ( 2804850 3153330 ) M1M2_PR
+      NEW met3 ( 2286200 2582590 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2582300 ) ( * 2582590 )
+      NEW met3 ( 2289420 2582300 ) ( 2303450 * )
+      NEW met2 ( 2303450 2582300 ) ( * 3153330 )
+      NEW met1 ( 2303450 3153330 ) ( 2900990 * )
       NEW met1 ( 2900990 3153330 ) M1M2_PR
       NEW met2 ( 2900990 3154180 ) M2M3_PR_M
-      NEW met2 ( 2741830 2314380 ) M2M3_PR_M
-      NEW met1 ( 2741830 2318290 ) M1M2_PR ;
+      NEW met2 ( 2303450 2582300 ) M2M3_PR_M
+      NEW met1 ( 2303450 3153330 ) M1M2_PR ;
     - io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 2485570 ) ( * 2485740 )
-      NEW met3 ( 2729180 2485570 0 ) ( 2731940 * )
-      NEW met2 ( 2811750 2490670 ) ( * 3415810 )
-      NEW met2 ( 2900990 3415810 ) ( * 3419380 )
+      + ROUTED met2 ( 2900990 3415810 ) ( * 3419380 )
       NEW met3 ( 2900990 3419380 ) ( 2917780 * 0 )
-      NEW met2 ( 2742750 2485740 ) ( * 2490670 )
-      NEW met3 ( 2731940 2485740 ) ( 2742750 * )
-      NEW met1 ( 2742750 2490670 ) ( 2811750 * )
-      NEW met1 ( 2811750 3415810 ) ( 2900990 * )
-      NEW met1 ( 2811750 2490670 ) M1M2_PR
-      NEW met1 ( 2811750 3415810 ) M1M2_PR
+      NEW met3 ( 2286200 2776990 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 2776780 ) ( * 2776990 )
+      NEW met3 ( 2289420 2776780 ) ( 2301610 * )
+      NEW met2 ( 2301610 2776780 ) ( * 3415810 )
+      NEW met1 ( 2301610 3415810 ) ( 2900990 * )
       NEW met1 ( 2900990 3415810 ) M1M2_PR
       NEW met2 ( 2900990 3419380 ) M2M3_PR_M
-      NEW met2 ( 2742750 2485740 ) M2M3_PR_M
-      NEW met1 ( 2742750 2490670 ) M1M2_PR ;
+      NEW met2 ( 2301610 2776780 ) M2M3_PR_M
+      NEW met1 ( 2301610 3415810 ) M1M2_PR ;
     - io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) + USE SIGNAL
       + ROUTED met2 ( 2717450 3502170 ) ( * 3517980 0 )
-      NEW met1 ( 2580370 3502170 ) ( 2717450 * )
-      NEW met2 ( 2580100 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 2580100 2591140 ) ( 2580370 * )
-      NEW met2 ( 2580370 2591140 ) ( * 3502170 )
+      NEW met1 ( 2174190 2911590 ) ( 2180170 * )
+      NEW met2 ( 2174190 2896460 ) ( * 2911590 )
+      NEW met2 ( 2174190 2896460 ) ( 2174300 * )
+      NEW met2 ( 2174300 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 2180170 3502170 ) ( 2717450 * )
+      NEW met2 ( 2180170 2911590 ) ( * 3502170 )
       NEW met1 ( 2717450 3502170 ) M1M2_PR
-      NEW met1 ( 2580370 3502170 ) M1M2_PR ;
+      NEW met1 ( 2180170 2911590 ) M1M2_PR
+      NEW met1 ( 2174190 2911590 ) M1M2_PR
+      NEW met1 ( 2180170 3502170 ) M1M2_PR ;
     - io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) + USE SIGNAL
-      + ROUTED met2 ( 2311000 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 2311000 2591140 ) ( 2311270 * )
-      NEW met2 ( 2311270 2591140 ) ( * 3501830 )
-      NEW met1 ( 2311270 3501830 ) ( 2392690 * )
-      NEW met2 ( 2392690 3501830 ) ( * 3517980 0 )
-      NEW met1 ( 2311270 3501830 ) M1M2_PR
-      NEW met1 ( 2392690 3501830 ) M1M2_PR ;
+      + ROUTED met1 ( 1954310 2911590 ) ( 1959370 * )
+      NEW met2 ( 1954310 2896460 ) ( * 2911590 )
+      NEW met2 ( 1954300 2896460 ) ( 1954310 * )
+      NEW met2 ( 1954300 2895100 0 ) ( * 2896460 )
+      NEW met2 ( 1959370 2911590 ) ( * 3503530 )
+      NEW met1 ( 1959370 3503530 ) ( 2392690 * )
+      NEW met2 ( 2392690 3503530 ) ( * 3517980 0 )
+      NEW met1 ( 1959370 2911590 ) M1M2_PR
+      NEW met1 ( 1954310 2911590 ) M1M2_PR
+      NEW met1 ( 1959370 3503530 ) M1M2_PR
+      NEW met1 ( 2392690 3503530 ) M1M2_PR ;
     - io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) + USE SIGNAL
-      + ROUTED met2 ( 2041900 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 2041900 2591140 ) ( 2042170 * )
-      NEW met2 ( 2042170 2591140 ) ( * 3498430 )
-      NEW met1 ( 2042170 3498430 ) ( 2068390 * )
-      NEW met2 ( 2068390 3498430 ) ( * 3517980 0 )
-      NEW met1 ( 2042170 3498430 ) M1M2_PR
-      NEW met1 ( 2068390 3498430 ) M1M2_PR ;
+      + ROUTED met1 ( 1734430 2911590 ) ( 1738570 * )
+      NEW met2 ( 1734430 2896460 ) ( * 2911590 )
+      NEW met2 ( 1734400 2896460 ) ( 1734430 * )
+      NEW met2 ( 1734400 2895100 0 ) ( * 2896460 )
+      NEW met2 ( 1738570 2911590 ) ( * 3502170 )
+      NEW met1 ( 1738570 3502170 ) ( 2068390 * )
+      NEW met2 ( 2068390 3502170 ) ( * 3517980 0 )
+      NEW met1 ( 1738570 2911590 ) M1M2_PR
+      NEW met1 ( 1734430 2911590 ) M1M2_PR
+      NEW met1 ( 1738570 3502170 ) M1M2_PR
+      NEW met1 ( 2068390 3502170 ) M1M2_PR ;
     - io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) + USE SIGNAL
-      + ROUTED met1 ( 1749150 2607970 ) ( 1772610 * )
-      NEW met1 ( 1744090 3500470 ) ( 1749150 * )
-      NEW met2 ( 1772800 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1772610 2591140 ) ( 1772800 * )
-      NEW met2 ( 1772610 2591140 ) ( * 2607970 )
-      NEW met2 ( 1749150 2607970 ) ( * 3500470 )
-      NEW met2 ( 1744090 3500470 ) ( * 3517980 0 )
-      NEW met1 ( 1749150 2607970 ) M1M2_PR
-      NEW met1 ( 1772610 2607970 ) M1M2_PR
-      NEW met1 ( 1744090 3500470 ) M1M2_PR
-      NEW met1 ( 1749150 3500470 ) M1M2_PR ;
+      + ROUTED met2 ( 1744090 3501830 ) ( * 3517980 0 )
+      NEW met1 ( 1514550 2911590 ) ( 1517770 * )
+      NEW met2 ( 1514550 2896460 ) ( * 2911590 )
+      NEW met2 ( 1514500 2896460 ) ( 1514550 * )
+      NEW met2 ( 1514500 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 1517770 3501830 ) ( 1744090 * )
+      NEW met2 ( 1517770 2911590 ) ( * 3501830 )
+      NEW met1 ( 1744090 3501830 ) M1M2_PR
+      NEW met1 ( 1517770 2911590 ) M1M2_PR
+      NEW met1 ( 1514550 2911590 ) M1M2_PR
+      NEW met1 ( 1517770 3501830 ) M1M2_PR ;
     - io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) + USE SIGNAL
-      + ROUTED met1 ( 1419330 3500470 ) ( 1424850 * )
-      NEW met1 ( 1424850 2604910 ) ( 1503510 * )
-      NEW met2 ( 1424850 2604910 ) ( * 3500470 )
-      NEW met2 ( 1419330 3500470 ) ( * 3517980 0 )
-      NEW met2 ( 1503600 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1503510 2591140 ) ( 1503600 * )
-      NEW met2 ( 1503510 2591140 ) ( * 2604910 )
-      NEW met1 ( 1424850 2604910 ) M1M2_PR
-      NEW met1 ( 1419330 3500470 ) M1M2_PR
-      NEW met1 ( 1424850 3500470 ) M1M2_PR
-      NEW met1 ( 1503510 2604910 ) M1M2_PR ;
+      + ROUTED met1 ( 1294670 2911590 ) ( 1296970 * )
+      NEW met2 ( 1294670 2896460 ) ( * 2911590 )
+      NEW met2 ( 1294600 2896460 ) ( 1294670 * )
+      NEW met2 ( 1294600 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 1296970 3501830 ) ( 1419330 * )
+      NEW met2 ( 1296970 2911590 ) ( * 3501830 )
+      NEW met2 ( 1419330 3501830 ) ( * 3517980 0 )
+      NEW met1 ( 1296970 2911590 ) M1M2_PR
+      NEW met1 ( 1294670 2911590 ) M1M2_PR
+      NEW met1 ( 1296970 3501830 ) M1M2_PR
+      NEW met1 ( 1419330 3501830 ) M1M2_PR ;
     - io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 470900 ) ( * 471095 )
-      NEW met3 ( 2729180 471095 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 298180 ) ( * 303450 )
+      + ROUTED met2 ( 2900990 298180 ) ( * 303450 )
       NEW met3 ( 2900990 298180 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 470900 ) ( 2744590 * )
-      NEW met1 ( 2744590 303450 ) ( 2900990 * )
-      NEW met2 ( 2744590 303450 ) ( * 470900 )
+      NEW met3 ( 2289420 494020 ) ( 2302990 * )
+      NEW met3 ( 2289420 494015 ) ( * 494020 )
+      NEW met3 ( 2286200 494015 0 ) ( 2289420 * )
+      NEW met1 ( 2302990 303450 ) ( 2900990 * )
+      NEW met2 ( 2302990 303450 ) ( * 494020 )
       NEW met1 ( 2900990 303450 ) M1M2_PR
       NEW met2 ( 2900990 298180 ) M2M3_PR_M
-      NEW met1 ( 2744590 303450 ) M1M2_PR
-      NEW met2 ( 2744590 470900 ) M2M3_PR_M ;
+      NEW met1 ( 2302990 303450 ) M1M2_PR
+      NEW met2 ( 2302990 494020 ) M2M3_PR_M ;
     - io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) + USE SIGNAL
-      + ROUTED met2 ( 1096870 2605250 ) ( * 3512100 )
-      NEW met2 ( 1095030 3512100 ) ( 1096870 * )
-      NEW met2 ( 1095030 3512100 ) ( * 3517980 0 )
-      NEW met1 ( 1096870 2605250 ) ( 1234410 * )
-      NEW met2 ( 1234500 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 1234410 2591140 ) ( 1234500 * )
-      NEW met2 ( 1234410 2591140 ) ( * 2605250 )
-      NEW met1 ( 1096870 2605250 ) M1M2_PR
-      NEW met1 ( 1234410 2605250 ) M1M2_PR ;
+      + ROUTED met2 ( 1074500 2896460 ) ( 1076170 * )
+      NEW met2 ( 1074500 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 1076170 3498430 ) ( 1095030 * )
+      NEW met2 ( 1076170 2896460 ) ( * 3498430 )
+      NEW met2 ( 1095030 3498430 ) ( * 3517980 0 )
+      NEW met1 ( 1076170 3498430 ) M1M2_PR
+      NEW met1 ( 1095030 3498430 ) M1M2_PR ;
     - io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) + USE SIGNAL
       + ROUTED met2 ( 771650 3517980 ) ( 772570 * )
       NEW met2 ( 771650 3517300 ) ( * 3517980 )
       NEW met2 ( 770730 3517300 ) ( 771650 * )
       NEW met2 ( 770730 3517300 ) ( * 3517980 0 )
-      NEW met2 ( 772570 2604910 ) ( * 3517980 )
-      NEW met2 ( 965400 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 965310 2591140 ) ( 965400 * )
-      NEW met2 ( 965310 2591140 ) ( * 2604910 )
-      NEW met1 ( 772570 2604910 ) ( 965310 * )
-      NEW met1 ( 772570 2604910 ) M1M2_PR
-      NEW met1 ( 965310 2604910 ) M1M2_PR ;
+      NEW met2 ( 772570 2908190 ) ( * 3517980 )
+      NEW met2 ( 854450 2896460 ) ( * 2908190 )
+      NEW met2 ( 854450 2896460 ) ( 854600 * )
+      NEW met2 ( 854600 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 772570 2908190 ) ( 854450 * )
+      NEW met1 ( 772570 2908190 ) M1M2_PR
+      NEW met1 ( 854450 2908190 ) M1M2_PR ;
     - io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) + USE SIGNAL
-      + ROUTED met2 ( 696300 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 696210 2591140 ) ( 696300 * )
-      NEW met2 ( 696210 2591140 ) ( * 2604910 )
-      NEW met1 ( 448270 2604910 ) ( 696210 * )
+      + ROUTED met2 ( 634570 2896460 ) ( * 2908530 )
+      NEW met2 ( 634570 2896460 ) ( 634700 * )
+      NEW met2 ( 634700 2895100 0 ) ( * 2896460 )
+      NEW met1 ( 448270 2908530 ) ( 634570 * )
       NEW met2 ( 446890 3517980 ) ( 448270 * )
       NEW met2 ( 446890 3517300 ) ( * 3517980 )
       NEW met2 ( 445970 3517300 ) ( 446890 * )
       NEW met2 ( 445970 3517300 ) ( * 3517980 0 )
-      NEW met2 ( 448270 2604910 ) ( * 3517980 )
-      NEW met1 ( 696210 2604910 ) M1M2_PR
-      NEW met1 ( 448270 2604910 ) M1M2_PR ;
+      NEW met2 ( 448270 2908530 ) ( * 3517980 )
+      NEW met1 ( 448270 2908530 ) M1M2_PR
+      NEW met1 ( 634570 2908530 ) M1M2_PR ;
     - io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) + USE SIGNAL
       + ROUTED met1 ( 121670 3498430 ) ( 123970 * )
-      NEW met2 ( 123970 2604910 ) ( * 3498430 )
+      NEW met2 ( 414690 2896460 ) ( * 2908530 )
+      NEW met2 ( 414690 2896460 ) ( 414900 * )
+      NEW met2 ( 414900 2895100 0 ) ( * 2896460 )
+      NEW met2 ( 123970 2908530 ) ( * 3498430 )
       NEW met2 ( 121670 3498430 ) ( * 3517980 0 )
-      NEW met1 ( 123970 2604910 ) ( 427110 * )
-      NEW met2 ( 427100 2590120 0 ) ( * 2591140 )
-      NEW met2 ( 427100 2591140 ) ( 427110 * )
-      NEW met2 ( 427110 2591140 ) ( * 2604910 )
-      NEW met1 ( 123970 2604910 ) M1M2_PR
+      NEW met1 ( 123970 2908530 ) ( 414690 * )
+      NEW met1 ( 123970 2908530 ) M1M2_PR
       NEW met1 ( 121670 3498430 ) M1M2_PR
       NEW met1 ( 123970 3498430 ) M1M2_PR
-      NEW met1 ( 427110 2604910 ) M1M2_PR ;
+      NEW met1 ( 414690 2908530 ) M1M2_PR ;
     - io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3356140 0 ) ( 18170 * )
-      NEW met2 ( 18170 2449190 ) ( * 3356140 )
-      NEW met2 ( 345230 2444260 ) ( * 2449190 )
-      NEW met3 ( 345230 2444260 ) ( 357420 * )
-      NEW met3 ( 357420 2444260 ) ( * 2444340 )
-      NEW met3 ( 357420 2444340 ) ( 360180 * 0 )
-      NEW met1 ( 18170 2449190 ) ( 345230 * )
-      NEW met1 ( 18170 2449190 ) M1M2_PR
+      NEW met2 ( 18170 2732070 ) ( * 3356140 )
+      NEW met2 ( 345230 2730540 ) ( * 2732070 )
+      NEW met3 ( 345230 2730540 ) ( 357420 * )
+      NEW met3 ( 357420 2730335 ) ( * 2730540 )
+      NEW met3 ( 357420 2730335 ) ( 360180 * 0 )
+      NEW met1 ( 18170 2732070 ) ( 345230 * )
+      NEW met1 ( 18170 2732070 ) M1M2_PR
       NEW met2 ( 18170 3356140 ) M2M3_PR_M
-      NEW met1 ( 345230 2449190 ) M1M2_PR
-      NEW met2 ( 345230 2444260 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2732070 ) M1M2_PR
+      NEW met2 ( 345230 2730540 ) M2M3_PR_M ;
     - io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) + USE SIGNAL
       + ROUTED met3 ( 2300 3095700 0 ) ( 20010 * )
-      NEW met2 ( 20010 2276810 ) ( * 3095700 )
-      NEW met2 ( 345230 2276300 ) ( * 2276810 )
-      NEW met3 ( 345230 2276300 ) ( 357420 * )
-      NEW met3 ( 357420 2276190 ) ( * 2276300 )
-      NEW met3 ( 357420 2276190 ) ( 360180 * 0 )
-      NEW met1 ( 20010 2276810 ) ( 345230 * )
+      NEW met2 ( 20010 2546090 ) ( * 3095700 )
+      NEW met2 ( 345230 2539460 ) ( * 2546090 )
+      NEW met3 ( 345230 2539460 ) ( 357420 * )
+      NEW met3 ( 357420 2539460 ) ( * 2539585 )
+      NEW met3 ( 357420 2539585 ) ( 360180 * 0 )
+      NEW met1 ( 20010 2546090 ) ( 345230 * )
+      NEW met1 ( 20010 2546090 ) M1M2_PR
       NEW met2 ( 20010 3095700 ) M2M3_PR_M
-      NEW met1 ( 20010 2276810 ) M1M2_PR
-      NEW met1 ( 345230 2276810 ) M1M2_PR
-      NEW met2 ( 345230 2276300 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2546090 ) M1M2_PR
+      NEW met2 ( 345230 2539460 ) M2M3_PR_M ;
     - io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) + USE SIGNAL
       + ROUTED met3 ( 2300 2834580 0 ) ( 15870 * )
-      NEW met2 ( 15870 2111230 ) ( * 2834580 )
-      NEW met2 ( 345230 2108340 ) ( * 2111230 )
-      NEW met3 ( 345230 2108340 ) ( 357420 * )
-      NEW met3 ( 357420 2107945 ) ( * 2108340 )
-      NEW met3 ( 357420 2107945 ) ( 360180 * 0 )
-      NEW met1 ( 15870 2111230 ) ( 345230 * )
+      NEW met2 ( 15870 2352630 ) ( * 2834580 )
+      NEW met2 ( 345230 2349060 ) ( * 2352630 )
+      NEW met3 ( 345230 2349060 ) ( 357420 * )
+      NEW met3 ( 357420 2348830 ) ( * 2349060 )
+      NEW met3 ( 357420 2348830 ) ( 360180 * 0 )
+      NEW met1 ( 15870 2352630 ) ( 345230 * )
+      NEW met1 ( 15870 2352630 ) M1M2_PR
       NEW met2 ( 15870 2834580 ) M2M3_PR_M
-      NEW met1 ( 15870 2111230 ) M1M2_PR
-      NEW met1 ( 345230 2111230 ) M1M2_PR
-      NEW met2 ( 345230 2108340 ) M2M3_PR_M ;
+      NEW met1 ( 345230 2352630 ) M1M2_PR
+      NEW met2 ( 345230 2349060 ) M2M3_PR_M ;
     - io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2574140 0 ) ( 14030 * )
-      NEW met2 ( 14030 1945650 ) ( * 2574140 )
-      NEW met2 ( 345230 1939700 ) ( * 1945650 )
-      NEW met3 ( 345230 1939700 ) ( 357420 * )
-      NEW met3 ( 357420 1939605 ) ( * 1939700 )
-      NEW met3 ( 357420 1939605 ) ( 360180 * 0 )
-      NEW met1 ( 14030 1945650 ) ( 345230 * )
-      NEW met1 ( 14030 1945650 ) M1M2_PR
-      NEW met2 ( 14030 2574140 ) M2M3_PR_M
-      NEW met1 ( 345230 1945650 ) M1M2_PR
-      NEW met2 ( 345230 1939700 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2574140 0 ) ( 18630 * )
+      NEW met2 ( 18630 2159510 ) ( * 2574140 )
+      NEW met2 ( 345230 2158660 ) ( * 2159510 )
+      NEW met3 ( 345230 2158660 ) ( 357420 * )
+      NEW met3 ( 357420 2158370 ) ( * 2158660 )
+      NEW met3 ( 357420 2158370 ) ( 360180 * 0 )
+      NEW met1 ( 18630 2159510 ) ( 345230 * )
+      NEW met1 ( 18630 2159510 ) M1M2_PR
+      NEW met2 ( 18630 2574140 ) M2M3_PR_M
+      NEW met1 ( 345230 2159510 ) M1M2_PR
+      NEW met2 ( 345230 2158660 ) M2M3_PR_M ;
     - io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2313020 0 ) ( 18630 * )
-      NEW met2 ( 18630 1773270 ) ( * 2313020 )
-      NEW met2 ( 345230 1771740 ) ( * 1773270 )
-      NEW met3 ( 345230 1771740 ) ( 357420 * )
-      NEW met3 ( 357420 1771455 ) ( * 1771740 )
-      NEW met3 ( 357420 1771455 ) ( 360180 * 0 )
-      NEW met1 ( 18630 1773270 ) ( 345230 * )
-      NEW met1 ( 18630 1773270 ) M1M2_PR
-      NEW met2 ( 18630 2313020 ) M2M3_PR_M
-      NEW met1 ( 345230 1773270 ) M1M2_PR
-      NEW met2 ( 345230 1771740 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2313020 0 ) ( 20470 * )
+      NEW met2 ( 20470 1973190 ) ( * 2313020 )
+      NEW met2 ( 345230 1968260 ) ( * 1973190 )
+      NEW met3 ( 345230 1968260 ) ( 357420 * )
+      NEW met3 ( 357420 1967810 ) ( * 1968260 )
+      NEW met3 ( 357420 1967810 ) ( 360180 * 0 )
+      NEW met1 ( 20470 1973190 ) ( 345230 * )
+      NEW met2 ( 20470 2313020 ) M2M3_PR_M
+      NEW met1 ( 20470 1973190 ) M1M2_PR
+      NEW met1 ( 345230 1973190 ) M1M2_PR
+      NEW met2 ( 345230 1968260 ) M2M3_PR_M ;
     - io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 2052580 0 ) ( 20470 * )
-      NEW met2 ( 20470 1607690 ) ( * 2052580 )
-      NEW met2 ( 345230 1603100 ) ( * 1607690 )
-      NEW met3 ( 345230 1603100 ) ( 357420 * )
-      NEW met3 ( 357420 1603100 ) ( * 1603305 )
-      NEW met3 ( 357420 1603305 ) ( 360180 * 0 )
-      NEW met1 ( 20470 1607690 ) ( 345230 * )
-      NEW met2 ( 20470 2052580 ) M2M3_PR_M
-      NEW met1 ( 20470 1607690 ) M1M2_PR
-      NEW met1 ( 345230 1607690 ) M1M2_PR
-      NEW met2 ( 345230 1603100 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 2052580 0 ) ( 18630 * )
+      NEW met2 ( 18630 1780070 ) ( * 2052580 )
+      NEW met2 ( 345230 1777180 ) ( * 1780070 )
+      NEW met3 ( 345230 1777180 ) ( 357420 * )
+      NEW met3 ( 357420 1776960 ) ( * 1777180 )
+      NEW met3 ( 357420 1776960 ) ( 360180 * 0 )
+      NEW met1 ( 18630 1780070 ) ( 345230 * )
+      NEW met2 ( 18630 2052580 ) M2M3_PR_M
+      NEW met1 ( 18630 1780070 ) M1M2_PR
+      NEW met1 ( 345230 1780070 ) M1M2_PR
+      NEW met2 ( 345230 1777180 ) M2M3_PR_M ;
     - io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 599420 ) ( * 599725 )
-      NEW met3 ( 2729180 599725 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 497420 ) ( * 503370 )
+      + ROUTED met2 ( 2900990 497420 ) ( * 503370 )
       NEW met3 ( 2900990 497420 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 599420 ) ( 2743670 * )
-      NEW met1 ( 2743670 503370 ) ( 2900990 * )
-      NEW met2 ( 2743670 503370 ) ( * 599420 )
+      NEW met1 ( 2302070 503370 ) ( 2900990 * )
+      NEW met3 ( 2286200 639650 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 639540 ) ( * 639650 )
+      NEW met3 ( 2289420 639540 ) ( 2302070 * )
+      NEW met2 ( 2302070 503370 ) ( * 639540 )
       NEW met1 ( 2900990 503370 ) M1M2_PR
       NEW met2 ( 2900990 497420 ) M2M3_PR_M
-      NEW met1 ( 2743670 503370 ) M1M2_PR
-      NEW met2 ( 2743670 599420 ) M2M3_PR_M ;
+      NEW met1 ( 2302070 503370 ) M1M2_PR
+      NEW met2 ( 2302070 639540 ) M2M3_PR_M ;
     - io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL
       + ROUTED met3 ( 2300 1792140 0 ) ( 17710 * )
-      NEW met2 ( 17710 1434970 ) ( * 1792140 )
-      NEW met2 ( 345230 1434970 ) ( * 1435140 )
-      NEW met3 ( 345230 1435140 ) ( 357420 * )
-      NEW met3 ( 357420 1435060 ) ( * 1435140 )
-      NEW met3 ( 357420 1435060 ) ( 360180 * 0 )
-      NEW met1 ( 17710 1434970 ) ( 345230 * )
-      NEW met1 ( 17710 1434970 ) M1M2_PR
+      NEW met2 ( 17710 1586950 ) ( * 1792140 )
+      NEW met2 ( 345230 1586780 ) ( * 1586950 )
+      NEW met3 ( 345230 1586780 ) ( 357420 * )
+      NEW met3 ( 357420 1586400 ) ( * 1586780 )
+      NEW met3 ( 357420 1586400 ) ( 360180 * 0 )
+      NEW met1 ( 17710 1586950 ) ( 345230 * )
+      NEW met1 ( 17710 1586950 ) M1M2_PR
       NEW met2 ( 17710 1792140 ) M2M3_PR_M
-      NEW met1 ( 345230 1434970 ) M1M2_PR
-      NEW met2 ( 345230 1435140 ) M2M3_PR_M ;
+      NEW met1 ( 345230 1586950 ) M1M2_PR
+      NEW met2 ( 345230 1586780 ) M2M3_PR_M ;
     - io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1531020 0 ) ( 19550 * )
-      NEW met2 ( 19550 1269390 ) ( * 1531020 )
-      NEW met2 ( 345230 1267180 ) ( * 1269390 )
-      NEW met3 ( 345230 1267180 ) ( 357420 * )
-      NEW met3 ( 357420 1266910 ) ( * 1267180 )
-      NEW met3 ( 357420 1266910 ) ( 360180 * 0 )
-      NEW met1 ( 19550 1269390 ) ( 345230 * )
-      NEW met1 ( 19550 1269390 ) M1M2_PR
-      NEW met2 ( 19550 1531020 ) M2M3_PR_M
-      NEW met1 ( 345230 1269390 ) M1M2_PR
-      NEW met2 ( 345230 1267180 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1531020 0 ) ( 17710 * )
+      NEW met2 ( 17710 1400630 ) ( * 1531020 )
+      NEW met2 ( 345230 1396380 ) ( * 1400630 )
+      NEW met3 ( 345230 1396380 ) ( 357420 * )
+      NEW met3 ( 357420 1395935 ) ( * 1396380 )
+      NEW met3 ( 357420 1395935 ) ( 360180 * 0 )
+      NEW met1 ( 17710 1400630 ) ( 345230 * )
+      NEW met1 ( 17710 1400630 ) M1M2_PR
+      NEW met2 ( 17710 1531020 ) M2M3_PR_M
+      NEW met1 ( 345230 1400630 ) M1M2_PR
+      NEW met2 ( 345230 1396380 ) M2M3_PR_M ;
     - io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1270580 0 ) ( 18630 * )
-      NEW met2 ( 18630 1103810 ) ( * 1270580 )
-      NEW met2 ( 345230 1098540 ) ( * 1103810 )
-      NEW met3 ( 345230 1098540 ) ( 357420 * )
-      NEW met3 ( 357420 1098540 ) ( * 1098665 )
-      NEW met3 ( 357420 1098665 ) ( 360180 * 0 )
-      NEW met1 ( 18630 1103810 ) ( 345230 * )
-      NEW met2 ( 18630 1270580 ) M2M3_PR_M
-      NEW met1 ( 18630 1103810 ) M1M2_PR
-      NEW met1 ( 345230 1103810 ) M1M2_PR
-      NEW met2 ( 345230 1098540 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1270580 0 ) ( 17250 * )
+      NEW met2 ( 17250 1207170 ) ( * 1270580 )
+      NEW met2 ( 345230 1205300 ) ( * 1207170 )
+      NEW met3 ( 345230 1205300 ) ( 357420 * )
+      NEW met3 ( 357420 1205090 ) ( * 1205300 )
+      NEW met3 ( 357420 1205090 ) ( 360180 * 0 )
+      NEW met1 ( 17250 1207170 ) ( 345230 * )
+      NEW met2 ( 17250 1270580 ) M2M3_PR_M
+      NEW met1 ( 17250 1207170 ) M1M2_PR
+      NEW met1 ( 345230 1207170 ) M1M2_PR
+      NEW met2 ( 345230 1205300 ) M2M3_PR_M ;
     - io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 1009460 0 ) ( 17250 * )
-      NEW met2 ( 17250 931430 ) ( * 1009460 )
-      NEW met2 ( 345230 930580 ) ( * 931430 )
-      NEW met3 ( 345230 930580 ) ( 357420 * )
-      NEW met3 ( 357420 930420 ) ( * 930580 )
-      NEW met3 ( 357420 930420 ) ( 360180 * 0 )
-      NEW met1 ( 17250 931430 ) ( 345230 * )
-      NEW met1 ( 17250 931430 ) M1M2_PR
-      NEW met2 ( 17250 1009460 ) M2M3_PR_M
-      NEW met1 ( 345230 931430 ) M1M2_PR
-      NEW met2 ( 345230 930580 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 1009460 0 ) ( 15870 * )
+      NEW met2 ( 15870 1009460 ) ( * 1014390 )
+      NEW met2 ( 345230 1014390 ) ( * 1014900 )
+      NEW met3 ( 345230 1014900 ) ( 357420 * )
+      NEW met3 ( 357420 1014625 ) ( * 1014900 )
+      NEW met3 ( 357420 1014625 ) ( 360180 * 0 )
+      NEW met1 ( 15870 1014390 ) ( 345230 * )
+      NEW met2 ( 15870 1009460 ) M2M3_PR_M
+      NEW met1 ( 15870 1014390 ) M1M2_PR
+      NEW met1 ( 345230 1014390 ) M1M2_PR
+      NEW met2 ( 345230 1014900 ) M2M3_PR_M ;
     - io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) + USE SIGNAL
-      + ROUTED met3 ( 2300 749020 0 ) ( 16330 * )
-      NEW met2 ( 16330 749020 ) ( * 759050 )
-      NEW met2 ( 345230 759050 ) ( * 761940 )
-      NEW met3 ( 345230 761940 ) ( 357420 * )
-      NEW met3 ( 357420 761940 ) ( * 762270 )
-      NEW met3 ( 357420 762270 ) ( 360180 * 0 )
-      NEW met1 ( 16330 759050 ) ( 345230 * )
-      NEW met1 ( 16330 759050 ) M1M2_PR
-      NEW met2 ( 16330 749020 ) M2M3_PR_M
-      NEW met1 ( 345230 759050 ) M1M2_PR
-      NEW met2 ( 345230 761940 ) M2M3_PR_M ;
+      + ROUTED met3 ( 2300 749020 0 ) ( 17710 * )
+      NEW met2 ( 17710 749020 ) ( * 821270 )
+      NEW met2 ( 345230 821270 ) ( * 823820 )
+      NEW met3 ( 345230 823820 ) ( 357420 * )
+      NEW met3 ( 357420 823820 ) ( * 823970 )
+      NEW met3 ( 357420 823970 ) ( 360180 * 0 )
+      NEW met1 ( 17710 821270 ) ( 345230 * )
+      NEW met2 ( 17710 749020 ) M2M3_PR_M
+      NEW met1 ( 17710 821270 ) M1M2_PR
+      NEW met1 ( 345230 821270 ) M1M2_PR
+      NEW met2 ( 345230 823820 ) M2M3_PR_M ;
     - io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) + USE SIGNAL
       + ROUTED met3 ( 2300 487900 0 ) ( 18170 * )
-      NEW met2 ( 18170 487900 ) ( * 593470 )
-      NEW met2 ( 345230 593470 ) ( * 593980 )
-      NEW met3 ( 345230 593980 ) ( 357420 * )
-      NEW met3 ( 357420 593980 ) ( * 594120 )
-      NEW met3 ( 357420 594120 ) ( 360180 * 0 )
-      NEW met1 ( 18170 593470 ) ( 345230 * )
+      NEW met2 ( 18170 487900 ) ( * 628150 )
+      NEW met2 ( 345230 628150 ) ( * 633420 )
+      NEW met3 ( 345230 633420 ) ( 357420 * )
+      NEW met3 ( 357420 633310 ) ( * 633420 )
+      NEW met3 ( 357420 633310 ) ( 360180 * 0 )
+      NEW met1 ( 18170 628150 ) ( 345230 * )
       NEW met2 ( 18170 487900 ) M2M3_PR_M
-      NEW met1 ( 18170 593470 ) M1M2_PR
-      NEW met1 ( 345230 593470 ) M1M2_PR
-      NEW met2 ( 345230 593980 ) M2M3_PR_M ;
+      NEW met1 ( 18170 628150 ) M1M2_PR
+      NEW met1 ( 345230 628150 ) M1M2_PR
+      NEW met2 ( 345230 633420 ) M2M3_PR_M ;
     - io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) + USE SIGNAL
       + ROUTED met3 ( 2300 292740 0 ) ( 19090 * )
-      NEW met2 ( 19090 292740 ) ( * 462570 )
-      NEW met2 ( 345230 462570 ) ( * 467500 )
-      NEW met3 ( 345230 467500 ) ( 357420 * )
-      NEW met3 ( 357420 467500 ) ( * 467865 )
-      NEW met3 ( 357420 467865 ) ( 360180 * 0 )
-      NEW met1 ( 19090 462570 ) ( 345230 * )
+      NEW met2 ( 19090 292740 ) ( * 490110 )
+      NEW met2 ( 345230 489940 ) ( * 490110 )
+      NEW met3 ( 345230 489940 ) ( 357420 * )
+      NEW met3 ( 357420 489940 ) ( * 490270 )
+      NEW met3 ( 357420 490270 ) ( 360180 * 0 )
+      NEW met1 ( 19090 490110 ) ( 345230 * )
       NEW met2 ( 19090 292740 ) M2M3_PR_M
-      NEW met1 ( 19090 462570 ) M1M2_PR
-      NEW met1 ( 345230 462570 ) M1M2_PR
-      NEW met2 ( 345230 467500 ) M2M3_PR_M ;
+      NEW met1 ( 19090 490110 ) M1M2_PR
+      NEW met1 ( 345230 490110 ) M1M2_PR
+      NEW met2 ( 345230 489940 ) M2M3_PR_M ;
     - io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) + USE SIGNAL
       + ROUTED met3 ( 2300 96900 0 ) ( 17710 * )
-      NEW met2 ( 17710 96900 ) ( * 338130 )
-      NEW met2 ( 345230 338130 ) ( * 341700 )
-      NEW met3 ( 345230 341700 ) ( 357420 * )
-      NEW met3 ( 357420 341700 ) ( * 341800 )
-      NEW met3 ( 357420 341800 ) ( 360180 * 0 )
-      NEW met1 ( 17710 338130 ) ( 345230 * )
+      NEW met2 ( 17710 96900 ) ( * 345270 )
+      NEW met2 ( 345230 345270 ) ( * 347140 )
+      NEW met3 ( 345230 347140 ) ( 357420 * )
+      NEW met3 ( 357420 347140 ) ( * 347330 )
+      NEW met3 ( 357420 347330 ) ( 360180 * 0 )
+      NEW met1 ( 17710 345270 ) ( 345230 * )
       NEW met2 ( 17710 96900 ) M2M3_PR_M
-      NEW met1 ( 17710 338130 ) M1M2_PR
-      NEW met1 ( 345230 338130 ) M1M2_PR
-      NEW met2 ( 345230 341700 ) M2M3_PR_M ;
+      NEW met1 ( 17710 345270 ) M1M2_PR
+      NEW met1 ( 345230 345270 ) M1M2_PR
+      NEW met2 ( 345230 347140 ) M2M3_PR_M ;
     - io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) + USE SIGNAL
       + ROUTED met2 ( 2900990 696660 ) ( * 696830 )
       NEW met3 ( 2900990 696660 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 727940 ) ( * 728355 )
-      NEW met3 ( 2729180 728355 0 ) ( 2731940 * )
-      NEW met1 ( 2742750 696830 ) ( 2900990 * )
-      NEW met3 ( 2731940 727940 ) ( 2742750 * )
-      NEW met2 ( 2742750 696830 ) ( * 727940 )
+      NEW met3 ( 2289420 785060 ) ( 2301150 * )
+      NEW met3 ( 2289420 785060 ) ( * 785375 )
+      NEW met3 ( 2286200 785375 0 ) ( 2289420 * )
+      NEW met1 ( 2301150 696830 ) ( 2900990 * )
+      NEW met2 ( 2301150 696830 ) ( * 785060 )
       NEW met1 ( 2900990 696830 ) M1M2_PR
       NEW met2 ( 2900990 696660 ) M2M3_PR_M
-      NEW met1 ( 2742750 696830 ) M1M2_PR
-      NEW met2 ( 2742750 727940 ) M2M3_PR_M ;
+      NEW met1 ( 2301150 696830 ) M1M2_PR
+      NEW met2 ( 2301150 785060 ) M2M3_PR_M ;
     - io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 856795 ) ( * 857140 )
-      NEW met3 ( 2729180 856795 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 890290 ) ( * 895900 )
+      + ROUTED met2 ( 2900990 895900 ) ( * 896750 )
       NEW met3 ( 2900990 895900 ) ( 2917780 * 0 )
-      NEW met2 ( 2743210 857140 ) ( * 890290 )
-      NEW met3 ( 2731940 857140 ) ( 2743210 * )
-      NEW met1 ( 2743210 890290 ) ( 2900990 * )
-      NEW met1 ( 2900990 890290 ) M1M2_PR
+      NEW met1 ( 2301150 896750 ) ( 2900990 * )
+      NEW met3 ( 2286200 931200 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 931200 ) ( * 931260 )
+      NEW met3 ( 2289420 931260 ) ( 2301150 * )
+      NEW met2 ( 2301150 896750 ) ( * 931260 )
+      NEW met1 ( 2900990 896750 ) M1M2_PR
       NEW met2 ( 2900990 895900 ) M2M3_PR_M
-      NEW met2 ( 2743210 857140 ) M2M3_PR_M
-      NEW met1 ( 2743210 890290 ) M1M2_PR ;
+      NEW met1 ( 2301150 896750 ) M1M2_PR
+      NEW met2 ( 2301150 931260 ) M2M3_PR_M ;
     - io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 985520 ) ( * 985660 )
-      NEW met3 ( 2729180 985520 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 1090210 ) ( * 1095140 )
+      + ROUTED met2 ( 2900990 1090210 ) ( * 1095140 )
       NEW met3 ( 2900990 1095140 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 985660 ) ( 2743210 * )
-      NEW met1 ( 2743210 1090210 ) ( 2900990 * )
-      NEW met2 ( 2743210 985660 ) ( * 1090210 )
+      NEW met3 ( 2286200 1076830 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1076780 ) ( * 1076830 )
+      NEW met3 ( 2289420 1076780 ) ( 2297930 * )
+      NEW met2 ( 2297930 1076780 ) ( * 1090210 )
+      NEW met1 ( 2297930 1090210 ) ( 2900990 * )
       NEW met1 ( 2900990 1090210 ) M1M2_PR
       NEW met2 ( 2900990 1095140 ) M2M3_PR_M
-      NEW met2 ( 2743210 985660 ) M2M3_PR_M
-      NEW met1 ( 2743210 1090210 ) M1M2_PR ;
+      NEW met2 ( 2297930 1076780 ) M2M3_PR_M
+      NEW met1 ( 2297930 1090210 ) M1M2_PR ;
     - io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1114150 ) ( * 1114180 )
-      NEW met3 ( 2729180 1114150 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 1290470 ) ( * 1294380 )
+      + ROUTED met2 ( 2900990 1290470 ) ( * 1294380 )
       NEW met3 ( 2900990 1294380 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1114180 ) ( 2743670 * )
-      NEW met2 ( 2743670 1114180 ) ( * 1290470 )
-      NEW met1 ( 2743670 1290470 ) ( 2900990 * )
+      NEW met3 ( 2286200 1222560 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1222300 ) ( * 1222560 )
+      NEW met3 ( 2289420 1222300 ) ( 2301150 * )
+      NEW met2 ( 2301150 1222300 ) ( * 1290470 )
+      NEW met1 ( 2301150 1290470 ) ( 2900990 * )
       NEW met1 ( 2900990 1290470 ) M1M2_PR
       NEW met2 ( 2900990 1294380 ) M2M3_PR_M
-      NEW met2 ( 2743670 1114180 ) M2M3_PR_M
-      NEW met1 ( 2743670 1290470 ) M1M2_PR ;
+      NEW met2 ( 2301150 1222300 ) M2M3_PR_M
+      NEW met1 ( 2301150 1290470 ) M1M2_PR ;
     - io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1285530 ) ( * 1285540 )
-      NEW met3 ( 2729180 1285530 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 1559410 ) ( * 1560260 )
+      + ROUTED met2 ( 2900990 1559410 ) ( * 1560260 )
       NEW met3 ( 2900990 1560260 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1285540 ) ( 2744590 * )
-      NEW met1 ( 2744590 1559410 ) ( 2900990 * )
-      NEW met2 ( 2744590 1285540 ) ( * 1559410 )
+      NEW met1 ( 2301610 1559410 ) ( 2900990 * )
+      NEW met3 ( 2286200 1416865 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1416780 ) ( * 1416865 )
+      NEW met3 ( 2289420 1416780 ) ( 2301610 * )
+      NEW met2 ( 2301610 1416780 ) ( * 1559410 )
       NEW met1 ( 2900990 1559410 ) M1M2_PR
       NEW met2 ( 2900990 1560260 ) M2M3_PR_M
-      NEW met2 ( 2744590 1285540 ) M2M3_PR_M
-      NEW met1 ( 2744590 1559410 ) M1M2_PR ;
+      NEW met1 ( 2301610 1559410 ) M1M2_PR
+      NEW met2 ( 2301610 1416780 ) M2M3_PR_M ;
     - io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1456900 ) ( * 1457005 )
-      NEW met3 ( 2729180 1457005 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 1821890 ) ( * 1825460 )
+      + ROUTED met2 ( 2900990 1821890 ) ( * 1825460 )
       NEW met3 ( 2900990 1825460 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1456900 ) ( 2742750 * )
-      NEW met1 ( 2742750 1821890 ) ( 2900990 * )
-      NEW met2 ( 2742750 1456900 ) ( * 1821890 )
+      NEW met1 ( 2301610 1821890 ) ( 2900990 * )
+      NEW met3 ( 2286200 1611170 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1611170 ) ( * 1611260 )
+      NEW met3 ( 2289420 1611260 ) ( 2301610 * )
+      NEW met2 ( 2301610 1611260 ) ( * 1821890 )
       NEW met1 ( 2900990 1821890 ) M1M2_PR
       NEW met2 ( 2900990 1825460 ) M2M3_PR_M
-      NEW met2 ( 2742750 1456900 ) M2M3_PR_M
-      NEW met1 ( 2742750 1821890 ) M1M2_PR ;
+      NEW met1 ( 2301610 1821890 ) M1M2_PR
+      NEW met2 ( 2301610 1611260 ) M2M3_PR_M ;
     - io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) + USE SIGNAL
-      + ROUTED met3 ( 2731940 1628480 ) ( * 1628940 )
-      NEW met3 ( 2729180 1628480 0 ) ( 2731940 * )
-      NEW met2 ( 2900990 2090830 ) ( * 2091340 )
+      + ROUTED met2 ( 2900990 2090830 ) ( * 2091340 )
       NEW met3 ( 2900990 2091340 ) ( 2917780 * 0 )
-      NEW met3 ( 2731940 1628940 ) ( 2745510 * )
-      NEW met2 ( 2745510 1628940 ) ( * 2090830 )
-      NEW met1 ( 2745510 2090830 ) ( 2900990 * )
+      NEW met3 ( 2286200 1805470 0 ) ( 2289420 * )
+      NEW met3 ( 2289420 1805470 ) ( * 1805740 )
+      NEW met3 ( 2289420 1805740 ) ( 2302530 * )
+      NEW met2 ( 2302530 1805740 ) ( * 2090830 )
+      NEW met1 ( 2302530 2090830 ) ( 2900990 * )
       NEW met1 ( 2900990 2090830 ) M1M2_PR
       NEW met2 ( 2900990 2091340 ) M2M3_PR_M
-      NEW met2 ( 2745510 1628940 ) M2M3_PR_M
-      NEW met1 ( 2745510 2090830 ) M1M2_PR ;
+      NEW met2 ( 2302530 1805740 ) M2M3_PR_M
+      NEW met1 ( 2302530 2090830 ) M1M2_PR ;
     - la_data_in[0] ( PIN la_data_in[0] ) ( mprj la_data_in[0] ) + USE SIGNAL
-      + ROUTED met2 ( 865950 283050 ) ( * 298860 )
-      NEW met2 ( 865950 298860 ) ( 866100 * )
-      NEW met2 ( 866100 298860 ) ( * 300220 0 )
-      NEW met2 ( 629510 2380 0 ) ( * 30770 )
-      NEW met1 ( 629510 30770 ) ( 831450 * )
-      NEW met1 ( 831450 283050 ) ( 865950 * )
-      NEW met2 ( 831450 30770 ) ( * 283050 )
-      NEW met1 ( 865950 283050 ) M1M2_PR
-      NEW met1 ( 629510 30770 ) M1M2_PR
-      NEW met1 ( 831450 30770 ) M1M2_PR
-      NEW met1 ( 831450 283050 ) M1M2_PR ;
+      + ROUTED met1 ( 762450 285090 ) ( 773030 * )
+      NEW met2 ( 773030 285090 ) ( * 299540 )
+      NEW met2 ( 773030 299540 ) ( 773600 * )
+      NEW met2 ( 773600 299540 ) ( * 300220 0 )
+      NEW met2 ( 762450 23970 ) ( * 285090 )
+      NEW met2 ( 629510 2380 0 ) ( * 23970 )
+      NEW met1 ( 629510 23970 ) ( 762450 * )
+      NEW met1 ( 762450 23970 ) M1M2_PR
+      NEW met1 ( 762450 285090 ) M1M2_PR
+      NEW met1 ( 773030 285090 ) M1M2_PR
+      NEW met1 ( 629510 23970 ) M1M2_PR ;
     - la_data_in[100] ( PIN la_data_in[100] ) ( mprj la_data_in[100] ) + USE SIGNAL
-      + ROUTED met2 ( 1343890 284750 ) ( * 298860 )
-      NEW met2 ( 1343700 298860 ) ( 1343890 * )
-      NEW met2 ( 1343700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2402810 2380 0 ) ( * 60350 )
-      NEW met1 ( 1343890 284750 ) ( 1521910 * )
-      NEW met2 ( 1521910 60350 ) ( * 284750 )
-      NEW met1 ( 1521910 60350 ) ( 2402810 * )
-      NEW met1 ( 1343890 284750 ) M1M2_PR
-      NEW met1 ( 2402810 60350 ) M1M2_PR
-      NEW met1 ( 1521910 284750 ) M1M2_PR
-      NEW met1 ( 1521910 60350 ) M1M2_PR ;
+      + ROUTED met2 ( 1164030 283390 ) ( * 298860 )
+      NEW met2 ( 1163900 298860 ) ( 1164030 * )
+      NEW met2 ( 1163900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2342550 38250 ) ( * 287130 )
+      NEW met2 ( 2402810 2380 0 ) ( * 38250 )
+      NEW li1 ( 1241770 283390 ) ( * 287130 )
+      NEW met1 ( 1164030 283390 ) ( 1241770 * )
+      NEW met1 ( 1241770 287130 ) ( 2342550 * )
+      NEW met1 ( 2342550 38250 ) ( 2402810 * )
+      NEW met1 ( 1164030 283390 ) M1M2_PR
+      NEW met1 ( 2342550 287130 ) M1M2_PR
+      NEW met1 ( 2342550 38250 ) M1M2_PR
+      NEW met1 ( 2402810 38250 ) M1M2_PR
+      NEW li1 ( 1241770 283390 ) L1M1_PR_MR
+      NEW li1 ( 1241770 287130 ) L1M1_PR_MR ;
     - la_data_in[101] ( PIN la_data_in[101] ) ( mprj la_data_in[101] ) + USE SIGNAL
-      + ROUTED met1 ( 1348490 286790 ) ( 1352170 * )
-      NEW met2 ( 1348490 286790 ) ( * 298860 )
-      NEW met2 ( 1348490 298860 ) ( 1348500 * )
-      NEW met2 ( 1348500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1352170 66130 ) ( * 286790 )
-      NEW met2 ( 2420290 2380 0 ) ( * 66130 )
-      NEW met1 ( 1352170 66130 ) ( 2420290 * )
-      NEW met1 ( 1352170 286790 ) M1M2_PR
-      NEW met1 ( 1348490 286790 ) M1M2_PR
-      NEW met1 ( 1352170 66130 ) M1M2_PR
-      NEW met1 ( 2420290 66130 ) M1M2_PR ;
+      + ROUTED met2 ( 1167710 279650 ) ( * 298860 )
+      NEW met2 ( 1167710 298860 ) ( 1167800 * )
+      NEW met2 ( 1167800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2415230 82800 ) ( 2420290 * )
+      NEW met2 ( 2420290 2380 0 ) ( * 82800 )
+      NEW met2 ( 2415230 82800 ) ( * 279650 )
+      NEW met1 ( 1167710 279650 ) ( 2415230 * )
+      NEW met1 ( 1167710 279650 ) M1M2_PR
+      NEW met1 ( 2415230 279650 ) M1M2_PR ;
     - la_data_in[102] ( PIN la_data_in[102] ) ( mprj la_data_in[102] ) + USE SIGNAL
-      + ROUTED met2 ( 1353550 286110 ) ( * 298860 )
-      NEW met2 ( 1353300 298860 ) ( 1353550 * )
-      NEW met2 ( 1353300 298860 ) ( * 300220 0 )
+      + ROUTED met2 ( 1171850 287130 ) ( * 298860 )
+      NEW met2 ( 1171700 298860 ) ( 1171850 * )
+      NEW met2 ( 1171700 298860 ) ( * 300220 0 )
       NEW met2 ( 2438230 2380 0 ) ( * 20910 )
       NEW met1 ( 2432250 20910 ) ( 2438230 * )
-      NEW met2 ( 2432250 20910 ) ( * 286110 )
-      NEW met1 ( 1353550 286110 ) ( 2432250 * )
-      NEW met1 ( 1353550 286110 ) M1M2_PR
+      NEW met2 ( 2432250 20910 ) ( * 286790 )
+      NEW met1 ( 1215550 286790 ) ( * 287130 )
+      NEW met1 ( 1171850 287130 ) ( 1215550 * )
+      NEW met1 ( 1215550 286790 ) ( 2432250 * )
+      NEW met1 ( 1171850 287130 ) M1M2_PR
       NEW met1 ( 2438230 20910 ) M1M2_PR
       NEW met1 ( 2432250 20910 ) M1M2_PR
-      NEW met1 ( 2432250 286110 ) M1M2_PR ;
+      NEW met1 ( 2432250 286790 ) M1M2_PR ;
     - la_data_in[103] ( PIN la_data_in[103] ) ( mprj la_data_in[103] ) + USE SIGNAL
-      + ROUTED met2 ( 1358000 298860 ) ( 1359070 * )
-      NEW met2 ( 1358000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1359070 65790 ) ( * 298860 )
-      NEW met2 ( 2455710 2380 0 ) ( * 3060 )
-      NEW met2 ( 2454790 3060 ) ( 2455710 * )
-      NEW met2 ( 2454790 2380 ) ( * 3060 )
-      NEW met2 ( 2453410 2380 ) ( 2454790 * )
-      NEW met2 ( 2453410 2380 ) ( * 3060 )
-      NEW met2 ( 2452490 3060 ) ( 2453410 * )
-      NEW met1 ( 1359070 65790 ) ( 2452490 * )
-      NEW met2 ( 2452490 3060 ) ( * 65790 )
-      NEW met1 ( 1359070 65790 ) M1M2_PR
-      NEW met1 ( 2452490 65790 ) M1M2_PR ;
+      + ROUTED met2 ( 1176910 284750 ) ( * 298860 )
+      NEW met2 ( 1175600 298860 ) ( 1176910 * )
+      NEW met2 ( 1175600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1210030 279990 ) ( * 284750 )
+      NEW met1 ( 1176910 284750 ) ( 1210030 * )
+      NEW met2 ( 2455710 2380 0 ) ( * 20910 )
+      NEW met1 ( 2449730 20910 ) ( 2455710 * )
+      NEW met1 ( 1210030 279990 ) ( 2449730 * )
+      NEW met2 ( 2449730 20910 ) ( * 279990 )
+      NEW met1 ( 1176910 284750 ) M1M2_PR
+      NEW met1 ( 1210030 284750 ) M1M2_PR
+      NEW met1 ( 1210030 279990 ) M1M2_PR
+      NEW met1 ( 2455710 20910 ) M1M2_PR
+      NEW met1 ( 2449730 20910 ) M1M2_PR
+      NEW met1 ( 2449730 279990 ) M1M2_PR ;
     - la_data_in[104] ( PIN la_data_in[104] ) ( mprj la_data_in[104] ) + USE SIGNAL
-      + ROUTED met2 ( 1362750 286790 ) ( * 298860 )
-      NEW met2 ( 1362750 298860 ) ( 1362800 * )
-      NEW met2 ( 1362800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2308050 39610 ) ( * 286790 )
-      NEW met1 ( 1362750 286790 ) ( 2308050 * )
-      NEW met1 ( 2308050 39610 ) ( 2473650 * )
-      NEW met2 ( 2473650 2380 0 ) ( * 39610 )
-      NEW met1 ( 1362750 286790 ) M1M2_PR
-      NEW met1 ( 2308050 286790 ) M1M2_PR
-      NEW met1 ( 2308050 39610 ) M1M2_PR
-      NEW met1 ( 2473650 39610 ) M1M2_PR ;
+      + ROUTED met2 ( 1179670 288150 ) ( * 298860 )
+      NEW met2 ( 1179500 298860 ) ( 1179670 * )
+      NEW met2 ( 1179500 298860 ) ( * 300220 0 )
+      NEW met2 ( 2052750 44710 ) ( * 288150 )
+      NEW met1 ( 1179670 288150 ) ( 2052750 * )
+      NEW met1 ( 2052750 44710 ) ( 2473650 * )
+      NEW met2 ( 2473650 2380 0 ) ( * 44710 )
+      NEW met1 ( 1179670 288150 ) M1M2_PR
+      NEW met1 ( 2052750 288150 ) M1M2_PR
+      NEW met1 ( 2052750 44710 ) M1M2_PR
+      NEW met1 ( 2473650 44710 ) M1M2_PR ;
     - la_data_in[105] ( PIN la_data_in[105] ) ( mprj la_data_in[105] ) + USE SIGNAL
-      + ROUTED met2 ( 1367810 286450 ) ( * 298860 )
-      NEW met2 ( 1367600 298860 ) ( 1367810 * )
-      NEW met2 ( 1367600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2342550 38930 ) ( * 286450 )
-      NEW met1 ( 1367810 286450 ) ( 2342550 * )
-      NEW met2 ( 2491130 2380 0 ) ( * 17340 )
-      NEW met2 ( 2491130 17340 ) ( 2491590 * )
-      NEW met1 ( 2342550 38930 ) ( 2491590 * )
-      NEW met2 ( 2491590 17340 ) ( * 38930 )
-      NEW met1 ( 1367810 286450 ) M1M2_PR
-      NEW met1 ( 2342550 286450 ) M1M2_PR
-      NEW met1 ( 2342550 38930 ) M1M2_PR
-      NEW met1 ( 2491590 38930 ) M1M2_PR ;
+      + ROUTED met2 ( 1183350 286450 ) ( * 298860 )
+      NEW met2 ( 1183350 298860 ) ( 1183400 * )
+      NEW met2 ( 1183400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2491130 2380 0 ) ( * 20910 )
+      NEW met1 ( 2487450 20910 ) ( 2491130 * )
+      NEW met1 ( 1183350 286450 ) ( 2487450 * )
+      NEW met2 ( 2487450 20910 ) ( * 286450 )
+      NEW met1 ( 1183350 286450 ) M1M2_PR
+      NEW met1 ( 2491130 20910 ) M1M2_PR
+      NEW met1 ( 2487450 20910 ) M1M2_PR
+      NEW met1 ( 2487450 286450 ) M1M2_PR ;
     - la_data_in[106] ( PIN la_data_in[106] ) ( mprj la_data_in[106] ) + USE SIGNAL
-      + ROUTED met2 ( 1372300 298860 ) ( 1372870 * )
-      NEW met2 ( 1372300 298860 ) ( * 300220 0 )
+      + ROUTED met2 ( 1187300 298860 ) ( 1187490 * )
+      NEW met2 ( 1187300 298860 ) ( * 300220 0 )
       NEW met2 ( 2509070 2380 0 ) ( * 3060 )
       NEW met2 ( 2508150 3060 ) ( 2509070 * )
       NEW met2 ( 2508150 2380 ) ( * 3060 )
       NEW met2 ( 2506770 2380 ) ( 2508150 * )
-      NEW met2 ( 1372870 65450 ) ( * 298860 )
-      NEW met2 ( 2506770 2380 ) ( * 65450 )
-      NEW met1 ( 1372870 65450 ) ( 2506770 * )
-      NEW met1 ( 1372870 65450 ) M1M2_PR
-      NEW met1 ( 2506770 65450 ) M1M2_PR ;
+      NEW met2 ( 1187490 272850 ) ( * 298860 )
+      NEW met2 ( 2504930 82800 ) ( 2506770 * )
+      NEW met2 ( 2506770 2380 ) ( * 82800 )
+      NEW met2 ( 2504930 82800 ) ( * 272850 )
+      NEW met1 ( 1187490 272850 ) ( 2504930 * )
+      NEW met1 ( 1187490 272850 ) M1M2_PR
+      NEW met1 ( 2504930 272850 ) M1M2_PR ;
     - la_data_in[107] ( PIN la_data_in[107] ) ( mprj la_data_in[107] ) + USE SIGNAL
-      + ROUTED met2 ( 1377010 279650 ) ( * 298860 )
-      NEW met2 ( 1377010 298860 ) ( 1377100 * )
-      NEW met2 ( 1377100 298860 ) ( * 300220 0 )
-      NEW met2 ( 2527010 2380 0 ) ( * 17850 )
-      NEW met1 ( 2515050 17850 ) ( 2527010 * )
-      NEW met2 ( 2515050 17850 ) ( * 279650 )
-      NEW met1 ( 1377010 279650 ) ( 2515050 * )
-      NEW met1 ( 1377010 279650 ) M1M2_PR
-      NEW met1 ( 2527010 17850 ) M1M2_PR
-      NEW met1 ( 2515050 17850 ) M1M2_PR
-      NEW met1 ( 2515050 279650 ) M1M2_PR ;
+      + ROUTED met1 ( 1191170 286790 ) ( 1193470 * )
+      NEW met2 ( 1191170 286790 ) ( * 298860 )
+      NEW met2 ( 1191170 298860 ) ( 1191200 * )
+      NEW met2 ( 1191200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1193470 58650 ) ( * 286790 )
+      NEW met2 ( 2527010 2380 0 ) ( * 58650 )
+      NEW met1 ( 1193470 58650 ) ( 2527010 * )
+      NEW met1 ( 1193470 286790 ) M1M2_PR
+      NEW met1 ( 1191170 286790 ) M1M2_PR
+      NEW met1 ( 1193470 58650 ) M1M2_PR
+      NEW met1 ( 2527010 58650 ) M1M2_PR ;
     - la_data_in[108] ( PIN la_data_in[108] ) ( mprj la_data_in[108] ) + USE SIGNAL
-      + ROUTED met2 ( 1382070 279310 ) ( * 298860 )
-      NEW met2 ( 1381900 298860 ) ( 1382070 * )
-      NEW met2 ( 1381900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2544490 2380 0 ) ( * 17340 )
-      NEW met2 ( 2542650 17340 ) ( 2544490 * )
-      NEW met2 ( 2542650 17340 ) ( * 34500 )
-      NEW met2 ( 2539430 34500 ) ( 2542650 * )
-      NEW met2 ( 2539430 34500 ) ( * 279310 )
-      NEW met1 ( 1382070 279310 ) ( 2539430 * )
-      NEW met1 ( 1382070 279310 ) M1M2_PR
-      NEW met1 ( 2539430 279310 ) M1M2_PR ;
+      + ROUTED met2 ( 1244530 279310 ) ( * 285430 )
+      NEW met2 ( 2539430 82800 ) ( 2544490 * )
+      NEW met2 ( 2544490 2380 0 ) ( * 82800 )
+      NEW met2 ( 2539430 82800 ) ( * 279310 )
+      NEW li1 ( 1221530 283730 ) ( * 285430 )
+      NEW met1 ( 1195310 283730 ) ( 1221530 * )
+      NEW met2 ( 1195310 283730 ) ( * 298860 )
+      NEW met2 ( 1195200 298860 ) ( 1195310 * )
+      NEW met2 ( 1195200 298860 ) ( * 300220 0 )
+      NEW met1 ( 1221530 285430 ) ( 1244530 * )
+      NEW met1 ( 1244530 279310 ) ( 2539430 * )
+      NEW met1 ( 1244530 285430 ) M1M2_PR
+      NEW met1 ( 1244530 279310 ) M1M2_PR
+      NEW met1 ( 2539430 279310 ) M1M2_PR
+      NEW li1 ( 1221530 285430 ) L1M1_PR_MR
+      NEW li1 ( 1221530 283730 ) L1M1_PR_MR
+      NEW met1 ( 1195310 283730 ) M1M2_PR ;
     - la_data_in[109] ( PIN la_data_in[109] ) ( mprj la_data_in[109] ) + USE SIGNAL
-      + ROUTED met2 ( 1385750 298860 ) ( 1386600 * )
-      NEW met2 ( 1386600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1385750 21250 ) ( * 298860 )
-      NEW met2 ( 2562430 2380 0 ) ( * 21250 )
-      NEW met1 ( 1385750 21250 ) ( 2562430 * )
-      NEW met1 ( 1385750 21250 ) M1M2_PR
-      NEW met1 ( 2562430 21250 ) M1M2_PR ;
+      + ROUTED met2 ( 1199100 298860 ) ( 1200370 * )
+      NEW met2 ( 1199100 298860 ) ( * 300220 0 )
+      NEW met2 ( 2562430 2380 0 ) ( * 21590 )
+      NEW met1 ( 1200370 21590 ) ( 2562430 * )
+      NEW met2 ( 1200370 21590 ) ( * 298860 )
+      NEW met1 ( 1200370 21590 ) M1M2_PR
+      NEW met1 ( 2562430 21590 ) M1M2_PR ;
     - la_data_in[10] ( PIN la_data_in[10] ) ( mprj la_data_in[10] ) + USE SIGNAL
-      + ROUTED met2 ( 806610 2380 0 ) ( * 79730 )
-      NEW met2 ( 911030 298860 ) ( 913900 * )
-      NEW met2 ( 913900 298860 ) ( * 300220 0 )
-      NEW met1 ( 806610 79730 ) ( 911030 * )
-      NEW met2 ( 911030 79730 ) ( * 298860 )
-      NEW met1 ( 806610 79730 ) M1M2_PR
-      NEW met1 ( 911030 79730 ) M1M2_PR ;
+      + ROUTED met2 ( 806610 2380 0 ) ( * 20060 )
+      NEW met2 ( 806610 20060 ) ( 807530 * )
+      NEW met2 ( 807990 298860 ) ( 812600 * )
+      NEW met2 ( 812600 298860 ) ( * 300220 0 )
+      NEW met2 ( 807530 20060 ) ( * 34500 )
+      NEW met2 ( 807530 34500 ) ( 807990 * )
+      NEW met2 ( 807990 34500 ) ( * 298860 ) ;
     - la_data_in[110] ( PIN la_data_in[110] ) ( mprj la_data_in[110] ) + USE SIGNAL
-      + ROUTED met1 ( 1393570 25330 ) ( 1425310 * )
-      NEW li1 ( 1425310 21590 ) ( * 25330 )
-      NEW met1 ( 1391270 285430 ) ( 1393570 * )
-      NEW met2 ( 1391270 285430 ) ( * 298860 )
-      NEW met2 ( 1391270 298860 ) ( 1391400 * )
-      NEW met2 ( 1391400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2579910 2380 0 ) ( * 21590 )
-      NEW met1 ( 1425310 21590 ) ( 2579910 * )
-      NEW met2 ( 1393570 25330 ) ( * 285430 )
-      NEW met1 ( 1393570 25330 ) M1M2_PR
-      NEW li1 ( 1425310 25330 ) L1M1_PR_MR
-      NEW li1 ( 1425310 21590 ) L1M1_PR_MR
-      NEW met1 ( 1393570 285430 ) M1M2_PR
-      NEW met1 ( 1391270 285430 ) M1M2_PR
-      NEW met1 ( 2579910 21590 ) M1M2_PR ;
+      + ROUTED met1 ( 1203130 286790 ) ( 1207270 * )
+      NEW met2 ( 1203130 286790 ) ( * 298860 )
+      NEW met2 ( 1203000 298860 ) ( 1203130 * )
+      NEW met2 ( 1203000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2579910 2380 0 ) ( * 21930 )
+      NEW met1 ( 1207270 21930 ) ( 2579910 * )
+      NEW met2 ( 1207270 21930 ) ( * 286790 )
+      NEW met1 ( 1207270 21930 ) M1M2_PR
+      NEW met1 ( 1207270 286790 ) M1M2_PR
+      NEW met1 ( 1203130 286790 ) M1M2_PR
+      NEW met1 ( 2579910 21930 ) M1M2_PR ;
     - la_data_in[111] ( PIN la_data_in[111] ) ( mprj la_data_in[111] ) + USE SIGNAL
-      + ROUTED met2 ( 2597850 2380 0 ) ( * 21930 )
-      NEW met1 ( 1396330 285430 ) ( 1400470 * )
-      NEW met2 ( 1396330 285430 ) ( * 298860 )
-      NEW met2 ( 1396200 298860 ) ( 1396330 * )
-      NEW met2 ( 1396200 298860 ) ( * 300220 0 )
-      NEW met1 ( 1400470 21930 ) ( 2597850 * )
-      NEW met2 ( 1400470 21930 ) ( * 285430 )
-      NEW met1 ( 2597850 21930 ) M1M2_PR
-      NEW met1 ( 1400470 21930 ) M1M2_PR
-      NEW met1 ( 1400470 285430 ) M1M2_PR
-      NEW met1 ( 1396330 285430 ) M1M2_PR ;
+      + ROUTED met2 ( 2597850 2380 0 ) ( * 22270 )
+      NEW met2 ( 1206810 298860 ) ( 1206900 * )
+      NEW met2 ( 1206900 298860 ) ( * 300220 0 )
+      NEW met1 ( 1206810 22270 ) ( 2597850 * )
+      NEW met2 ( 1206810 22270 ) ( * 298860 )
+      NEW met1 ( 2597850 22270 ) M1M2_PR
+      NEW met1 ( 1206810 22270 ) M1M2_PR ;
     - la_data_in[112] ( PIN la_data_in[112] ) ( mprj la_data_in[112] ) + USE SIGNAL
-      + ROUTED met2 ( 2615330 2380 0 ) ( * 22270 )
-      NEW met1 ( 1400930 285430 ) ( 1406910 * )
-      NEW met2 ( 1400930 285430 ) ( * 298860 )
-      NEW met2 ( 1400930 298860 ) ( 1401000 * )
-      NEW met2 ( 1401000 298860 ) ( * 300220 0 )
-      NEW met1 ( 1406910 22270 ) ( 2615330 * )
-      NEW met2 ( 1406910 22270 ) ( * 285430 )
-      NEW met1 ( 2615330 22270 ) M1M2_PR
-      NEW met1 ( 1406910 22270 ) M1M2_PR
-      NEW met1 ( 1406910 285430 ) M1M2_PR
-      NEW met1 ( 1400930 285430 ) M1M2_PR ;
+      + ROUTED met2 ( 2615330 2380 0 ) ( * 22610 )
+      NEW met1 ( 1210950 286790 ) ( 1214170 * )
+      NEW met2 ( 1210950 286790 ) ( * 298860 )
+      NEW met2 ( 1210800 298860 ) ( 1210950 * )
+      NEW met2 ( 1210800 298860 ) ( * 300220 0 )
+      NEW met1 ( 1214170 22610 ) ( 2615330 * )
+      NEW met2 ( 1214170 22610 ) ( * 286790 )
+      NEW met1 ( 2615330 22610 ) M1M2_PR
+      NEW met1 ( 1214170 22610 ) M1M2_PR
+      NEW met1 ( 1214170 286790 ) M1M2_PR
+      NEW met1 ( 1210950 286790 ) M1M2_PR ;
     - la_data_in[113] ( PIN la_data_in[113] ) ( mprj la_data_in[113] ) + USE SIGNAL
-      + ROUTED met2 ( 2633270 2380 0 ) ( * 22610 )
-      NEW met2 ( 1405800 298860 ) ( 1407370 * )
-      NEW met2 ( 1405800 298860 ) ( * 300220 0 )
-      NEW met1 ( 1407370 22610 ) ( 2633270 * )
-      NEW met2 ( 1407370 22610 ) ( * 298860 )
-      NEW met1 ( 2633270 22610 ) M1M2_PR
-      NEW met1 ( 1407370 22610 ) M1M2_PR ;
+      + ROUTED met2 ( 2633270 2380 0 ) ( * 22950 )
+      NEW met1 ( 1214630 285430 ) ( 1221070 * )
+      NEW met2 ( 1214630 285430 ) ( * 298860 )
+      NEW met2 ( 1214630 298860 ) ( 1214700 * )
+      NEW met2 ( 1214700 298860 ) ( * 300220 0 )
+      NEW met1 ( 1221070 22950 ) ( 2633270 * )
+      NEW met2 ( 1221070 22950 ) ( * 285430 )
+      NEW met1 ( 2633270 22950 ) M1M2_PR
+      NEW met1 ( 1221070 22950 ) M1M2_PR
+      NEW met1 ( 1221070 285430 ) M1M2_PR
+      NEW met1 ( 1214630 285430 ) M1M2_PR ;
     - la_data_in[114] ( PIN la_data_in[114] ) ( mprj la_data_in[114] ) + USE SIGNAL
-      + ROUTED met1 ( 1410590 285430 ) ( 1414270 * )
-      NEW met2 ( 1410590 285430 ) ( * 298860 )
-      NEW met2 ( 1410590 298860 ) ( 1410600 * )
-      NEW met2 ( 1410600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2650750 2380 0 ) ( * 22950 )
-      NEW met1 ( 1414270 22950 ) ( 2650750 * )
-      NEW met2 ( 1414270 22950 ) ( * 285430 )
-      NEW met1 ( 1414270 22950 ) M1M2_PR
-      NEW met1 ( 1414270 285430 ) M1M2_PR
-      NEW met1 ( 1410590 285430 ) M1M2_PR
-      NEW met1 ( 2650750 22950 ) M1M2_PR ;
+      + ROUTED met2 ( 1218700 298860 ) ( 1220610 * )
+      NEW met2 ( 1218700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2650750 2380 0 ) ( * 23290 )
+      NEW met1 ( 1220150 23290 ) ( 2650750 * )
+      NEW met2 ( 1220150 23290 ) ( * 34500 )
+      NEW met2 ( 1220150 34500 ) ( 1220610 * )
+      NEW met2 ( 1220610 34500 ) ( * 298860 )
+      NEW met1 ( 1220150 23290 ) M1M2_PR
+      NEW met1 ( 2650750 23290 ) M1M2_PR ;
     - la_data_in[115] ( PIN la_data_in[115] ) ( mprj la_data_in[115] ) + USE SIGNAL
-      + ROUTED met1 ( 1415190 285430 ) ( 1420710 * )
-      NEW met2 ( 1415190 285430 ) ( * 298860 )
-      NEW met2 ( 1415190 298860 ) ( 1415300 * )
-      NEW met2 ( 1415300 298860 ) ( * 300220 0 )
-      NEW met2 ( 2668690 2380 0 ) ( * 23290 )
-      NEW met1 ( 1420710 23290 ) ( 2668690 * )
-      NEW met2 ( 1420710 23290 ) ( * 285430 )
-      NEW met1 ( 1420710 23290 ) M1M2_PR
-      NEW met1 ( 1420710 285430 ) M1M2_PR
-      NEW met1 ( 1415190 285430 ) M1M2_PR
-      NEW met1 ( 2668690 23290 ) M1M2_PR ;
+      + ROUTED met1 ( 1222450 287130 ) ( 1227510 * )
+      NEW met2 ( 1222450 287130 ) ( * 298860 )
+      NEW met2 ( 1222450 298860 ) ( 1222600 * )
+      NEW met2 ( 1222600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2668690 2380 0 ) ( * 23630 )
+      NEW met1 ( 1227510 23630 ) ( 2668690 * )
+      NEW met2 ( 1227510 23630 ) ( * 287130 )
+      NEW met1 ( 1227510 23630 ) M1M2_PR
+      NEW met1 ( 1227510 287130 ) M1M2_PR
+      NEW met1 ( 1222450 287130 ) M1M2_PR
+      NEW met1 ( 2668690 23630 ) M1M2_PR ;
     - la_data_in[116] ( PIN la_data_in[116] ) ( mprj la_data_in[116] ) + USE SIGNAL
-      + ROUTED met2 ( 1420000 298860 ) ( 1421170 * )
-      NEW met2 ( 1420000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2686170 2380 0 ) ( * 23630 )
-      NEW met1 ( 1421170 23630 ) ( 2686170 * )
-      NEW met2 ( 1421170 23630 ) ( * 298860 )
-      NEW met1 ( 1421170 23630 ) M1M2_PR
-      NEW met1 ( 2686170 23630 ) M1M2_PR ;
+      + ROUTED met2 ( 1226400 298860 ) ( 1227970 * )
+      NEW met2 ( 1226400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2686170 2380 0 ) ( * 27370 )
+      NEW met1 ( 1227970 27370 ) ( 2686170 * )
+      NEW met2 ( 1227970 27370 ) ( * 298860 )
+      NEW met1 ( 1227970 27370 ) M1M2_PR
+      NEW met1 ( 2686170 27370 ) M1M2_PR ;
     - la_data_in[117] ( PIN la_data_in[117] ) ( mprj la_data_in[117] ) + USE SIGNAL
-      + ROUTED met2 ( 2704110 2380 0 ) ( * 27370 )
-      NEW met1 ( 1424850 285430 ) ( 1428070 * )
-      NEW met2 ( 1424850 285430 ) ( * 298860 )
-      NEW met2 ( 1424800 298860 ) ( 1424850 * )
-      NEW met2 ( 1424800 298860 ) ( * 300220 0 )
-      NEW met1 ( 1428070 27370 ) ( 2704110 * )
-      NEW met2 ( 1428070 27370 ) ( * 285430 )
-      NEW met1 ( 2704110 27370 ) M1M2_PR
-      NEW met1 ( 1428070 27370 ) M1M2_PR
-      NEW met1 ( 1428070 285430 ) M1M2_PR
-      NEW met1 ( 1424850 285430 ) M1M2_PR ;
+      + ROUTED met2 ( 2704110 2380 0 ) ( * 27030 )
+      NEW met1 ( 1230270 287130 ) ( 1234410 * )
+      NEW met2 ( 1230270 287130 ) ( * 298860 )
+      NEW met2 ( 1230200 298860 ) ( 1230270 * )
+      NEW met2 ( 1230200 298860 ) ( * 300220 0 )
+      NEW met1 ( 1234410 27030 ) ( 2704110 * )
+      NEW met2 ( 1234410 27030 ) ( * 287130 )
+      NEW met1 ( 2704110 27030 ) M1M2_PR
+      NEW met1 ( 1234410 27030 ) M1M2_PR
+      NEW met1 ( 1234410 287130 ) M1M2_PR
+      NEW met1 ( 1230270 287130 ) M1M2_PR ;
     - la_data_in[118] ( PIN la_data_in[118] ) ( mprj la_data_in[118] ) + USE SIGNAL
-      + ROUTED met2 ( 2722050 2380 0 ) ( * 27030 )
-      NEW met1 ( 1429450 285090 ) ( 1434970 * )
-      NEW met2 ( 1429450 285090 ) ( * 298860 )
-      NEW met2 ( 1429450 298860 ) ( 1429600 * )
-      NEW met2 ( 1429600 298860 ) ( * 300220 0 )
-      NEW met1 ( 1434970 27030 ) ( 2722050 * )
-      NEW met2 ( 1434970 27030 ) ( * 285090 )
-      NEW met1 ( 2722050 27030 ) M1M2_PR
-      NEW met1 ( 1434970 27030 ) M1M2_PR
-      NEW met1 ( 1434970 285090 ) M1M2_PR
-      NEW met1 ( 1429450 285090 ) M1M2_PR ;
+      + ROUTED met2 ( 2722050 2380 0 ) ( * 26690 )
+      NEW met2 ( 1234100 298860 ) ( 1234870 * )
+      NEW met2 ( 1234100 298860 ) ( * 300220 0 )
+      NEW met1 ( 1234870 26690 ) ( 2722050 * )
+      NEW met2 ( 1234870 26690 ) ( * 298860 )
+      NEW met1 ( 2722050 26690 ) M1M2_PR
+      NEW met1 ( 1234870 26690 ) M1M2_PR ;
     - la_data_in[119] ( PIN la_data_in[119] ) ( mprj la_data_in[119] ) + USE SIGNAL
-      + ROUTED met2 ( 1434400 298860 ) ( 1434510 * )
-      NEW met2 ( 1434400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2739530 2380 0 ) ( * 26690 )
-      NEW met1 ( 1434510 26690 ) ( 2739530 * )
-      NEW met2 ( 1434510 26690 ) ( * 298860 )
-      NEW met1 ( 1434510 26690 ) M1M2_PR
-      NEW met1 ( 2739530 26690 ) M1M2_PR ;
+      + ROUTED met1 ( 1238090 287130 ) ( 1241310 * )
+      NEW met2 ( 1238090 287130 ) ( * 298860 )
+      NEW met2 ( 1238000 298860 ) ( 1238090 * )
+      NEW met2 ( 1238000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2739530 2380 0 ) ( * 26350 )
+      NEW met1 ( 1241310 26350 ) ( 2739530 * )
+      NEW met2 ( 1241310 26350 ) ( * 287130 )
+      NEW met1 ( 1241310 26350 ) M1M2_PR
+      NEW met1 ( 1241310 287130 ) M1M2_PR
+      NEW met1 ( 1238090 287130 ) M1M2_PR
+      NEW met1 ( 2739530 26350 ) M1M2_PR ;
     - la_data_in[11] ( PIN la_data_in[11] ) ( mprj la_data_in[11] ) + USE SIGNAL
-      + ROUTED met2 ( 824550 2380 0 ) ( * 25330 )
-      NEW met1 ( 824550 25330 ) ( 918390 * )
-      NEW met2 ( 918390 298860 ) ( 918600 * )
-      NEW met2 ( 918600 298860 ) ( * 300220 0 )
-      NEW met2 ( 918390 25330 ) ( * 298860 )
-      NEW met1 ( 824550 25330 ) M1M2_PR
-      NEW met1 ( 918390 25330 ) M1M2_PR ;
+      + ROUTED met2 ( 824550 2380 0 ) ( * 3060 )
+      NEW met2 ( 823630 3060 ) ( 824550 * )
+      NEW met2 ( 823630 2380 ) ( * 3060 )
+      NEW met2 ( 822250 2380 ) ( 823630 * )
+      NEW met1 ( 816730 288150 ) ( 821790 * )
+      NEW met2 ( 816730 288150 ) ( * 298860 )
+      NEW met2 ( 816500 298860 ) ( 816730 * )
+      NEW met2 ( 816500 298860 ) ( * 300220 0 )
+      NEW met2 ( 821790 82800 ) ( 822250 * )
+      NEW met2 ( 822250 2380 ) ( * 82800 )
+      NEW met2 ( 821790 82800 ) ( * 288150 )
+      NEW met1 ( 821790 288150 ) M1M2_PR
+      NEW met1 ( 816730 288150 ) M1M2_PR ;
     - la_data_in[120] ( PIN la_data_in[120] ) ( mprj la_data_in[120] ) + USE SIGNAL
-      + ROUTED met1 ( 1439110 285090 ) ( 1441870 * )
-      NEW met2 ( 1439110 285090 ) ( * 298860 )
-      NEW met2 ( 1439110 298860 ) ( 1439200 * )
-      NEW met2 ( 1439200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1441870 26350 ) ( * 285090 )
-      NEW met2 ( 2757470 2380 0 ) ( * 26350 )
-      NEW met1 ( 1441870 26350 ) ( 2757470 * )
-      NEW met1 ( 1441870 26350 ) M1M2_PR
-      NEW met1 ( 1441870 285090 ) M1M2_PR
-      NEW met1 ( 1439110 285090 ) M1M2_PR
-      NEW met1 ( 2757470 26350 ) M1M2_PR ;
+      + ROUTED met2 ( 1241770 298860 ) ( 1241900 * )
+      NEW met2 ( 1241900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2757470 2380 0 ) ( * 26010 )
+      NEW met1 ( 1241770 26010 ) ( 2757470 * )
+      NEW met2 ( 1241770 26010 ) ( * 298860 )
+      NEW met1 ( 1241770 26010 ) M1M2_PR
+      NEW met1 ( 2757470 26010 ) M1M2_PR ;
     - la_data_in[121] ( PIN la_data_in[121] ) ( mprj la_data_in[121] ) + USE SIGNAL
-      + ROUTED met1 ( 1444170 285090 ) ( 1448770 * )
-      NEW met2 ( 1444170 285090 ) ( * 298860 )
-      NEW met2 ( 1443900 298860 ) ( 1444170 * )
-      NEW met2 ( 1443900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1448770 26010 ) ( * 285090 )
-      NEW met2 ( 2774950 2380 0 ) ( * 26010 )
-      NEW met1 ( 1448770 26010 ) ( 2774950 * )
-      NEW met1 ( 1448770 26010 ) M1M2_PR
-      NEW met1 ( 1448770 285090 ) M1M2_PR
-      NEW met1 ( 1444170 285090 ) M1M2_PR
-      NEW met1 ( 2774950 26010 ) M1M2_PR ;
+      + ROUTED met1 ( 1245910 289170 ) ( 1248670 * )
+      NEW met2 ( 1245910 289170 ) ( * 298860 )
+      NEW met2 ( 1245900 298860 ) ( 1245910 * )
+      NEW met2 ( 1245900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1248670 25670 ) ( * 289170 )
+      NEW met2 ( 2774950 2380 0 ) ( * 25670 )
+      NEW met1 ( 1248670 25670 ) ( 2774950 * )
+      NEW met1 ( 1248670 25670 ) M1M2_PR
+      NEW met1 ( 1248670 289170 ) M1M2_PR
+      NEW met1 ( 1245910 289170 ) M1M2_PR
+      NEW met1 ( 2774950 25670 ) M1M2_PR ;
     - la_data_in[122] ( PIN la_data_in[122] ) ( mprj la_data_in[122] ) + USE SIGNAL
-      + ROUTED met2 ( 1448310 298860 ) ( 1448700 * )
-      NEW met2 ( 1448700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2792890 2380 0 ) ( * 25670 )
-      NEW met2 ( 1448310 25670 ) ( * 298860 )
-      NEW met1 ( 1448310 25670 ) ( 2792890 * )
-      NEW met1 ( 1448310 25670 ) M1M2_PR
-      NEW met1 ( 2792890 25670 ) M1M2_PR ;
+      + ROUTED met1 ( 1250050 289170 ) ( 1255570 * )
+      NEW met2 ( 1250050 289170 ) ( * 298860 )
+      NEW met2 ( 1249800 298860 ) ( 1250050 * )
+      NEW met2 ( 1249800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2792890 2380 0 ) ( * 25330 )
+      NEW met2 ( 1255570 25330 ) ( * 289170 )
+      NEW met1 ( 1255570 25330 ) ( 2792890 * )
+      NEW met1 ( 1255570 25330 ) M1M2_PR
+      NEW met1 ( 1255570 289170 ) M1M2_PR
+      NEW met1 ( 1250050 289170 ) M1M2_PR
+      NEW met1 ( 2792890 25330 ) M1M2_PR ;
     - la_data_in[123] ( PIN la_data_in[123] ) ( mprj la_data_in[123] ) + USE SIGNAL
-      + ROUTED met1 ( 1453370 285090 ) ( 1455670 * )
-      NEW met2 ( 1453370 285090 ) ( * 298860 )
-      NEW met2 ( 1453370 298860 ) ( 1453500 * )
-      NEW met2 ( 1453500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2810370 2380 0 ) ( * 25330 )
-      NEW met2 ( 1455670 25330 ) ( * 285090 )
-      NEW met1 ( 1455670 25330 ) ( 2810370 * )
-      NEW met1 ( 1455670 25330 ) M1M2_PR
-      NEW met1 ( 1455670 285090 ) M1M2_PR
-      NEW met1 ( 1453370 285090 ) M1M2_PR
-      NEW met1 ( 2810370 25330 ) M1M2_PR ;
+      + ROUTED met2 ( 1253700 298860 ) ( 1255110 * )
+      NEW met2 ( 1253700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2810370 2380 0 ) ( * 24990 )
+      NEW met2 ( 1255110 24990 ) ( * 298860 )
+      NEW met1 ( 1255110 24990 ) ( 2810370 * )
+      NEW met1 ( 1255110 24990 ) M1M2_PR
+      NEW met1 ( 2810370 24990 ) M1M2_PR ;
     - la_data_in[124] ( PIN la_data_in[124] ) ( mprj la_data_in[124] ) + USE SIGNAL
-      + ROUTED met1 ( 1458430 285090 ) ( 1462570 * )
-      NEW met2 ( 1458430 285090 ) ( * 298860 )
-      NEW met2 ( 1458300 298860 ) ( 1458430 * )
-      NEW met2 ( 1458300 298860 ) ( * 300220 0 )
-      NEW met2 ( 2828310 2380 0 ) ( * 24990 )
-      NEW met2 ( 1462570 24990 ) ( * 285090 )
-      NEW met1 ( 1462570 24990 ) ( 2828310 * )
-      NEW met1 ( 1462570 24990 ) M1M2_PR
-      NEW met1 ( 1462570 285090 ) M1M2_PR
-      NEW met1 ( 1458430 285090 ) M1M2_PR
-      NEW met1 ( 2828310 24990 ) M1M2_PR ;
+      + ROUTED met1 ( 1257870 289170 ) ( 1262470 * )
+      NEW met2 ( 1257870 289170 ) ( * 298860 )
+      NEW met2 ( 1257600 298860 ) ( 1257870 * )
+      NEW met2 ( 1257600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2828310 2380 0 ) ( * 24650 )
+      NEW met2 ( 1262470 24650 ) ( * 289170 )
+      NEW met1 ( 1262470 24650 ) ( 2828310 * )
+      NEW met1 ( 1262470 24650 ) M1M2_PR
+      NEW met1 ( 1262470 289170 ) M1M2_PR
+      NEW met1 ( 1257870 289170 ) M1M2_PR
+      NEW met1 ( 2828310 24650 ) M1M2_PR ;
     - la_data_in[125] ( PIN la_data_in[125] ) ( mprj la_data_in[125] ) + USE SIGNAL
-      + ROUTED met1 ( 1463030 285090 ) ( 1469470 * )
-      NEW met2 ( 1463030 285090 ) ( * 298860 )
-      NEW met2 ( 1463030 298860 ) ( 1463100 * )
-      NEW met2 ( 1463100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1469470 24650 ) ( * 285090 )
-      NEW met2 ( 2845790 2380 0 ) ( * 24650 )
-      NEW met1 ( 1469470 24650 ) ( 2845790 * )
-      NEW met1 ( 1469470 24650 ) M1M2_PR
-      NEW met1 ( 1469470 285090 ) M1M2_PR
-      NEW met1 ( 1463030 285090 ) M1M2_PR
-      NEW met1 ( 2845790 24650 ) M1M2_PR ;
+      + ROUTED met2 ( 1261500 298860 ) ( 1262010 * )
+      NEW met2 ( 1261500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1262010 24310 ) ( * 298860 )
+      NEW met2 ( 2845790 2380 0 ) ( * 24310 )
+      NEW met1 ( 1262010 24310 ) ( 2845790 * )
+      NEW met1 ( 1262010 24310 ) M1M2_PR
+      NEW met1 ( 2845790 24310 ) M1M2_PR ;
     - la_data_in[126] ( PIN la_data_in[126] ) ( mprj la_data_in[126] ) + USE SIGNAL
-      + ROUTED met2 ( 1467800 298860 ) ( 1469010 * )
-      NEW met2 ( 1467800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1469010 24310 ) ( * 298860 )
-      NEW met2 ( 2863730 2380 0 ) ( * 24310 )
-      NEW met1 ( 1469010 24310 ) ( 2863730 * )
-      NEW met1 ( 1469010 24310 ) M1M2_PR
-      NEW met1 ( 2863730 24310 ) M1M2_PR ;
+      + ROUTED met1 ( 1265690 289170 ) ( 1269370 * )
+      NEW met2 ( 1265690 289170 ) ( * 298860 )
+      NEW met2 ( 1265400 298860 ) ( 1265690 * )
+      NEW met2 ( 1265400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1269370 23970 ) ( * 289170 )
+      NEW met2 ( 2863730 2380 0 ) ( * 23970 )
+      NEW met1 ( 1269370 23970 ) ( 2863730 * )
+      NEW met1 ( 1269370 23970 ) M1M2_PR
+      NEW met1 ( 1269370 289170 ) M1M2_PR
+      NEW met1 ( 1265690 289170 ) M1M2_PR
+      NEW met1 ( 2863730 23970 ) M1M2_PR ;
     - la_data_in[127] ( PIN la_data_in[127] ) ( mprj la_data_in[127] ) + USE SIGNAL
-      + ROUTED met1 ( 1472690 285090 ) ( 1476370 * )
-      NEW met2 ( 1472690 285090 ) ( * 298860 )
-      NEW met2 ( 1472500 298860 ) ( 1472690 * )
-      NEW met2 ( 1472500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1476370 23970 ) ( * 285090 )
-      NEW met2 ( 2881670 2380 0 ) ( * 23970 )
-      NEW met1 ( 1476370 23970 ) ( 2881670 * )
-      NEW met1 ( 1476370 23970 ) M1M2_PR
-      NEW met1 ( 1476370 285090 ) M1M2_PR
-      NEW met1 ( 1472690 285090 ) M1M2_PR
-      NEW met1 ( 2881670 23970 ) M1M2_PR ;
+      + ROUTED met1 ( 1263390 285430 ) ( 1268910 * )
+      NEW met2 ( 1268910 285430 ) ( * 298860 )
+      NEW met2 ( 1268910 298860 ) ( 1269300 * )
+      NEW met2 ( 1269300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1263390 272510 ) ( * 285430 )
+      NEW met2 ( 2881670 2380 0 ) ( * 3060 )
+      NEW met2 ( 2880750 3060 ) ( 2881670 * )
+      NEW met2 ( 2880750 2380 ) ( * 3060 )
+      NEW met2 ( 2879370 2380 ) ( 2880750 * )
+      NEW met2 ( 2877530 82800 ) ( 2879370 * )
+      NEW met2 ( 2879370 2380 ) ( * 82800 )
+      NEW met1 ( 1263390 272510 ) ( 2877530 * )
+      NEW met2 ( 2877530 82800 ) ( * 272510 )
+      NEW met1 ( 1263390 285430 ) M1M2_PR
+      NEW met1 ( 1268910 285430 ) M1M2_PR
+      NEW met1 ( 1263390 272510 ) M1M2_PR
+      NEW met1 ( 2877530 272510 ) M1M2_PR ;
     - la_data_in[12] ( PIN la_data_in[12] ) ( mprj la_data_in[12] ) + USE SIGNAL
-      + ROUTED met2 ( 842030 2380 0 ) ( * 16830 )
-      NEW met1 ( 842030 16830 ) ( 848470 * )
-      NEW met2 ( 923450 286450 ) ( * 298860 )
-      NEW met2 ( 923400 298860 ) ( 923450 * )
-      NEW met2 ( 923400 298860 ) ( * 300220 0 )
-      NEW met1 ( 848470 286450 ) ( 923450 * )
-      NEW met2 ( 848470 16830 ) ( * 286450 )
-      NEW met1 ( 842030 16830 ) M1M2_PR
-      NEW met1 ( 848470 16830 ) M1M2_PR
-      NEW met1 ( 848470 286450 ) M1M2_PR
-      NEW met1 ( 923450 286450 ) M1M2_PR ;
+      + ROUTED met2 ( 842030 2380 0 ) ( * 16490 )
+      NEW met1 ( 831450 16490 ) ( 842030 * )
+      NEW met1 ( 820410 284410 ) ( 831450 * )
+      NEW met2 ( 820410 284410 ) ( * 298860 )
+      NEW met2 ( 820400 298860 ) ( 820410 * )
+      NEW met2 ( 820400 298860 ) ( * 300220 0 )
+      NEW met2 ( 831450 16490 ) ( * 284410 )
+      NEW met1 ( 842030 16490 ) M1M2_PR
+      NEW met1 ( 831450 16490 ) M1M2_PR
+      NEW met1 ( 831450 284410 ) M1M2_PR
+      NEW met1 ( 820410 284410 ) M1M2_PR ;
     - la_data_in[13] ( PIN la_data_in[13] ) ( mprj la_data_in[13] ) + USE SIGNAL
-      + ROUTED met2 ( 859970 2380 0 ) ( * 16830 )
-      NEW met1 ( 859970 16830 ) ( 865950 * )
-      NEW met2 ( 865490 276000 ) ( * 286110 )
-      NEW met2 ( 865490 276000 ) ( 865950 * )
-      NEW met2 ( 865950 16830 ) ( * 276000 )
-      NEW met2 ( 928050 286110 ) ( * 298860 )
-      NEW met2 ( 928050 298860 ) ( 928200 * )
-      NEW met2 ( 928200 298860 ) ( * 300220 0 )
-      NEW met1 ( 865490 286110 ) ( 928050 * )
-      NEW met1 ( 859970 16830 ) M1M2_PR
-      NEW met1 ( 865950 16830 ) M1M2_PR
-      NEW met1 ( 865490 286110 ) M1M2_PR
-      NEW met1 ( 928050 286110 ) M1M2_PR ;
+      + ROUTED met2 ( 859970 2380 0 ) ( * 20230 )
+      NEW met1 ( 827770 20230 ) ( 859970 * )
+      NEW met1 ( 824550 288150 ) ( 827770 * )
+      NEW met2 ( 824550 288150 ) ( * 298860 )
+      NEW met2 ( 824300 298860 ) ( 824550 * )
+      NEW met2 ( 824300 298860 ) ( * 300220 0 )
+      NEW met2 ( 827770 20230 ) ( * 288150 )
+      NEW met1 ( 859970 20230 ) M1M2_PR
+      NEW met1 ( 827770 20230 ) M1M2_PR
+      NEW met1 ( 827770 288150 ) M1M2_PR
+      NEW met1 ( 824550 288150 ) M1M2_PR ;
     - la_data_in[14] ( PIN la_data_in[14] ) ( mprj la_data_in[14] ) + USE SIGNAL
-      + ROUTED met2 ( 877450 2380 0 ) ( * 16830 )
-      NEW met1 ( 877450 16830 ) ( 882970 * )
-      NEW met2 ( 882970 16830 ) ( * 287130 )
-      NEW met2 ( 933110 287130 ) ( * 298860 )
-      NEW met2 ( 933000 298860 ) ( 933110 * )
-      NEW met2 ( 933000 298860 ) ( * 300220 0 )
-      NEW met1 ( 882970 287130 ) ( 933110 * )
-      NEW met1 ( 877450 16830 ) M1M2_PR
-      NEW met1 ( 882970 16830 ) M1M2_PR
-      NEW met1 ( 882970 287130 ) M1M2_PR
-      NEW met1 ( 933110 287130 ) M1M2_PR ;
+      + ROUTED met2 ( 877450 2380 0 ) ( * 19890 )
+      NEW met1 ( 834210 19890 ) ( 877450 * )
+      NEW met1 ( 828230 287810 ) ( 834210 * )
+      NEW met2 ( 828230 287810 ) ( * 298860 )
+      NEW met2 ( 828230 298860 ) ( 828300 * )
+      NEW met2 ( 828300 298860 ) ( * 300220 0 )
+      NEW met2 ( 834210 19890 ) ( * 287810 )
+      NEW met1 ( 877450 19890 ) M1M2_PR
+      NEW met1 ( 834210 19890 ) M1M2_PR
+      NEW met1 ( 834210 287810 ) M1M2_PR
+      NEW met1 ( 828230 287810 ) M1M2_PR ;
     - la_data_in[15] ( PIN la_data_in[15] ) ( mprj la_data_in[15] ) + USE SIGNAL
-      + ROUTED met2 ( 895390 2380 0 ) ( * 34500 )
-      NEW met2 ( 895390 34500 ) ( 896770 * )
-      NEW met2 ( 896770 34500 ) ( * 287810 )
-      NEW met2 ( 937710 287810 ) ( * 298860 )
-      NEW met2 ( 937700 298860 ) ( 937710 * )
-      NEW met2 ( 937700 298860 ) ( * 300220 0 )
-      NEW met1 ( 896770 287810 ) ( 937710 * )
-      NEW met1 ( 896770 287810 ) M1M2_PR
-      NEW met1 ( 937710 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 895390 2380 0 ) ( * 19550 )
+      NEW met1 ( 834670 19550 ) ( 895390 * )
+      NEW met1 ( 832370 288150 ) ( 834670 * )
+      NEW met2 ( 832370 288150 ) ( * 298860 )
+      NEW met2 ( 832200 298860 ) ( 832370 * )
+      NEW met2 ( 832200 298860 ) ( * 300220 0 )
+      NEW met2 ( 834670 19550 ) ( * 288150 )
+      NEW met1 ( 895390 19550 ) M1M2_PR
+      NEW met1 ( 834670 19550 ) M1M2_PR
+      NEW met1 ( 834670 288150 ) M1M2_PR
+      NEW met1 ( 832370 288150 ) M1M2_PR ;
     - la_data_in[16] ( PIN la_data_in[16] ) ( mprj la_data_in[16] ) + USE SIGNAL
-      + ROUTED met2 ( 912870 2380 0 ) ( * 18530 )
-      NEW met1 ( 912870 18530 ) ( 934950 * )
-      NEW met1 ( 934950 288490 ) ( 942310 * )
-      NEW met2 ( 942310 288490 ) ( * 298860 )
-      NEW met2 ( 942310 298860 ) ( 942500 * )
-      NEW met2 ( 942500 298860 ) ( * 300220 0 )
-      NEW met2 ( 934950 18530 ) ( * 288490 )
-      NEW met1 ( 912870 18530 ) M1M2_PR
-      NEW met1 ( 934950 18530 ) M1M2_PR
-      NEW met1 ( 934950 288490 ) M1M2_PR
-      NEW met1 ( 942310 288490 ) M1M2_PR ;
+      + ROUTED met2 ( 879750 14790 ) ( * 286450 )
+      NEW met2 ( 836050 286450 ) ( * 298860 )
+      NEW met2 ( 836050 298860 ) ( 836100 * )
+      NEW met2 ( 836100 298860 ) ( * 300220 0 )
+      NEW met1 ( 836050 286450 ) ( 879750 * )
+      NEW met2 ( 912870 2380 0 ) ( * 14790 )
+      NEW met1 ( 879750 14790 ) ( 912870 * )
+      NEW met1 ( 879750 14790 ) M1M2_PR
+      NEW met1 ( 879750 286450 ) M1M2_PR
+      NEW met1 ( 836050 286450 ) M1M2_PR
+      NEW met1 ( 912870 14790 ) M1M2_PR ;
     - la_data_in[17] ( PIN la_data_in[17] ) ( mprj la_data_in[17] ) + USE SIGNAL
-      + ROUTED met2 ( 930810 2380 0 ) ( * 16490 )
-      NEW met1 ( 930810 16490 ) ( 941850 * )
-      NEW met1 ( 941850 287810 ) ( 946910 * )
-      NEW met2 ( 946910 287810 ) ( * 298860 )
-      NEW met2 ( 946910 298860 ) ( 947200 * )
-      NEW met2 ( 947200 298860 ) ( * 300220 0 )
-      NEW met2 ( 941850 16490 ) ( * 287810 )
-      NEW met1 ( 930810 16490 ) M1M2_PR
-      NEW met1 ( 941850 16490 ) M1M2_PR
-      NEW met1 ( 941850 287810 ) M1M2_PR
-      NEW met1 ( 946910 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 865950 18870 ) ( * 283390 )
+      NEW met2 ( 840190 283390 ) ( * 298860 )
+      NEW met2 ( 840000 298860 ) ( 840190 * )
+      NEW met2 ( 840000 298860 ) ( * 300220 0 )
+      NEW met1 ( 840190 283390 ) ( 865950 * )
+      NEW met2 ( 930810 2380 0 ) ( * 18870 )
+      NEW met1 ( 865950 18870 ) ( 930810 * )
+      NEW met1 ( 865950 18870 ) M1M2_PR
+      NEW met1 ( 865950 283390 ) M1M2_PR
+      NEW met1 ( 840190 283390 ) M1M2_PR
+      NEW met1 ( 930810 18870 ) M1M2_PR ;
     - la_data_in[18] ( PIN la_data_in[18] ) ( mprj la_data_in[18] ) + USE SIGNAL
-      + ROUTED met2 ( 948750 2380 0 ) ( * 16830 )
-      NEW met1 ( 945990 16830 ) ( 948750 * )
-      NEW met1 ( 945990 299030 ) ( 952000 * )
-      NEW met2 ( 952000 299030 ) ( * 300220 0 )
-      NEW met2 ( 945990 16830 ) ( * 299030 )
-      NEW met1 ( 948750 16830 ) M1M2_PR
-      NEW met1 ( 945990 16830 ) M1M2_PR
-      NEW met1 ( 945990 299030 ) M1M2_PR
-      NEW met1 ( 952000 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 843870 286790 ) ( * 298860 )
+      NEW met2 ( 843870 298860 ) ( 843900 * )
+      NEW met2 ( 843900 298860 ) ( * 300220 0 )
+      NEW met2 ( 948750 2380 0 ) ( * 20910 )
+      NEW met1 ( 941850 20910 ) ( 948750 * )
+      NEW met1 ( 843870 286790 ) ( 941850 * )
+      NEW met2 ( 941850 20910 ) ( * 286790 )
+      NEW met1 ( 843870 286790 ) M1M2_PR
+      NEW met1 ( 948750 20910 ) M1M2_PR
+      NEW met1 ( 941850 20910 ) M1M2_PR
+      NEW met1 ( 941850 286790 ) M1M2_PR ;
     - la_data_in[19] ( PIN la_data_in[19] ) ( mprj la_data_in[19] ) + USE SIGNAL
-      + ROUTED met2 ( 966230 2380 0 ) ( * 15810 )
-      NEW met1 ( 958870 15810 ) ( 966230 * )
-      NEW met2 ( 956800 298860 ) ( 958870 * )
-      NEW met2 ( 956800 298860 ) ( * 300220 0 )
-      NEW met2 ( 958870 15810 ) ( * 298860 )
-      NEW met1 ( 966230 15810 ) M1M2_PR
-      NEW met1 ( 958870 15810 ) M1M2_PR ;
+      + ROUTED met2 ( 966230 2380 0 ) ( * 21590 )
+      NEW met2 ( 847800 298860 ) ( 848470 * )
+      NEW met2 ( 847800 298860 ) ( * 300220 0 )
+      NEW met1 ( 848470 21590 ) ( 966230 * )
+      NEW met2 ( 848470 21590 ) ( * 298860 )
+      NEW met1 ( 966230 21590 ) M1M2_PR
+      NEW met1 ( 848470 21590 ) M1M2_PR ;
     - la_data_in[1] ( PIN la_data_in[1] ) ( mprj la_data_in[1] ) + USE SIGNAL
-      + ROUTED met1 ( 866410 287810 ) ( 871010 * )
-      NEW met2 ( 871010 287810 ) ( * 298860 )
-      NEW met2 ( 870900 298860 ) ( 871010 * )
-      NEW met2 ( 870900 298860 ) ( * 300220 0 )
-      NEW met2 ( 866410 82800 ) ( 866870 * )
-      NEW met2 ( 866870 23970 ) ( * 82800 )
-      NEW met2 ( 866410 82800 ) ( * 287810 )
-      NEW met2 ( 646990 2380 0 ) ( * 23970 )
-      NEW met1 ( 646990 23970 ) ( 866870 * )
-      NEW met1 ( 866870 23970 ) M1M2_PR
-      NEW met1 ( 866410 287810 ) M1M2_PR
-      NEW met1 ( 871010 287810 ) M1M2_PR
-      NEW met1 ( 646990 23970 ) M1M2_PR ;
+      + ROUTED met2 ( 773490 298860 ) ( 777600 * )
+      NEW met2 ( 777600 298860 ) ( * 300220 0 )
+      NEW met2 ( 773490 30770 ) ( * 298860 )
+      NEW met2 ( 646990 2380 0 ) ( * 30770 )
+      NEW met1 ( 646990 30770 ) ( 773490 * )
+      NEW met1 ( 773490 30770 ) M1M2_PR
+      NEW met1 ( 646990 30770 ) M1M2_PR ;
     - la_data_in[20] ( PIN la_data_in[20] ) ( mprj la_data_in[20] ) + USE SIGNAL
-      + ROUTED met1 ( 961630 286790 ) ( 980950 * )
-      NEW met2 ( 961630 286790 ) ( * 298860 )
-      NEW met2 ( 961600 298860 ) ( 961630 * )
-      NEW met2 ( 961600 298860 ) ( * 300220 0 )
-      NEW met2 ( 980950 82800 ) ( 984170 * )
-      NEW met2 ( 984170 2380 0 ) ( * 82800 )
-      NEW met2 ( 980950 82800 ) ( * 286790 )
-      NEW met1 ( 980950 286790 ) M1M2_PR
-      NEW met1 ( 961630 286790 ) M1M2_PR ;
+      + ROUTED met2 ( 984170 2380 0 ) ( * 21930 )
+      NEW met1 ( 851690 288150 ) ( 855370 * )
+      NEW met2 ( 851690 288150 ) ( * 298860 )
+      NEW met2 ( 851690 298860 ) ( 851700 * )
+      NEW met2 ( 851700 298860 ) ( * 300220 0 )
+      NEW met1 ( 855370 21930 ) ( 984170 * )
+      NEW met2 ( 855370 21930 ) ( * 288150 )
+      NEW met1 ( 984170 21930 ) M1M2_PR
+      NEW met1 ( 855370 21930 ) M1M2_PR
+      NEW met1 ( 855370 288150 ) M1M2_PR
+      NEW met1 ( 851690 288150 ) M1M2_PR ;
     - la_data_in[21] ( PIN la_data_in[21] ) ( mprj la_data_in[21] ) + USE SIGNAL
-      + ROUTED met1 ( 966690 286450 ) ( 990150 * )
-      NEW met2 ( 966690 286450 ) ( * 298860 )
-      NEW met2 ( 966400 298860 ) ( 966690 * )
-      NEW met2 ( 966400 298860 ) ( * 300220 0 )
-      NEW met2 ( 990150 22950 ) ( * 286450 )
-      NEW met2 ( 1001650 2380 0 ) ( * 22950 )
-      NEW met1 ( 990150 22950 ) ( 1001650 * )
-      NEW met1 ( 990150 22950 ) M1M2_PR
-      NEW met1 ( 990150 286450 ) M1M2_PR
-      NEW met1 ( 966690 286450 ) M1M2_PR
-      NEW met1 ( 1001650 22950 ) M1M2_PR ;
+      + ROUTED met1 ( 855830 288490 ) ( 862270 * )
+      NEW met2 ( 855830 288490 ) ( * 298860 )
+      NEW met2 ( 862270 22270 ) ( * 288490 )
+      NEW met2 ( 855600 298860 ) ( 855830 * )
+      NEW met2 ( 855600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1001650 2380 0 ) ( * 22270 )
+      NEW met1 ( 862270 22270 ) ( 1001650 * )
+      NEW met1 ( 862270 22270 ) M1M2_PR
+      NEW met1 ( 862270 288490 ) M1M2_PR
+      NEW met1 ( 855830 288490 ) M1M2_PR
+      NEW met1 ( 1001650 22270 ) M1M2_PR ;
     - la_data_in[22] ( PIN la_data_in[22] ) ( mprj la_data_in[22] ) + USE SIGNAL
-      + ROUTED met1 ( 971290 287810 ) ( 976350 * )
-      NEW met2 ( 971290 287810 ) ( * 298860 )
-      NEW met2 ( 971200 298860 ) ( 971290 * )
-      NEW met2 ( 971200 298860 ) ( * 300220 0 )
-      NEW met2 ( 976350 24650 ) ( * 287810 )
-      NEW met2 ( 1019590 2380 0 ) ( * 24650 )
-      NEW met1 ( 976350 24650 ) ( 1019590 * )
-      NEW met1 ( 976350 24650 ) M1M2_PR
-      NEW met1 ( 976350 287810 ) M1M2_PR
-      NEW met1 ( 971290 287810 ) M1M2_PR
-      NEW met1 ( 1019590 24650 ) M1M2_PR ;
+      + ROUTED met1 ( 859510 288150 ) ( 861810 * )
+      NEW met2 ( 859510 288150 ) ( * 298860 )
+      NEW met2 ( 859500 298860 ) ( 859510 * )
+      NEW met2 ( 859500 298860 ) ( * 300220 0 )
+      NEW met2 ( 861810 22610 ) ( * 288150 )
+      NEW met2 ( 1019590 2380 0 ) ( * 22610 )
+      NEW met1 ( 861810 22610 ) ( 1019590 * )
+      NEW met1 ( 861810 22610 ) M1M2_PR
+      NEW met1 ( 861810 288150 ) M1M2_PR
+      NEW met1 ( 859510 288150 ) M1M2_PR
+      NEW met1 ( 1019590 22610 ) M1M2_PR ;
     - la_data_in[23] ( PIN la_data_in[23] ) ( mprj la_data_in[23] ) + USE SIGNAL
-      + ROUTED met2 ( 975890 287130 ) ( * 298860 )
-      NEW met2 ( 975890 298860 ) ( 975900 * )
-      NEW met2 ( 975900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1037070 2380 0 ) ( * 20910 )
-      NEW met1 ( 1024650 20910 ) ( 1037070 * )
-      NEW met1 ( 975890 287130 ) ( 1024650 * )
-      NEW met2 ( 1024650 20910 ) ( * 287130 )
-      NEW met1 ( 975890 287130 ) M1M2_PR
-      NEW met1 ( 1037070 20910 ) M1M2_PR
-      NEW met1 ( 1024650 20910 ) M1M2_PR
-      NEW met1 ( 1024650 287130 ) M1M2_PR ;
+      + ROUTED met1 ( 863650 288150 ) ( 869170 * )
+      NEW met2 ( 863650 288150 ) ( * 298860 )
+      NEW met2 ( 863400 298860 ) ( 863650 * )
+      NEW met2 ( 863400 298860 ) ( * 300220 0 )
+      NEW met2 ( 869170 22950 ) ( * 288150 )
+      NEW met2 ( 1037070 2380 0 ) ( * 22950 )
+      NEW met1 ( 869170 22950 ) ( 1037070 * )
+      NEW met1 ( 869170 22950 ) M1M2_PR
+      NEW met1 ( 869170 288150 ) M1M2_PR
+      NEW met1 ( 863650 288150 ) M1M2_PR
+      NEW met1 ( 1037070 22950 ) M1M2_PR ;
     - la_data_in[24] ( PIN la_data_in[24] ) ( mprj la_data_in[24] ) + USE SIGNAL
-      + ROUTED met1 ( 980950 287810 ) ( 986470 * )
-      NEW met2 ( 980950 287810 ) ( * 298860 )
-      NEW met2 ( 980700 298860 ) ( 980950 * )
-      NEW met2 ( 980700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1055010 2380 0 ) ( * 12580 )
-      NEW met2 ( 1054550 12580 ) ( 1055010 * )
-      NEW met2 ( 1054550 12580 ) ( * 24310 )
-      NEW met2 ( 986470 24310 ) ( * 287810 )
-      NEW met1 ( 986470 24310 ) ( 1054550 * )
-      NEW met1 ( 986470 24310 ) M1M2_PR
-      NEW met1 ( 986470 287810 ) M1M2_PR
-      NEW met1 ( 980950 287810 ) M1M2_PR
-      NEW met1 ( 1054550 24310 ) M1M2_PR ;
+      + ROUTED met2 ( 867300 298860 ) ( 868710 * )
+      NEW met2 ( 867300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1055010 2380 0 ) ( * 23290 )
+      NEW met2 ( 868710 23290 ) ( * 298860 )
+      NEW met1 ( 868710 23290 ) ( 1055010 * )
+      NEW met1 ( 868710 23290 ) M1M2_PR
+      NEW met1 ( 1055010 23290 ) M1M2_PR ;
     - la_data_in[25] ( PIN la_data_in[25] ) ( mprj la_data_in[25] ) + USE SIGNAL
-      + ROUTED met2 ( 985400 298860 ) ( 986010 * )
-      NEW met2 ( 985400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1072490 2380 0 ) ( * 23970 )
-      NEW met2 ( 986010 23970 ) ( * 298860 )
-      NEW met1 ( 986010 23970 ) ( 1072490 * )
-      NEW met1 ( 986010 23970 ) M1M2_PR
-      NEW met1 ( 1072490 23970 ) M1M2_PR ;
+      + ROUTED met1 ( 871010 288150 ) ( 876070 * )
+      NEW met2 ( 871010 288150 ) ( * 298860 )
+      NEW met2 ( 871010 298860 ) ( 871100 * )
+      NEW met2 ( 871100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1072490 2380 0 ) ( * 23630 )
+      NEW met2 ( 876070 23630 ) ( * 288150 )
+      NEW met1 ( 876070 23630 ) ( 1072490 * )
+      NEW met1 ( 876070 23630 ) M1M2_PR
+      NEW met1 ( 876070 288150 ) M1M2_PR
+      NEW met1 ( 871010 288150 ) M1M2_PR
+      NEW met1 ( 1072490 23630 ) M1M2_PR ;
     - la_data_in[26] ( PIN la_data_in[26] ) ( mprj la_data_in[26] ) + USE SIGNAL
-      + ROUTED met1 ( 990150 287810 ) ( 993370 * )
-      NEW met2 ( 990150 287810 ) ( * 298860 )
-      NEW met2 ( 990150 298860 ) ( 990200 * )
-      NEW met2 ( 990200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1090430 2380 0 ) ( * 18530 )
-      NEW met2 ( 993370 18530 ) ( * 287810 )
-      NEW met1 ( 993370 18530 ) ( 1090430 * )
-      NEW met1 ( 993370 18530 ) M1M2_PR
-      NEW met1 ( 993370 287810 ) M1M2_PR
-      NEW met1 ( 990150 287810 ) M1M2_PR
-      NEW met1 ( 1090430 18530 ) M1M2_PR ;
+      + ROUTED met2 ( 875000 298860 ) ( 875610 * )
+      NEW met2 ( 875000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1090430 2380 0 ) ( * 27370 )
+      NEW met2 ( 875610 27370 ) ( * 298860 )
+      NEW met1 ( 875610 27370 ) ( 1090430 * )
+      NEW met1 ( 875610 27370 ) M1M2_PR
+      NEW met1 ( 1090430 27370 ) M1M2_PR ;
     - la_data_in[27] ( PIN la_data_in[27] ) ( mprj la_data_in[27] ) + USE SIGNAL
-      + ROUTED met2 ( 995210 286450 ) ( * 298860 )
-      NEW met2 ( 995000 298860 ) ( 995210 * )
-      NEW met2 ( 995000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1079850 27710 ) ( * 286450 )
-      NEW met1 ( 995210 286450 ) ( 1079850 * )
-      NEW met2 ( 1107910 2380 0 ) ( * 27710 )
-      NEW met1 ( 1079850 27710 ) ( 1107910 * )
-      NEW met1 ( 995210 286450 ) M1M2_PR
-      NEW met1 ( 1079850 27710 ) M1M2_PR
-      NEW met1 ( 1079850 286450 ) M1M2_PR
-      NEW met1 ( 1107910 27710 ) M1M2_PR ;
+      + ROUTED met1 ( 878830 288150 ) ( 882510 * )
+      NEW met2 ( 878830 288150 ) ( * 298860 )
+      NEW met2 ( 878830 298860 ) ( 878900 * )
+      NEW met2 ( 878900 298860 ) ( * 300220 0 )
+      NEW met2 ( 882510 27030 ) ( * 288150 )
+      NEW met2 ( 1107910 2380 0 ) ( * 27030 )
+      NEW met1 ( 882510 27030 ) ( 1107910 * )
+      NEW met1 ( 882510 27030 ) M1M2_PR
+      NEW met1 ( 882510 288150 ) M1M2_PR
+      NEW met1 ( 878830 288150 ) M1M2_PR
+      NEW met1 ( 1107910 27030 ) M1M2_PR ;
     - la_data_in[28] ( PIN la_data_in[28] ) ( mprj la_data_in[28] ) + USE SIGNAL
-      + ROUTED met2 ( 999810 286110 ) ( * 298860 )
-      NEW met2 ( 999800 298860 ) ( 999810 * )
-      NEW met2 ( 999800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1125850 2380 0 ) ( * 27710 )
-      NEW met1 ( 1114350 27710 ) ( 1125850 * )
-      NEW met1 ( 999810 286110 ) ( 1114350 * )
-      NEW met2 ( 1114350 27710 ) ( * 286110 )
-      NEW met1 ( 999810 286110 ) M1M2_PR
-      NEW met1 ( 1125850 27710 ) M1M2_PR
-      NEW met1 ( 1114350 27710 ) M1M2_PR
-      NEW met1 ( 1114350 286110 ) M1M2_PR ;
+      + ROUTED met2 ( 882900 298860 ) ( 882970 * )
+      NEW met2 ( 882900 298860 ) ( * 300220 0 )
+      NEW met2 ( 882970 26690 ) ( * 298860 )
+      NEW met2 ( 1125850 2380 0 ) ( * 26690 )
+      NEW met1 ( 882970 26690 ) ( 1125850 * )
+      NEW met1 ( 882970 26690 ) M1M2_PR
+      NEW met1 ( 1125850 26690 ) M1M2_PR ;
     - la_data_in[29] ( PIN la_data_in[29] ) ( mprj la_data_in[29] ) + USE SIGNAL
-      + ROUTED met1 ( 1004410 287810 ) ( 1007170 * )
-      NEW met2 ( 1004410 287810 ) ( * 298860 )
-      NEW met2 ( 1004410 298860 ) ( 1004500 * )
-      NEW met2 ( 1004500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1143790 2380 0 ) ( * 21250 )
-      NEW met1 ( 1007170 21250 ) ( 1143790 * )
-      NEW met2 ( 1007170 21250 ) ( * 287810 )
-      NEW met1 ( 1007170 21250 ) M1M2_PR
-      NEW met1 ( 1007170 287810 ) M1M2_PR
-      NEW met1 ( 1004410 287810 ) M1M2_PR
-      NEW met1 ( 1143790 21250 ) M1M2_PR ;
+      + ROUTED met1 ( 886650 288150 ) ( 889870 * )
+      NEW met2 ( 886650 288150 ) ( * 298860 )
+      NEW met2 ( 886650 298860 ) ( 886800 * )
+      NEW met2 ( 886800 298860 ) ( * 300220 0 )
+      NEW met2 ( 889870 26350 ) ( * 288150 )
+      NEW met2 ( 1143790 2380 0 ) ( * 26350 )
+      NEW met1 ( 889870 26350 ) ( 1143790 * )
+      NEW met1 ( 889870 26350 ) M1M2_PR
+      NEW met1 ( 889870 288150 ) M1M2_PR
+      NEW met1 ( 886650 288150 ) M1M2_PR
+      NEW met1 ( 1143790 26350 ) M1M2_PR ;
     - la_data_in[2] ( PIN la_data_in[2] ) ( mprj la_data_in[2] ) + USE SIGNAL
-      + ROUTED met2 ( 664930 2380 0 ) ( * 3060 )
-      NEW met2 ( 664930 3060 ) ( 665850 * )
-      NEW met2 ( 665850 2380 ) ( * 3060 )
-      NEW met2 ( 665850 2380 ) ( 667230 * )
-      NEW met1 ( 870090 299030 ) ( 875700 * )
-      NEW met2 ( 875700 299030 ) ( * 300220 0 )
-      NEW met2 ( 667230 2380 ) ( * 34500 )
-      NEW met2 ( 667230 34500 ) ( 669070 * )
-      NEW met2 ( 669070 34500 ) ( * 224230 )
-      NEW met2 ( 870090 224230 ) ( * 299030 )
-      NEW met1 ( 669070 224230 ) ( 870090 * )
-      NEW met1 ( 669070 224230 ) M1M2_PR
-      NEW met1 ( 870090 224230 ) M1M2_PR
-      NEW met1 ( 870090 299030 ) M1M2_PR
-      NEW met1 ( 875700 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 664930 2380 0 ) ( * 20910 )
+      NEW met1 ( 664930 20910 ) ( 707250 * )
+      NEW met2 ( 780390 287470 ) ( * 299540 )
+      NEW met2 ( 780390 299540 ) ( 781500 * )
+      NEW met2 ( 781500 299540 ) ( * 300220 0 )
+      NEW met2 ( 707250 20910 ) ( * 287470 )
+      NEW met1 ( 707250 287470 ) ( 780390 * )
+      NEW met1 ( 664930 20910 ) M1M2_PR
+      NEW met1 ( 707250 20910 ) M1M2_PR
+      NEW met1 ( 707250 287470 ) M1M2_PR
+      NEW met1 ( 780390 287470 ) M1M2_PR ;
     - la_data_in[30] ( PIN la_data_in[30] ) ( mprj la_data_in[30] ) + USE SIGNAL
-      + ROUTED met2 ( 1161270 2380 0 ) ( * 21590 )
-      NEW met1 ( 1009470 287810 ) ( 1013610 * )
-      NEW met2 ( 1009470 287810 ) ( * 298860 )
-      NEW met2 ( 1009300 298860 ) ( 1009470 * )
-      NEW met2 ( 1009300 298860 ) ( * 300220 0 )
-      NEW met1 ( 1013610 21590 ) ( 1161270 * )
-      NEW met2 ( 1013610 21590 ) ( * 287810 )
-      NEW met1 ( 1161270 21590 ) M1M2_PR
-      NEW met1 ( 1013610 21590 ) M1M2_PR
-      NEW met1 ( 1013610 287810 ) M1M2_PR
-      NEW met1 ( 1009470 287810 ) M1M2_PR ;
+      + ROUTED met1 ( 890790 287810 ) ( 896310 * )
+      NEW met2 ( 890790 287810 ) ( * 298860 )
+      NEW met2 ( 890700 298860 ) ( 890790 * )
+      NEW met2 ( 890700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1161270 2380 0 ) ( * 26010 )
+      NEW met2 ( 896310 26010 ) ( * 287810 )
+      NEW met1 ( 896310 26010 ) ( 1161270 * )
+      NEW met1 ( 896310 26010 ) M1M2_PR
+      NEW met1 ( 896310 287810 ) M1M2_PR
+      NEW met1 ( 890790 287810 ) M1M2_PR
+      NEW met1 ( 1161270 26010 ) M1M2_PR ;
     - la_data_in[31] ( PIN la_data_in[31] ) ( mprj la_data_in[31] ) + USE SIGNAL
-      + ROUTED met2 ( 1179210 2380 0 ) ( * 21930 )
-      NEW met2 ( 1014070 298860 ) ( 1014100 * )
-      NEW met2 ( 1014100 298860 ) ( * 300220 0 )
-      NEW met1 ( 1014070 21930 ) ( 1179210 * )
-      NEW met2 ( 1014070 21930 ) ( * 298860 )
-      NEW met1 ( 1179210 21930 ) M1M2_PR
-      NEW met1 ( 1014070 21930 ) M1M2_PR ;
+      + ROUTED met1 ( 894470 288150 ) ( 896770 * )
+      NEW met2 ( 894470 288150 ) ( * 298860 )
+      NEW met2 ( 894470 298860 ) ( 894600 * )
+      NEW met2 ( 894600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1179210 2380 0 ) ( * 25670 )
+      NEW met2 ( 896770 25670 ) ( * 288150 )
+      NEW met1 ( 896770 25670 ) ( 1179210 * )
+      NEW met1 ( 896770 25670 ) M1M2_PR
+      NEW met1 ( 896770 288150 ) M1M2_PR
+      NEW met1 ( 894470 288150 ) M1M2_PR
+      NEW met1 ( 1179210 25670 ) M1M2_PR ;
     - la_data_in[32] ( PIN la_data_in[32] ) ( mprj la_data_in[32] ) + USE SIGNAL
-      + ROUTED met2 ( 1018900 298860 ) ( 1020970 * )
-      NEW met2 ( 1018900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1196690 2380 0 ) ( * 22270 )
-      NEW met1 ( 1020970 22270 ) ( 1196690 * )
-      NEW met2 ( 1020970 22270 ) ( * 298860 )
-      NEW met1 ( 1020970 22270 ) M1M2_PR
-      NEW met1 ( 1196690 22270 ) M1M2_PR ;
+      + ROUTED met1 ( 898610 285090 ) ( 903210 * )
+      NEW met2 ( 898610 285090 ) ( * 298860 )
+      NEW met2 ( 898500 298860 ) ( 898610 * )
+      NEW met2 ( 898500 298860 ) ( * 300220 0 )
+      NEW met2 ( 903210 25330 ) ( * 285090 )
+      NEW met2 ( 1196690 2380 0 ) ( * 25330 )
+      NEW met1 ( 903210 25330 ) ( 1196690 * )
+      NEW met1 ( 903210 25330 ) M1M2_PR
+      NEW met1 ( 903210 285090 ) M1M2_PR
+      NEW met1 ( 898610 285090 ) M1M2_PR
+      NEW met1 ( 1196690 25330 ) M1M2_PR ;
     - la_data_in[33] ( PIN la_data_in[33] ) ( mprj la_data_in[33] ) + USE SIGNAL
-      + ROUTED met1 ( 1023730 287810 ) ( 1027870 * )
-      NEW met2 ( 1023730 287810 ) ( * 298860 )
-      NEW met2 ( 1023700 298860 ) ( 1023730 * )
-      NEW met2 ( 1023700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1214630 2380 0 ) ( * 22610 )
-      NEW met1 ( 1027870 22610 ) ( 1214630 * )
-      NEW met2 ( 1027870 22610 ) ( * 287810 )
-      NEW met1 ( 1027870 22610 ) M1M2_PR
-      NEW met1 ( 1027870 287810 ) M1M2_PR
-      NEW met1 ( 1023730 287810 ) M1M2_PR
-      NEW met1 ( 1214630 22610 ) M1M2_PR ;
+      + ROUTED met2 ( 902400 298860 ) ( 903670 * )
+      NEW met2 ( 902400 298860 ) ( * 300220 0 )
+      NEW met2 ( 903670 24990 ) ( * 298860 )
+      NEW met2 ( 1214630 2380 0 ) ( * 24990 )
+      NEW met1 ( 903670 24990 ) ( 1214630 * )
+      NEW met1 ( 903670 24990 ) M1M2_PR
+      NEW met1 ( 1214630 24990 ) M1M2_PR ;
     - la_data_in[34] ( PIN la_data_in[34] ) ( mprj la_data_in[34] ) + USE SIGNAL
-      + ROUTED met1 ( 1028790 287810 ) ( 1034310 * )
-      NEW met2 ( 1028790 287810 ) ( * 298860 )
-      NEW met2 ( 1028500 298860 ) ( 1028790 * )
-      NEW met2 ( 1028500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1232110 2380 0 ) ( * 22950 )
-      NEW met1 ( 1034310 22950 ) ( 1232110 * )
-      NEW met2 ( 1034310 22950 ) ( * 287810 )
-      NEW met1 ( 1034310 22950 ) M1M2_PR
-      NEW met1 ( 1034310 287810 ) M1M2_PR
-      NEW met1 ( 1028790 287810 ) M1M2_PR
-      NEW met1 ( 1232110 22950 ) M1M2_PR ;
+      + ROUTED met1 ( 906430 288150 ) ( 910570 * )
+      NEW met2 ( 906430 288150 ) ( * 298860 )
+      NEW met2 ( 906400 298860 ) ( 906430 * )
+      NEW met2 ( 906400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1232110 2380 0 ) ( * 24650 )
+      NEW met1 ( 910570 24650 ) ( 1232110 * )
+      NEW met2 ( 910570 24650 ) ( * 288150 )
+      NEW met1 ( 910570 24650 ) M1M2_PR
+      NEW met1 ( 910570 288150 ) M1M2_PR
+      NEW met1 ( 906430 288150 ) M1M2_PR
+      NEW met1 ( 1232110 24650 ) M1M2_PR ;
     - la_data_in[35] ( PIN la_data_in[35] ) ( mprj la_data_in[35] ) + USE SIGNAL
-      + ROUTED met2 ( 1250050 2380 0 ) ( * 23290 )
-      NEW met2 ( 1033100 298860 ) ( 1034770 * )
-      NEW met2 ( 1033100 298860 ) ( * 300220 0 )
-      NEW met1 ( 1034770 23290 ) ( 1250050 * )
-      NEW met2 ( 1034770 23290 ) ( * 298860 )
-      NEW met1 ( 1250050 23290 ) M1M2_PR
-      NEW met1 ( 1034770 23290 ) M1M2_PR ;
+      + ROUTED met2 ( 1250050 2380 0 ) ( * 24310 )
+      NEW met2 ( 910110 298860 ) ( 910300 * )
+      NEW met2 ( 910300 298860 ) ( * 300220 0 )
+      NEW met1 ( 910110 24310 ) ( 1250050 * )
+      NEW met2 ( 910110 24310 ) ( * 298860 )
+      NEW met1 ( 1250050 24310 ) M1M2_PR
+      NEW met1 ( 910110 24310 ) M1M2_PR ;
     - la_data_in[36] ( PIN la_data_in[36] ) ( mprj la_data_in[36] ) + USE SIGNAL
-      + ROUTED met2 ( 1267530 2380 0 ) ( * 23630 )
-      NEW met1 ( 1037990 287810 ) ( 1041670 * )
-      NEW met2 ( 1037990 287810 ) ( * 298860 )
-      NEW met2 ( 1037900 298860 ) ( 1037990 * )
-      NEW met2 ( 1037900 298860 ) ( * 300220 0 )
-      NEW met1 ( 1041670 23630 ) ( 1267530 * )
-      NEW met2 ( 1041670 23630 ) ( * 287810 )
-      NEW met1 ( 1267530 23630 ) M1M2_PR
-      NEW met1 ( 1041670 23630 ) M1M2_PR
-      NEW met1 ( 1041670 287810 ) M1M2_PR
-      NEW met1 ( 1037990 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 1267530 2380 0 ) ( * 23970 )
+      NEW met1 ( 914250 288150 ) ( 917470 * )
+      NEW met2 ( 914250 288150 ) ( * 298860 )
+      NEW met2 ( 914200 298860 ) ( 914250 * )
+      NEW met2 ( 914200 298860 ) ( * 300220 0 )
+      NEW met1 ( 917470 23970 ) ( 1267530 * )
+      NEW met2 ( 917470 23970 ) ( * 288150 )
+      NEW met1 ( 1267530 23970 ) M1M2_PR
+      NEW met1 ( 917470 23970 ) M1M2_PR
+      NEW met1 ( 917470 288150 ) M1M2_PR
+      NEW met1 ( 914250 288150 ) M1M2_PR ;
     - la_data_in[37] ( PIN la_data_in[37] ) ( mprj la_data_in[37] ) + USE SIGNAL
-      + ROUTED met2 ( 1285470 2380 0 ) ( * 27370 )
-      NEW met1 ( 1042590 287810 ) ( 1048110 * )
-      NEW met2 ( 1042590 287810 ) ( * 298860 )
-      NEW met2 ( 1042590 298860 ) ( 1042700 * )
-      NEW met2 ( 1042700 298860 ) ( * 300220 0 )
-      NEW met1 ( 1048110 27370 ) ( 1285470 * )
-      NEW met2 ( 1048110 27370 ) ( * 287810 )
-      NEW met1 ( 1285470 27370 ) M1M2_PR
-      NEW met1 ( 1048110 27370 ) M1M2_PR
-      NEW met1 ( 1048110 287810 ) M1M2_PR
-      NEW met1 ( 1042590 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 1285470 2380 0 ) ( * 21250 )
+      NEW met1 ( 917930 288150 ) ( 924370 * )
+      NEW met2 ( 917930 288150 ) ( * 298860 )
+      NEW met2 ( 917930 298860 ) ( 918000 * )
+      NEW met2 ( 918000 298860 ) ( * 300220 0 )
+      NEW met1 ( 924370 21250 ) ( 1285470 * )
+      NEW met2 ( 924370 21250 ) ( * 288150 )
+      NEW met1 ( 1285470 21250 ) M1M2_PR
+      NEW met1 ( 924370 21250 ) M1M2_PR
+      NEW met1 ( 924370 288150 ) M1M2_PR
+      NEW met1 ( 917930 288150 ) M1M2_PR ;
     - la_data_in[38] ( PIN la_data_in[38] ) ( mprj la_data_in[38] ) + USE SIGNAL
-      + ROUTED met2 ( 1047500 298860 ) ( 1048570 * )
-      NEW met2 ( 1047500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1303410 2380 0 ) ( * 27030 )
-      NEW met1 ( 1048570 27030 ) ( 1303410 * )
-      NEW met2 ( 1048570 27030 ) ( * 298860 )
-      NEW met1 ( 1048570 27030 ) M1M2_PR
-      NEW met1 ( 1303410 27030 ) M1M2_PR ;
+      + ROUTED met2 ( 1169550 30090 ) ( * 286450 )
+      NEW met2 ( 922070 286450 ) ( * 298860 )
+      NEW met2 ( 921900 298860 ) ( 922070 * )
+      NEW met2 ( 921900 298860 ) ( * 300220 0 )
+      NEW met1 ( 922070 286450 ) ( 1169550 * )
+      NEW met2 ( 1303410 2380 0 ) ( * 30090 )
+      NEW met1 ( 1169550 30090 ) ( 1303410 * )
+      NEW met1 ( 1169550 30090 ) M1M2_PR
+      NEW met1 ( 1169550 286450 ) M1M2_PR
+      NEW met1 ( 922070 286450 ) M1M2_PR
+      NEW met1 ( 1303410 30090 ) M1M2_PR ;
     - la_data_in[39] ( PIN la_data_in[39] ) ( mprj la_data_in[39] ) + USE SIGNAL
-      + ROUTED met1 ( 1052250 287810 ) ( 1055470 * )
-      NEW met2 ( 1052250 287810 ) ( * 298860 )
-      NEW met2 ( 1052250 298860 ) ( 1052300 * )
-      NEW met2 ( 1052300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1055470 26690 ) ( * 287810 )
-      NEW met2 ( 1320890 2380 0 ) ( * 26690 )
-      NEW met1 ( 1055470 26690 ) ( 1320890 * )
-      NEW met1 ( 1055470 26690 ) M1M2_PR
-      NEW met1 ( 1055470 287810 ) M1M2_PR
-      NEW met1 ( 1052250 287810 ) M1M2_PR
-      NEW met1 ( 1320890 26690 ) M1M2_PR ;
+      + ROUTED li1 ( 952890 287130 ) ( * 288150 )
+      NEW met1 ( 952890 287130 ) ( 976350 * )
+      NEW met2 ( 976350 53550 ) ( * 287130 )
+      NEW met1 ( 945530 287810 ) ( * 288150 )
+      NEW met1 ( 925750 287810 ) ( 945530 * )
+      NEW met2 ( 925750 287810 ) ( * 298860 )
+      NEW met2 ( 925750 298860 ) ( 925800 * )
+      NEW met2 ( 925800 298860 ) ( * 300220 0 )
+      NEW met1 ( 945530 288150 ) ( 952890 * )
+      NEW met1 ( 976350 53550 ) ( 1320890 * )
+      NEW met2 ( 1320890 2380 0 ) ( * 53550 )
+      NEW li1 ( 952890 288150 ) L1M1_PR_MR
+      NEW li1 ( 952890 287130 ) L1M1_PR_MR
+      NEW met1 ( 976350 287130 ) M1M2_PR
+      NEW met1 ( 976350 53550 ) M1M2_PR
+      NEW met1 ( 925750 287810 ) M1M2_PR
+      NEW met1 ( 1320890 53550 ) M1M2_PR ;
     - la_data_in[3] ( PIN la_data_in[3] ) ( mprj la_data_in[3] ) + USE SIGNAL
-      + ROUTED met2 ( 682410 2380 0 ) ( * 12580 )
-      NEW met2 ( 682410 12580 ) ( 682870 * )
-      NEW met2 ( 682870 12580 ) ( * 25330 )
-      NEW met2 ( 880210 287130 ) ( * 298860 )
-      NEW met2 ( 880210 298860 ) ( 880500 * )
-      NEW met2 ( 880500 298860 ) ( * 300220 0 )
-      NEW met2 ( 790050 25330 ) ( * 287130 )
-      NEW met1 ( 682870 25330 ) ( 790050 * )
-      NEW met1 ( 790050 287130 ) ( 880210 * )
-      NEW met1 ( 682870 25330 ) M1M2_PR
-      NEW met1 ( 790050 25330 ) M1M2_PR
-      NEW met1 ( 790050 287130 ) M1M2_PR
-      NEW met1 ( 880210 287130 ) M1M2_PR ;
+      + ROUTED met2 ( 682410 2380 0 ) ( * 18190 )
+      NEW met2 ( 780850 298860 ) ( 785400 * )
+      NEW met2 ( 785400 298860 ) ( * 300220 0 )
+      NEW met2 ( 780850 18190 ) ( * 298860 )
+      NEW met1 ( 682410 18190 ) ( 780850 * )
+      NEW met1 ( 682410 18190 ) M1M2_PR
+      NEW met1 ( 780850 18190 ) M1M2_PR ;
     - la_data_in[40] ( PIN la_data_in[40] ) ( mprj la_data_in[40] ) + USE SIGNAL
-      + ROUTED met1 ( 1057310 288490 ) ( 1062370 * )
-      NEW met2 ( 1057310 288490 ) ( * 298860 )
-      NEW met2 ( 1057100 298860 ) ( 1057310 * )
-      NEW met2 ( 1057100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1338830 2380 0 ) ( * 26350 )
-      NEW met2 ( 1062370 26350 ) ( * 288490 )
-      NEW met1 ( 1062370 26350 ) ( 1338830 * )
-      NEW met1 ( 1062370 26350 ) M1M2_PR
-      NEW met1 ( 1062370 288490 ) M1M2_PR
-      NEW met1 ( 1057310 288490 ) M1M2_PR
-      NEW met1 ( 1338830 26350 ) M1M2_PR ;
+      + ROUTED met2 ( 1338830 2380 0 ) ( * 54230 )
+      NEW met2 ( 929890 288490 ) ( * 298860 )
+      NEW met2 ( 929700 298860 ) ( 929890 * )
+      NEW met2 ( 929700 298860 ) ( * 300220 0 )
+      NEW li1 ( 1003490 288490 ) ( * 289510 )
+      NEW met1 ( 1003490 289510 ) ( 1031550 * )
+      NEW met1 ( 929890 288490 ) ( 1003490 * )
+      NEW met2 ( 1031550 54230 ) ( * 289510 )
+      NEW met1 ( 1031550 54230 ) ( 1338830 * )
+      NEW met1 ( 1338830 54230 ) M1M2_PR
+      NEW met1 ( 929890 288490 ) M1M2_PR
+      NEW li1 ( 1003490 288490 ) L1M1_PR_MR
+      NEW li1 ( 1003490 289510 ) L1M1_PR_MR
+      NEW met1 ( 1031550 289510 ) M1M2_PR
+      NEW met1 ( 1031550 54230 ) M1M2_PR ;
     - la_data_in[41] ( PIN la_data_in[41] ) ( mprj la_data_in[41] ) + USE SIGNAL
-      + ROUTED met2 ( 1061800 298860 ) ( 1061910 * )
-      NEW met2 ( 1061800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1356310 2380 0 ) ( * 26010 )
-      NEW met2 ( 1061910 26010 ) ( * 298860 )
-      NEW met1 ( 1061910 26010 ) ( 1356310 * )
-      NEW met1 ( 1061910 26010 ) M1M2_PR
-      NEW met1 ( 1356310 26010 ) M1M2_PR ;
+      + ROUTED met2 ( 1356310 2380 0 ) ( * 34170 )
+      NEW met2 ( 1190250 34170 ) ( * 285430 )
+      NEW met2 ( 933570 285430 ) ( * 298860 )
+      NEW met2 ( 933570 298860 ) ( 933700 * )
+      NEW met2 ( 933700 298860 ) ( * 300220 0 )
+      NEW met1 ( 933570 285430 ) ( 1190250 * )
+      NEW met1 ( 1190250 34170 ) ( 1356310 * )
+      NEW met1 ( 1190250 34170 ) M1M2_PR
+      NEW met1 ( 1190250 285430 ) M1M2_PR
+      NEW met1 ( 1356310 34170 ) M1M2_PR
+      NEW met1 ( 933570 285430 ) M1M2_PR ;
     - la_data_in[42] ( PIN la_data_in[42] ) ( mprj la_data_in[42] ) + USE SIGNAL
-      + ROUTED met1 ( 1066510 287810 ) ( 1069270 * )
-      NEW met2 ( 1066510 287810 ) ( * 298860 )
-      NEW met2 ( 1066510 298860 ) ( 1066600 * )
-      NEW met2 ( 1066600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1374250 2380 0 ) ( * 25670 )
-      NEW met2 ( 1069270 25670 ) ( * 287810 )
-      NEW met1 ( 1069270 25670 ) ( 1374250 * )
-      NEW met1 ( 1069270 25670 ) M1M2_PR
-      NEW met1 ( 1069270 287810 ) M1M2_PR
-      NEW met1 ( 1066510 287810 ) M1M2_PR
-      NEW met1 ( 1374250 25670 ) M1M2_PR ;
+      + ROUTED met2 ( 1374250 2380 0 ) ( * 32810 )
+      NEW met2 ( 937600 298860 ) ( 938170 * )
+      NEW met2 ( 937600 298860 ) ( * 300220 0 )
+      NEW met1 ( 938170 32810 ) ( 1374250 * )
+      NEW met2 ( 938170 32810 ) ( * 298860 )
+      NEW met1 ( 1374250 32810 ) M1M2_PR
+      NEW met1 ( 938170 32810 ) M1M2_PR ;
     - la_data_in[43] ( PIN la_data_in[43] ) ( mprj la_data_in[43] ) + USE SIGNAL
-      + ROUTED met1 ( 1071570 285090 ) ( 1075710 * )
-      NEW met2 ( 1071570 285090 ) ( * 298860 )
-      NEW met2 ( 1071500 298860 ) ( 1071570 * )
-      NEW met2 ( 1071500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1075710 25330 ) ( * 285090 )
-      NEW met2 ( 1391730 2380 0 ) ( * 25330 )
-      NEW met1 ( 1075710 25330 ) ( 1391730 * )
-      NEW met1 ( 1075710 25330 ) M1M2_PR
-      NEW met1 ( 1075710 285090 ) M1M2_PR
-      NEW met1 ( 1071570 285090 ) M1M2_PR
-      NEW met1 ( 1391730 25330 ) M1M2_PR ;
+      + ROUTED met1 ( 941390 288150 ) ( 945070 * )
+      NEW met2 ( 941390 288150 ) ( * 298860 )
+      NEW met2 ( 941390 298860 ) ( 941400 * )
+      NEW met2 ( 941400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1391730 2380 0 ) ( * 17340 )
+      NEW met2 ( 1390350 17340 ) ( 1391730 * )
+      NEW met1 ( 945070 100470 ) ( 1387590 * )
+      NEW met2 ( 945070 100470 ) ( * 288150 )
+      NEW met2 ( 1387590 82800 ) ( * 100470 )
+      NEW met2 ( 1387590 82800 ) ( 1390350 * )
+      NEW met2 ( 1390350 17340 ) ( * 82800 )
+      NEW met1 ( 945070 100470 ) M1M2_PR
+      NEW met1 ( 945070 288150 ) M1M2_PR
+      NEW met1 ( 941390 288150 ) M1M2_PR
+      NEW met1 ( 1387590 100470 ) M1M2_PR ;
     - la_data_in[44] ( PIN la_data_in[44] ) ( mprj la_data_in[44] ) + USE SIGNAL
-      + ROUTED met2 ( 1076170 298860 ) ( 1076300 * )
-      NEW met2 ( 1076300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1076170 24990 ) ( * 298860 )
-      NEW met2 ( 1409670 2380 0 ) ( * 24990 )
-      NEW met1 ( 1076170 24990 ) ( 1409670 * )
-      NEW met1 ( 1076170 24990 ) M1M2_PR
-      NEW met1 ( 1409670 24990 ) M1M2_PR ;
+      + ROUTED met2 ( 945530 285770 ) ( * 298860 )
+      NEW met2 ( 945300 298860 ) ( 945530 * )
+      NEW met2 ( 945300 298860 ) ( * 300220 0 )
+      NEW met1 ( 945530 285770 ) ( 1300650 * )
+      NEW met2 ( 1409670 2380 0 ) ( * 29750 )
+      NEW met1 ( 1300650 29750 ) ( 1409670 * )
+      NEW met2 ( 1300650 29750 ) ( * 285770 )
+      NEW met1 ( 945530 285770 ) M1M2_PR
+      NEW met1 ( 1300650 29750 ) M1M2_PR
+      NEW met1 ( 1300650 285770 ) M1M2_PR
+      NEW met1 ( 1409670 29750 ) M1M2_PR ;
     - la_data_in[45] ( PIN la_data_in[45] ) ( mprj la_data_in[45] ) + USE SIGNAL
-      + ROUTED met2 ( 1081000 298860 ) ( 1083070 * )
-      NEW met2 ( 1081000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1083070 24650 ) ( * 298860 )
-      NEW met2 ( 1427150 2380 0 ) ( * 24650 )
-      NEW met1 ( 1083070 24650 ) ( 1427150 * )
-      NEW met1 ( 1083070 24650 ) M1M2_PR
-      NEW met1 ( 1427150 24650 ) M1M2_PR ;
+      + ROUTED met2 ( 1066050 61370 ) ( * 287810 )
+      NEW met2 ( 949210 287810 ) ( * 298860 )
+      NEW met2 ( 949200 298860 ) ( 949210 * )
+      NEW met2 ( 949200 298860 ) ( * 300220 0 )
+      NEW met1 ( 949210 287810 ) ( 1066050 * )
+      NEW met2 ( 1427150 2380 0 ) ( * 3060 )
+      NEW met2 ( 1426230 3060 ) ( 1427150 * )
+      NEW met2 ( 1426230 2380 ) ( * 3060 )
+      NEW met2 ( 1424850 2380 ) ( 1426230 * )
+      NEW met2 ( 1424850 2380 ) ( * 3060 )
+      NEW met2 ( 1422090 3060 ) ( 1424850 * )
+      NEW met1 ( 1066050 61370 ) ( 1422090 * )
+      NEW met2 ( 1422090 3060 ) ( * 61370 )
+      NEW met1 ( 1066050 287810 ) M1M2_PR
+      NEW met1 ( 1066050 61370 ) M1M2_PR
+      NEW met1 ( 949210 287810 ) M1M2_PR
+      NEW met1 ( 1422090 61370 ) M1M2_PR ;
     - la_data_in[46] ( PIN la_data_in[46] ) ( mprj la_data_in[46] ) + USE SIGNAL
-      + ROUTED met1 ( 1085830 284750 ) ( 1089970 * )
-      NEW met2 ( 1085830 284750 ) ( * 298860 )
-      NEW met2 ( 1085800 298860 ) ( 1085830 * )
-      NEW met2 ( 1085800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1445090 2380 0 ) ( * 24310 )
-      NEW met2 ( 1089970 24310 ) ( * 284750 )
-      NEW met1 ( 1089970 24310 ) ( 1445090 * )
-      NEW met1 ( 1089970 24310 ) M1M2_PR
-      NEW met1 ( 1089970 284750 ) M1M2_PR
-      NEW met1 ( 1085830 284750 ) M1M2_PR
-      NEW met1 ( 1445090 24310 ) M1M2_PR ;
+      + ROUTED met1 ( 953350 288150 ) ( 958870 * )
+      NEW met2 ( 953350 288150 ) ( * 298860 )
+      NEW met2 ( 953100 298860 ) ( 953350 * )
+      NEW met2 ( 953100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1445090 2380 0 ) ( * 32470 )
+      NEW met2 ( 958870 32470 ) ( * 288150 )
+      NEW met1 ( 958870 32470 ) ( 1445090 * )
+      NEW met1 ( 958870 32470 ) M1M2_PR
+      NEW met1 ( 958870 288150 ) M1M2_PR
+      NEW met1 ( 953350 288150 ) M1M2_PR
+      NEW met1 ( 1445090 32470 ) M1M2_PR ;
     - la_data_in[47] ( PIN la_data_in[47] ) ( mprj la_data_in[47] ) + USE SIGNAL
-      + ROUTED met1 ( 1090890 287810 ) ( 1096870 * )
-      NEW met2 ( 1090890 287810 ) ( * 298860 )
-      NEW met2 ( 1090600 298860 ) ( 1090890 * )
-      NEW met2 ( 1090600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1463030 2380 0 ) ( * 23970 )
-      NEW met2 ( 1096870 23970 ) ( * 287810 )
-      NEW met1 ( 1096870 23970 ) ( 1463030 * )
-      NEW met1 ( 1096870 23970 ) M1M2_PR
-      NEW met1 ( 1096870 287810 ) M1M2_PR
-      NEW met1 ( 1090890 287810 ) M1M2_PR
-      NEW met1 ( 1463030 23970 ) M1M2_PR ;
+      + ROUTED met2 ( 957000 298860 ) ( 958410 * )
+      NEW met2 ( 957000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1463030 2380 0 ) ( * 32130 )
+      NEW met2 ( 958410 32130 ) ( * 298860 )
+      NEW met1 ( 958410 32130 ) ( 1463030 * )
+      NEW met1 ( 958410 32130 ) M1M2_PR
+      NEW met1 ( 1463030 32130 ) M1M2_PR ;
     - la_data_in[48] ( PIN la_data_in[48] ) ( mprj la_data_in[48] ) + USE SIGNAL
-      + ROUTED met2 ( 1095490 285430 ) ( * 298860 )
-      NEW met2 ( 1095300 298860 ) ( 1095490 * )
-      NEW met2 ( 1095300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1480510 2380 0 ) ( * 33490 )
-      NEW met2 ( 1369650 33490 ) ( * 285430 )
-      NEW met1 ( 1095490 285430 ) ( 1369650 * )
-      NEW met1 ( 1369650 33490 ) ( 1480510 * )
-      NEW met1 ( 1095490 285430 ) M1M2_PR
-      NEW met1 ( 1369650 33490 ) M1M2_PR
-      NEW met1 ( 1369650 285430 ) M1M2_PR
-      NEW met1 ( 1480510 33490 ) M1M2_PR ;
+      + ROUTED met1 ( 961170 288150 ) ( 965770 * )
+      NEW met2 ( 961170 288150 ) ( * 298860 )
+      NEW met2 ( 961000 298860 ) ( 961170 * )
+      NEW met2 ( 961000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1480510 2380 0 ) ( * 32130 )
+      NEW met1 ( 1479590 32130 ) ( 1480510 * )
+      NEW met1 ( 1479590 31790 ) ( * 32130 )
+      NEW met2 ( 965770 31790 ) ( * 288150 )
+      NEW met1 ( 965770 31790 ) ( 1479590 * )
+      NEW met1 ( 965770 31790 ) M1M2_PR
+      NEW met1 ( 965770 288150 ) M1M2_PR
+      NEW met1 ( 961170 288150 ) M1M2_PR
+      NEW met1 ( 1480510 32130 ) M1M2_PR ;
     - la_data_in[49] ( PIN la_data_in[49] ) ( mprj la_data_in[49] ) + USE SIGNAL
-      + ROUTED met1 ( 1100090 287810 ) ( 1103770 * )
-      NEW met2 ( 1100090 287810 ) ( * 298860 )
-      NEW met2 ( 1100090 298860 ) ( 1100100 * )
-      NEW met2 ( 1100100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1103770 68170 ) ( * 287810 )
-      NEW met1 ( 1103770 68170 ) ( 1498450 * )
-      NEW met2 ( 1498450 2380 0 ) ( * 68170 )
-      NEW met1 ( 1103770 287810 ) M1M2_PR
-      NEW met1 ( 1100090 287810 ) M1M2_PR
-      NEW met1 ( 1103770 68170 ) M1M2_PR
-      NEW met1 ( 1498450 68170 ) M1M2_PR ;
+      + ROUTED li1 ( 982790 287130 ) ( * 288830 )
+      NEW met1 ( 964850 288830 ) ( 982790 * )
+      NEW met2 ( 964850 288830 ) ( * 298860 )
+      NEW met2 ( 964850 298860 ) ( 964900 * )
+      NEW met2 ( 964900 298860 ) ( * 300220 0 )
+      NEW li1 ( 1097330 285090 ) ( * 287130 )
+      NEW met1 ( 1097330 285090 ) ( 1121250 * )
+      NEW met1 ( 982790 287130 ) ( 1097330 * )
+      NEW met2 ( 1121250 52870 ) ( * 285090 )
+      NEW met1 ( 1121250 52870 ) ( 1498450 * )
+      NEW met2 ( 1498450 2380 0 ) ( * 52870 )
+      NEW li1 ( 982790 287130 ) L1M1_PR_MR
+      NEW li1 ( 982790 288830 ) L1M1_PR_MR
+      NEW met1 ( 964850 288830 ) M1M2_PR
+      NEW li1 ( 1097330 287130 ) L1M1_PR_MR
+      NEW li1 ( 1097330 285090 ) L1M1_PR_MR
+      NEW met1 ( 1121250 285090 ) M1M2_PR
+      NEW met1 ( 1121250 52870 ) M1M2_PR
+      NEW met1 ( 1498450 52870 ) M1M2_PR ;
     - la_data_in[4] ( PIN la_data_in[4] ) ( mprj la_data_in[4] ) + USE SIGNAL
-      + ROUTED met2 ( 700350 2380 0 ) ( * 25670 )
-      NEW met2 ( 885270 286790 ) ( * 298860 )
-      NEW met2 ( 885270 298860 ) ( 885300 * )
-      NEW met2 ( 885300 298860 ) ( * 300220 0 )
-      NEW met2 ( 776250 25670 ) ( * 286790 )
-      NEW met1 ( 700350 25670 ) ( 776250 * )
-      NEW met1 ( 776250 286790 ) ( 885270 * )
-      NEW met1 ( 700350 25670 ) M1M2_PR
-      NEW met1 ( 776250 25670 ) M1M2_PR
-      NEW met1 ( 776250 286790 ) M1M2_PR
-      NEW met1 ( 885270 286790 ) M1M2_PR ;
+      + ROUTED met2 ( 700350 2380 0 ) ( * 17510 )
+      NEW met1 ( 700350 17510 ) ( 703570 * )
+      NEW met2 ( 789130 287130 ) ( * 298860 )
+      NEW met2 ( 789130 298860 ) ( 789300 * )
+      NEW met2 ( 789300 298860 ) ( * 300220 0 )
+      NEW met2 ( 703570 17510 ) ( * 287130 )
+      NEW met1 ( 703570 287130 ) ( 789130 * )
+      NEW met1 ( 700350 17510 ) M1M2_PR
+      NEW met1 ( 703570 17510 ) M1M2_PR
+      NEW met1 ( 703570 287130 ) M1M2_PR
+      NEW met1 ( 789130 287130 ) M1M2_PR ;
     - la_data_in[50] ( PIN la_data_in[50] ) ( mprj la_data_in[50] ) + USE SIGNAL
-      + ROUTED met2 ( 1355850 30430 ) ( * 286450 )
-      NEW met2 ( 1105150 286450 ) ( * 298860 )
-      NEW met2 ( 1104900 298860 ) ( 1105150 * )
-      NEW met2 ( 1104900 298860 ) ( * 300220 0 )
-      NEW met1 ( 1105150 286450 ) ( 1355850 * )
-      NEW met2 ( 1515930 2380 0 ) ( * 30430 )
-      NEW met1 ( 1355850 30430 ) ( 1515930 * )
-      NEW met1 ( 1355850 30430 ) M1M2_PR
-      NEW met1 ( 1355850 286450 ) M1M2_PR
-      NEW met1 ( 1105150 286450 ) M1M2_PR
-      NEW met1 ( 1515930 30430 ) M1M2_PR ;
+      + ROUTED met2 ( 968990 286790 ) ( * 298860 )
+      NEW met2 ( 968800 298860 ) ( 968990 * )
+      NEW met2 ( 968800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1162650 58310 ) ( * 286790 )
+      NEW met1 ( 968990 286790 ) ( 1162650 * )
+      NEW met1 ( 1162650 58310 ) ( 1515930 * )
+      NEW met2 ( 1515930 2380 0 ) ( * 58310 )
+      NEW met1 ( 968990 286790 ) M1M2_PR
+      NEW met1 ( 1162650 286790 ) M1M2_PR
+      NEW met1 ( 1162650 58310 ) M1M2_PR
+      NEW met1 ( 1515930 58310 ) M1M2_PR ;
     - la_data_in[51] ( PIN la_data_in[51] ) ( mprj la_data_in[51] ) + USE SIGNAL
-      + ROUTED met2 ( 1533870 2380 0 ) ( * 28050 )
-      NEW met2 ( 1109750 285770 ) ( * 298860 )
-      NEW met2 ( 1109700 298860 ) ( 1109750 * )
-      NEW met2 ( 1109700 298860 ) ( * 300220 0 )
-      NEW met1 ( 1521450 28050 ) ( 1533870 * )
-      NEW li1 ( 1496150 284410 ) ( * 285770 )
-      NEW met1 ( 1496150 284410 ) ( 1521450 * )
-      NEW met1 ( 1109750 285770 ) ( 1496150 * )
-      NEW met2 ( 1521450 28050 ) ( * 284410 )
-      NEW met1 ( 1533870 28050 ) M1M2_PR
-      NEW met1 ( 1109750 285770 ) M1M2_PR
-      NEW met1 ( 1521450 28050 ) M1M2_PR
-      NEW li1 ( 1496150 285770 ) L1M1_PR_MR
-      NEW li1 ( 1496150 284410 ) L1M1_PR_MR
-      NEW met1 ( 1521450 284410 ) M1M2_PR ;
+      + ROUTED met2 ( 972670 284750 ) ( * 298860 )
+      NEW met2 ( 972670 298860 ) ( 972700 * )
+      NEW met2 ( 972700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1533870 2380 0 ) ( * 3060 )
+      NEW met2 ( 1532950 3060 ) ( 1533870 * )
+      NEW met2 ( 1532950 2380 ) ( * 3060 )
+      NEW met2 ( 1532030 2380 ) ( 1532950 * )
+      NEW met2 ( 1176450 53210 ) ( * 284750 )
+      NEW met2 ( 1532030 2380 ) ( * 53210 )
+      NEW met1 ( 972670 284750 ) ( 1176450 * )
+      NEW met1 ( 1176450 53210 ) ( 1532030 * )
+      NEW met1 ( 972670 284750 ) M1M2_PR
+      NEW met1 ( 1176450 284750 ) M1M2_PR
+      NEW met1 ( 1176450 53210 ) M1M2_PR
+      NEW met1 ( 1532030 53210 ) M1M2_PR ;
     - la_data_in[52] ( PIN la_data_in[52] ) ( mprj la_data_in[52] ) + USE SIGNAL
-      + ROUTED met2 ( 1551350 2380 0 ) ( * 3060 )
+      + ROUTED met1 ( 976810 288150 ) ( 979570 * )
+      NEW met2 ( 976810 288150 ) ( * 298860 )
+      NEW met2 ( 976600 298860 ) ( 976810 * )
+      NEW met2 ( 976600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1551350 2380 0 ) ( * 3060 )
       NEW met2 ( 1550430 3060 ) ( 1551350 * )
       NEW met2 ( 1550430 2380 ) ( * 3060 )
       NEW met2 ( 1549050 2380 ) ( 1550430 * )
-      NEW met2 ( 1549050 2380 ) ( * 74970 )
-      NEW met1 ( 1114350 287810 ) ( 1117570 * )
-      NEW met2 ( 1114350 287810 ) ( * 298860 )
-      NEW met2 ( 1114350 298860 ) ( 1114500 * )
-      NEW met2 ( 1114500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1117570 74970 ) ( * 287810 )
-      NEW met1 ( 1117570 74970 ) ( 1549050 * )
-      NEW met1 ( 1549050 74970 ) M1M2_PR
-      NEW met1 ( 1117570 287810 ) M1M2_PR
-      NEW met1 ( 1114350 287810 ) M1M2_PR
-      NEW met1 ( 1117570 74970 ) M1M2_PR ;
+      NEW met2 ( 979570 61030 ) ( * 288150 )
+      NEW met2 ( 1549050 2380 ) ( * 61030 )
+      NEW met1 ( 979570 61030 ) ( 1549050 * )
+      NEW met1 ( 979570 288150 ) M1M2_PR
+      NEW met1 ( 976810 288150 ) M1M2_PR
+      NEW met1 ( 979570 61030 ) M1M2_PR
+      NEW met1 ( 1549050 61030 ) M1M2_PR ;
     - la_data_in[53] ( PIN la_data_in[53] ) ( mprj la_data_in[53] ) + USE SIGNAL
-      + ROUTED met2 ( 1569290 2380 0 ) ( * 33830 )
-      NEW met2 ( 1348950 33830 ) ( * 286110 )
-      NEW met2 ( 1119410 286110 ) ( * 298860 )
-      NEW met2 ( 1119300 298860 ) ( 1119410 * )
-      NEW met2 ( 1119300 298860 ) ( * 300220 0 )
-      NEW met1 ( 1119410 286110 ) ( 1348950 * )
-      NEW met1 ( 1348950 33830 ) ( 1569290 * )
-      NEW met1 ( 1348950 33830 ) M1M2_PR
-      NEW met1 ( 1348950 286110 ) M1M2_PR
-      NEW met1 ( 1569290 33830 ) M1M2_PR
-      NEW met1 ( 1119410 286110 ) M1M2_PR ;
+      + ROUTED met1 ( 980490 288150 ) ( 986010 * )
+      NEW met2 ( 980490 288150 ) ( * 298860 )
+      NEW met2 ( 980490 298860 ) ( 980500 * )
+      NEW met2 ( 980500 298860 ) ( * 300220 0 )
+      NEW met2 ( 986010 67830 ) ( * 288150 )
+      NEW met2 ( 1569290 2380 0 ) ( * 67830 )
+      NEW met1 ( 986010 67830 ) ( 1569290 * )
+      NEW met1 ( 986010 288150 ) M1M2_PR
+      NEW met1 ( 980490 288150 ) M1M2_PR
+      NEW met1 ( 986010 67830 ) M1M2_PR
+      NEW met1 ( 1569290 67830 ) M1M2_PR ;
     - la_data_in[54] ( PIN la_data_in[54] ) ( mprj la_data_in[54] ) + USE SIGNAL
-      + ROUTED met2 ( 1124000 298860 ) ( 1124470 * )
-      NEW met2 ( 1124000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1124470 67150 ) ( * 298860 )
-      NEW met1 ( 1124470 67150 ) ( 1586770 * )
-      NEW met2 ( 1586770 2380 0 ) ( * 67150 )
-      NEW met1 ( 1124470 67150 ) M1M2_PR
-      NEW met1 ( 1586770 67150 ) M1M2_PR ;
+      + ROUTED met2 ( 984400 298860 ) ( 986470 * )
+      NEW met2 ( 984400 298860 ) ( * 300220 0 )
+      NEW met2 ( 986470 60350 ) ( * 298860 )
+      NEW met2 ( 1586770 2380 0 ) ( * 16830 )
+      NEW met1 ( 1580330 16830 ) ( 1586770 * )
+      NEW met1 ( 986470 60350 ) ( 1580330 * )
+      NEW met2 ( 1580330 16830 ) ( * 60350 )
+      NEW met1 ( 986470 60350 ) M1M2_PR
+      NEW met1 ( 1586770 16830 ) M1M2_PR
+      NEW met1 ( 1580330 16830 ) M1M2_PR
+      NEW met1 ( 1580330 60350 ) M1M2_PR ;
     - la_data_in[55] ( PIN la_data_in[55] ) ( mprj la_data_in[55] ) + USE SIGNAL
-      + ROUTED met1 ( 1128610 288490 ) ( 1141950 * )
-      NEW met2 ( 1128610 288490 ) ( * 298860 )
-      NEW met2 ( 1128610 298860 ) ( 1128700 * )
-      NEW met2 ( 1128700 298860 ) ( * 300220 0 )
+      + ROUTED met1 ( 988310 288150 ) ( 993370 * )
+      NEW met2 ( 988310 288150 ) ( * 298860 )
+      NEW met2 ( 988300 298860 ) ( 988310 * )
+      NEW met2 ( 988300 298860 ) ( * 300220 0 )
+      NEW met2 ( 993370 67490 ) ( * 288150 )
       NEW met2 ( 1604710 2380 0 ) ( * 3060 )
       NEW met2 ( 1603790 3060 ) ( 1604710 * )
       NEW met2 ( 1603790 2380 ) ( * 3060 )
       NEW met2 ( 1602410 2380 ) ( 1603790 * )
-      NEW met2 ( 1141950 61370 ) ( * 288490 )
-      NEW met1 ( 1141950 61370 ) ( 1602410 * )
-      NEW met2 ( 1602410 2380 ) ( * 61370 )
-      NEW met1 ( 1141950 288490 ) M1M2_PR
-      NEW met1 ( 1128610 288490 ) M1M2_PR
-      NEW met1 ( 1141950 61370 ) M1M2_PR
-      NEW met1 ( 1602410 61370 ) M1M2_PR ;
+      NEW met1 ( 993370 67490 ) ( 1602410 * )
+      NEW met2 ( 1602410 2380 ) ( * 67490 )
+      NEW met1 ( 993370 288150 ) M1M2_PR
+      NEW met1 ( 988310 288150 ) M1M2_PR
+      NEW met1 ( 993370 67490 ) M1M2_PR
+      NEW met1 ( 1602410 67490 ) M1M2_PR ;
     - la_data_in[56] ( PIN la_data_in[56] ) ( mprj la_data_in[56] ) + USE SIGNAL
-      + ROUTED met1 ( 1133670 287810 ) ( 1137810 * )
-      NEW met2 ( 1133670 287810 ) ( * 298860 )
-      NEW met2 ( 1133500 298860 ) ( 1133670 * )
-      NEW met2 ( 1133500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1137810 81770 ) ( * 287810 )
-      NEW met1 ( 1137810 81770 ) ( 1622190 * )
-      NEW met2 ( 1622190 2380 0 ) ( * 81770 )
-      NEW met1 ( 1137810 287810 ) M1M2_PR
-      NEW met1 ( 1133670 287810 ) M1M2_PR
-      NEW met1 ( 1137810 81770 ) M1M2_PR
-      NEW met1 ( 1622190 81770 ) M1M2_PR ;
+      + ROUTED met2 ( 992200 298860 ) ( 992910 * )
+      NEW met2 ( 992200 298860 ) ( * 300220 0 )
+      NEW met2 ( 992910 73950 ) ( * 298860 )
+      NEW met1 ( 992910 73950 ) ( 1622190 * )
+      NEW met2 ( 1622190 2380 0 ) ( * 73950 )
+      NEW met1 ( 992910 73950 ) M1M2_PR
+      NEW met1 ( 1622190 73950 ) M1M2_PR ;
     - la_data_in[57] ( PIN la_data_in[57] ) ( mprj la_data_in[57] ) + USE SIGNAL
-      + ROUTED met2 ( 1640130 2380 0 ) ( * 15980 )
-      NEW met2 ( 1638290 15980 ) ( 1640130 * )
-      NEW met2 ( 1638290 15980 ) ( * 74630 )
-      NEW met2 ( 1138270 298860 ) ( 1138300 * )
-      NEW met2 ( 1138300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1138270 74630 ) ( * 298860 )
-      NEW met1 ( 1138270 74630 ) ( 1638290 * )
-      NEW met1 ( 1638290 74630 ) M1M2_PR
-      NEW met1 ( 1138270 74630 ) M1M2_PR ;
+      + ROUTED met2 ( 996130 288150 ) ( * 298860 )
+      NEW met2 ( 996100 298860 ) ( 996130 * )
+      NEW met2 ( 996100 298860 ) ( * 300220 0 )
+      NEW li1 ( 1155750 283730 ) ( * 288150 )
+      NEW met1 ( 1155750 283730 ) ( 1170010 * )
+      NEW met2 ( 1170010 61710 ) ( * 283730 )
+      NEW met2 ( 1640130 2380 0 ) ( * 61710 )
+      NEW met1 ( 996130 288150 ) ( 1155750 * )
+      NEW met1 ( 1170010 61710 ) ( 1640130 * )
+      NEW met1 ( 996130 288150 ) M1M2_PR
+      NEW li1 ( 1155750 288150 ) L1M1_PR_MR
+      NEW li1 ( 1155750 283730 ) L1M1_PR_MR
+      NEW met1 ( 1170010 283730 ) M1M2_PR
+      NEW met1 ( 1170010 61710 ) M1M2_PR
+      NEW met1 ( 1640130 61710 ) M1M2_PR ;
     - la_data_in[58] ( PIN la_data_in[58] ) ( mprj la_data_in[58] ) + USE SIGNAL
-      + ROUTED met2 ( 1656690 82800 ) ( * 87210 )
-      NEW met2 ( 1656690 82800 ) ( 1658070 * )
-      NEW met2 ( 1658070 2380 0 ) ( * 82800 )
-      NEW met2 ( 1143100 298860 ) ( 1145170 * )
-      NEW met2 ( 1143100 298860 ) ( * 300220 0 )
-      NEW met1 ( 1145170 87210 ) ( 1656690 * )
-      NEW met2 ( 1145170 87210 ) ( * 298860 )
-      NEW met1 ( 1656690 87210 ) M1M2_PR
-      NEW met1 ( 1145170 87210 ) M1M2_PR ;
+      + ROUTED met2 ( 1000000 298860 ) ( 1000270 * )
+      NEW met2 ( 1000000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1658070 2380 0 ) ( * 3060 )
+      NEW met2 ( 1657150 3060 ) ( 1658070 * )
+      NEW met2 ( 1657150 2380 ) ( * 3060 )
+      NEW met2 ( 1656230 2380 ) ( 1657150 * )
+      NEW met2 ( 1000270 80750 ) ( * 298860 )
+      NEW met2 ( 1656230 2380 ) ( * 80750 )
+      NEW met1 ( 1000270 80750 ) ( 1656230 * )
+      NEW met1 ( 1000270 80750 ) M1M2_PR
+      NEW met1 ( 1656230 80750 ) M1M2_PR ;
     - la_data_in[59] ( PIN la_data_in[59] ) ( mprj la_data_in[59] ) + USE SIGNAL
-      + ROUTED met1 ( 1147930 285090 ) ( 1162650 * )
-      NEW met2 ( 1147930 285090 ) ( * 298860 )
-      NEW met2 ( 1147900 298860 ) ( 1147930 * )
-      NEW met2 ( 1147900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1675550 2380 0 ) ( * 3060 )
-      NEW met2 ( 1674630 3060 ) ( 1675550 * )
-      NEW met2 ( 1674630 2380 ) ( * 3060 )
-      NEW met2 ( 1673250 2380 ) ( 1674630 * )
-      NEW met2 ( 1162650 92990 ) ( * 285090 )
-      NEW met2 ( 1670490 82800 ) ( * 92990 )
-      NEW met2 ( 1670490 82800 ) ( 1673250 * )
-      NEW met2 ( 1673250 2380 ) ( * 82800 )
-      NEW met1 ( 1162650 92990 ) ( 1670490 * )
-      NEW met1 ( 1162650 92990 ) M1M2_PR
-      NEW met1 ( 1162650 285090 ) M1M2_PR
-      NEW met1 ( 1147930 285090 ) M1M2_PR
-      NEW met1 ( 1670490 92990 ) M1M2_PR ;
+      + ROUTED met2 ( 1675550 2380 0 ) ( * 31450 )
+      NEW met1 ( 1003950 288490 ) ( 1007170 * )
+      NEW met2 ( 1003950 288490 ) ( * 298860 )
+      NEW met2 ( 1003900 298860 ) ( 1003950 * )
+      NEW met2 ( 1003900 298860 ) ( * 300220 0 )
+      NEW met1 ( 1007170 31450 ) ( 1675550 * )
+      NEW met2 ( 1007170 31450 ) ( * 288490 )
+      NEW met1 ( 1675550 31450 ) M1M2_PR
+      NEW met1 ( 1007170 31450 ) M1M2_PR
+      NEW met1 ( 1007170 288490 ) M1M2_PR
+      NEW met1 ( 1003950 288490 ) M1M2_PR ;
     - la_data_in[5] ( PIN la_data_in[5] ) ( mprj la_data_in[5] ) + USE SIGNAL
-      + ROUTED met1 ( 883890 299030 ) ( 889900 * )
-      NEW met2 ( 889900 299030 ) ( * 300220 0 )
-      NEW met2 ( 883890 24310 ) ( * 299030 )
-      NEW met2 ( 717830 2380 0 ) ( * 12580 )
-      NEW met2 ( 717830 12580 ) ( 718290 * )
-      NEW met2 ( 718290 12580 ) ( * 24310 )
-      NEW met1 ( 718290 24310 ) ( 883890 * )
-      NEW met1 ( 883890 24310 ) M1M2_PR
-      NEW met1 ( 883890 299030 ) M1M2_PR
-      NEW met1 ( 889900 299030 ) M1M2_PR
-      NEW met1 ( 718290 24310 ) M1M2_PR ;
+      + ROUTED met2 ( 793270 287810 ) ( * 298860 )
+      NEW met2 ( 793200 298860 ) ( 793270 * )
+      NEW met2 ( 793200 298860 ) ( * 300220 0 )
+      NEW met2 ( 717830 2380 0 ) ( * 17510 )
+      NEW met1 ( 717830 17510 ) ( 724270 * )
+      NEW met1 ( 724270 287810 ) ( 793270 * )
+      NEW met2 ( 724270 17510 ) ( * 287810 )
+      NEW met1 ( 793270 287810 ) M1M2_PR
+      NEW met1 ( 717830 17510 ) M1M2_PR
+      NEW met1 ( 724270 17510 ) M1M2_PR
+      NEW met1 ( 724270 287810 ) M1M2_PR ;
     - la_data_in[60] ( PIN la_data_in[60] ) ( mprj la_data_in[60] ) + USE SIGNAL
-      + ROUTED met2 ( 1152530 289510 ) ( * 298860 )
-      NEW met2 ( 1152530 298860 ) ( 1152600 * )
-      NEW met2 ( 1152600 298860 ) ( * 300220 0 )
-      NEW met1 ( 1152530 289510 ) ( 1611150 * )
-      NEW met2 ( 1611150 44710 ) ( * 289510 )
-      NEW met1 ( 1611150 44710 ) ( 1693490 * )
-      NEW met2 ( 1693490 2380 0 ) ( * 44710 )
-      NEW met1 ( 1152530 289510 ) M1M2_PR
-      NEW met1 ( 1611150 289510 ) M1M2_PR
-      NEW met1 ( 1611150 44710 ) M1M2_PR
-      NEW met1 ( 1693490 44710 ) M1M2_PR ;
+      + ROUTED met1 ( 1008090 288830 ) ( 1013610 * )
+      NEW met2 ( 1008090 288830 ) ( * 298860 )
+      NEW met2 ( 1007800 298860 ) ( 1008090 * )
+      NEW met2 ( 1007800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1693490 2380 0 ) ( * 31110 )
+      NEW met1 ( 1013610 31110 ) ( 1693490 * )
+      NEW met2 ( 1013610 31110 ) ( * 288830 )
+      NEW met1 ( 1013610 31110 ) M1M2_PR
+      NEW met1 ( 1013610 288830 ) M1M2_PR
+      NEW met1 ( 1008090 288830 ) M1M2_PR
+      NEW met1 ( 1693490 31110 ) M1M2_PR ;
     - la_data_in[61] ( PIN la_data_in[61] ) ( mprj la_data_in[61] ) + USE SIGNAL
-      + ROUTED met2 ( 1157400 298860 ) ( 1158970 * )
-      NEW met2 ( 1157400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1158970 67830 ) ( * 298860 )
-      NEW met2 ( 1710970 2380 0 ) ( * 17340 )
-      NEW met2 ( 1707290 17340 ) ( 1710970 * )
-      NEW met1 ( 1158970 67830 ) ( 1707290 * )
-      NEW met2 ( 1707290 17340 ) ( * 67830 )
-      NEW met1 ( 1158970 67830 ) M1M2_PR
-      NEW met1 ( 1707290 67830 ) M1M2_PR ;
+      + ROUTED met1 ( 1011770 288490 ) ( 1014070 * )
+      NEW met2 ( 1011770 288490 ) ( * 298860 )
+      NEW met2 ( 1011700 298860 ) ( 1011770 * )
+      NEW met2 ( 1011700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1710970 2380 0 ) ( * 30770 )
+      NEW met1 ( 1014070 30770 ) ( 1710970 * )
+      NEW met2 ( 1014070 30770 ) ( * 288490 )
+      NEW met1 ( 1014070 30770 ) M1M2_PR
+      NEW met1 ( 1014070 288490 ) M1M2_PR
+      NEW met1 ( 1011770 288490 ) M1M2_PR
+      NEW met1 ( 1710970 30770 ) M1M2_PR ;
     - la_data_in[62] ( PIN la_data_in[62] ) ( mprj la_data_in[62] ) + USE SIGNAL
-      + ROUTED met1 ( 1162190 287810 ) ( 1165870 * )
-      NEW met2 ( 1162190 287810 ) ( * 298860 )
-      NEW met2 ( 1162190 298860 ) ( 1162200 * )
-      NEW met2 ( 1162200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1728910 2380 0 ) ( * 3060 )
-      NEW met2 ( 1727990 3060 ) ( 1728910 * )
-      NEW met2 ( 1727990 2380 ) ( * 3060 )
-      NEW met2 ( 1726610 2380 ) ( 1727990 * )
-      NEW met2 ( 1726610 2380 ) ( * 3060 )
-      NEW met2 ( 1725230 3060 ) ( 1726610 * )
-      NEW met2 ( 1165870 61030 ) ( * 287810 )
-      NEW met2 ( 1725230 3060 ) ( * 61030 )
-      NEW met1 ( 1165870 61030 ) ( 1725230 * )
-      NEW met1 ( 1165870 287810 ) M1M2_PR
-      NEW met1 ( 1162190 287810 ) M1M2_PR
-      NEW met1 ( 1165870 61030 ) M1M2_PR
-      NEW met1 ( 1725230 61030 ) M1M2_PR ;
+      + ROUTED met2 ( 1728910 2380 0 ) ( * 27710 )
+      NEW met2 ( 1015450 288830 ) ( * 298860 )
+      NEW met2 ( 1015450 298860 ) ( 1015600 * )
+      NEW met2 ( 1015600 298860 ) ( * 300220 0 )
+      NEW met1 ( 1714650 27710 ) ( 1728910 * )
+      NEW li1 ( 1694410 284410 ) ( * 288830 )
+      NEW met1 ( 1694410 284410 ) ( 1714650 * )
+      NEW met1 ( 1015450 288830 ) ( 1694410 * )
+      NEW met2 ( 1714650 27710 ) ( * 284410 )
+      NEW met1 ( 1728910 27710 ) M1M2_PR
+      NEW met1 ( 1015450 288830 ) M1M2_PR
+      NEW met1 ( 1714650 27710 ) M1M2_PR
+      NEW li1 ( 1694410 288830 ) L1M1_PR_MR
+      NEW li1 ( 1694410 284410 ) L1M1_PR_MR
+      NEW met1 ( 1714650 284410 ) M1M2_PR ;
     - la_data_in[63] ( PIN la_data_in[63] ) ( mprj la_data_in[63] ) + USE SIGNAL
-      + ROUTED met1 ( 1167250 287810 ) ( 1172310 * )
-      NEW met2 ( 1167250 287810 ) ( * 298860 )
-      NEW met2 ( 1167000 298860 ) ( 1167250 * )
-      NEW met2 ( 1167000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1172310 99790 ) ( * 287810 )
-      NEW met2 ( 1746390 2380 0 ) ( * 99790 )
-      NEW met1 ( 1172310 99790 ) ( 1746390 * )
-      NEW met1 ( 1172310 99790 ) M1M2_PR
-      NEW met1 ( 1172310 287810 ) M1M2_PR
-      NEW met1 ( 1167250 287810 ) M1M2_PR
-      NEW met1 ( 1746390 99790 ) M1M2_PR ;
+      + ROUTED met2 ( 1745930 82800 ) ( 1746390 * )
+      NEW met2 ( 1746390 2380 0 ) ( * 82800 )
+      NEW met2 ( 1745930 82800 ) ( * 281010 )
+      NEW met2 ( 1021430 281010 ) ( * 283220 )
+      NEW met2 ( 1020970 283220 ) ( 1021430 * )
+      NEW met2 ( 1020970 283220 ) ( * 298860 )
+      NEW met2 ( 1019500 298860 ) ( 1020970 * )
+      NEW met2 ( 1019500 298860 ) ( * 300220 0 )
+      NEW met1 ( 1021430 281010 ) ( 1745930 * )
+      NEW met1 ( 1745930 281010 ) M1M2_PR
+      NEW met1 ( 1021430 281010 ) M1M2_PR ;
     - la_data_in[64] ( PIN la_data_in[64] ) ( mprj la_data_in[64] ) + USE SIGNAL
-      + ROUTED met2 ( 1171800 298860 ) ( 1172770 * )
-      NEW met2 ( 1171800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1764330 2380 0 ) ( * 17340 )
-      NEW met2 ( 1762490 17340 ) ( 1764330 * )
-      NEW met2 ( 1172770 74290 ) ( * 298860 )
-      NEW met2 ( 1762490 17340 ) ( * 74290 )
-      NEW met1 ( 1172770 74290 ) ( 1762490 * )
-      NEW met1 ( 1172770 74290 ) M1M2_PR
-      NEW met1 ( 1762490 74290 ) M1M2_PR ;
+      + ROUTED met2 ( 1764330 2380 0 ) ( * 66810 )
+      NEW met1 ( 1023270 288490 ) ( 1027870 * )
+      NEW met2 ( 1023270 288490 ) ( * 298860 )
+      NEW met2 ( 1023270 298860 ) ( 1023400 * )
+      NEW met2 ( 1023400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1027870 66810 ) ( * 288490 )
+      NEW met1 ( 1027870 66810 ) ( 1764330 * )
+      NEW met1 ( 1764330 66810 ) M1M2_PR
+      NEW met1 ( 1027870 288490 ) M1M2_PR
+      NEW met1 ( 1023270 288490 ) M1M2_PR
+      NEW met1 ( 1027870 66810 ) M1M2_PR ;
     - la_data_in[65] ( PIN la_data_in[65] ) ( mprj la_data_in[65] ) + USE SIGNAL
-      + ROUTED met1 ( 1176450 287810 ) ( 1179670 * )
-      NEW met2 ( 1176450 287810 ) ( * 298860 )
-      NEW met2 ( 1176450 298860 ) ( 1176500 * )
-      NEW met2 ( 1176500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1179670 106930 ) ( * 287810 )
-      NEW met1 ( 1179670 106930 ) ( 1780890 * )
-      NEW met2 ( 1780890 82800 ) ( * 106930 )
+      + ROUTED met2 ( 1027300 298860 ) ( 1027410 * )
+      NEW met2 ( 1027300 298860 ) ( * 300220 0 )
+      NEW met1 ( 1027410 93330 ) ( 1780890 * )
+      NEW met2 ( 1027410 93330 ) ( * 298860 )
+      NEW met2 ( 1780890 82800 ) ( * 93330 )
       NEW met2 ( 1780890 82800 ) ( 1781810 * )
       NEW met2 ( 1781810 2380 0 ) ( * 82800 )
-      NEW met1 ( 1179670 106930 ) M1M2_PR
-      NEW met1 ( 1179670 287810 ) M1M2_PR
-      NEW met1 ( 1176450 287810 ) M1M2_PR
-      NEW met1 ( 1780890 106930 ) M1M2_PR ;
+      NEW met1 ( 1027410 93330 ) M1M2_PR
+      NEW met1 ( 1780890 93330 ) M1M2_PR ;
     - la_data_in[66] ( PIN la_data_in[66] ) ( mprj la_data_in[66] ) + USE SIGNAL
-      + ROUTED met1 ( 1181050 287810 ) ( 1190250 * )
-      NEW met2 ( 1181050 287810 ) ( * 298860 )
-      NEW met2 ( 1181050 298860 ) ( 1181200 * )
-      NEW met2 ( 1181200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1190250 113730 ) ( * 287810 )
+      + ROUTED met1 ( 1031090 288490 ) ( 1034770 * )
+      NEW met2 ( 1031090 288490 ) ( * 298860 )
+      NEW met2 ( 1031090 298860 ) ( 1031200 * )
+      NEW met2 ( 1031200 298860 ) ( * 300220 0 )
       NEW met2 ( 1799750 2380 0 ) ( * 3060 )
       NEW met2 ( 1798830 3060 ) ( 1799750 * )
       NEW met2 ( 1798830 2380 ) ( * 3060 )
       NEW met2 ( 1797450 2380 ) ( 1798830 * )
-      NEW met1 ( 1190250 113730 ) ( 1794230 * )
-      NEW met2 ( 1794230 82800 ) ( * 113730 )
-      NEW met2 ( 1794230 82800 ) ( 1797450 * )
-      NEW met2 ( 1797450 2380 ) ( * 82800 )
-      NEW met1 ( 1190250 113730 ) M1M2_PR
-      NEW met1 ( 1190250 287810 ) M1M2_PR
-      NEW met1 ( 1181050 287810 ) M1M2_PR
-      NEW met1 ( 1794230 113730 ) M1M2_PR ;
+      NEW met2 ( 1034770 66470 ) ( * 288490 )
+      NEW met1 ( 1034770 66470 ) ( 1797450 * )
+      NEW met2 ( 1797450 2380 ) ( * 66470 )
+      NEW met1 ( 1034770 288490 ) M1M2_PR
+      NEW met1 ( 1031090 288490 ) M1M2_PR
+      NEW met1 ( 1034770 66470 ) M1M2_PR
+      NEW met1 ( 1797450 66470 ) M1M2_PR ;
     - la_data_in[67] ( PIN la_data_in[67] ) ( mprj la_data_in[67] ) + USE SIGNAL
-      + ROUTED met2 ( 1186110 289170 ) ( * 298860 )
-      NEW met2 ( 1186000 298860 ) ( 1186110 * )
-      NEW met2 ( 1186000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1769850 33150 ) ( * 289170 )
-      NEW met1 ( 1186110 289170 ) ( 1769850 * )
-      NEW met2 ( 1817690 2380 0 ) ( * 33150 )
-      NEW met1 ( 1769850 33150 ) ( 1817690 * )
-      NEW met1 ( 1186110 289170 ) M1M2_PR
-      NEW met1 ( 1769850 33150 ) M1M2_PR
-      NEW met1 ( 1769850 289170 ) M1M2_PR
-      NEW met1 ( 1817690 33150 ) M1M2_PR ;
+      + ROUTED met2 ( 1035230 284070 ) ( * 298860 )
+      NEW met2 ( 1035100 298860 ) ( 1035230 * )
+      NEW met2 ( 1035100 298860 ) ( * 300220 0 )
+      NEW met1 ( 1035230 284070 ) ( 1210950 * )
+      NEW met2 ( 1210950 60690 ) ( * 284070 )
+      NEW met1 ( 1210950 60690 ) ( 1817690 * )
+      NEW met2 ( 1817690 2380 0 ) ( * 60690 )
+      NEW met1 ( 1035230 284070 ) M1M2_PR
+      NEW met1 ( 1210950 284070 ) M1M2_PR
+      NEW met1 ( 1210950 60690 ) M1M2_PR
+      NEW met1 ( 1817690 60690 ) M1M2_PR ;
     - la_data_in[68] ( PIN la_data_in[68] ) ( mprj la_data_in[68] ) + USE SIGNAL
-      + ROUTED met1 ( 1190710 287810 ) ( 1193470 * )
-      NEW met2 ( 1190710 287810 ) ( * 298860 )
-      NEW met2 ( 1190710 298860 ) ( 1190800 * )
-      NEW met2 ( 1190800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1835170 2380 0 ) ( * 16830 )
-      NEW met1 ( 1828730 16830 ) ( 1835170 * )
-      NEW met2 ( 1193470 67490 ) ( * 287810 )
-      NEW met2 ( 1828730 16830 ) ( * 67490 )
-      NEW met1 ( 1193470 67490 ) ( 1828730 * )
-      NEW met1 ( 1193470 287810 ) M1M2_PR
-      NEW met1 ( 1190710 287810 ) M1M2_PR
-      NEW met1 ( 1835170 16830 ) M1M2_PR
-      NEW met1 ( 1828730 16830 ) M1M2_PR
-      NEW met1 ( 1193470 67490 ) M1M2_PR
-      NEW met1 ( 1828730 67490 ) M1M2_PR ;
+      + ROUTED met2 ( 1835170 2380 0 ) ( * 17850 )
+      NEW met1 ( 1828730 17850 ) ( 1835170 * )
+      NEW met2 ( 1445550 62050 ) ( * 289510 )
+      NEW met2 ( 1828730 17850 ) ( * 62050 )
+      NEW met2 ( 1038910 289510 ) ( * 298860 )
+      NEW met2 ( 1038910 298860 ) ( 1039000 * )
+      NEW met2 ( 1039000 298860 ) ( * 300220 0 )
+      NEW met1 ( 1038910 289510 ) ( 1445550 * )
+      NEW met1 ( 1445550 62050 ) ( 1828730 * )
+      NEW met1 ( 1445550 289510 ) M1M2_PR
+      NEW met1 ( 1835170 17850 ) M1M2_PR
+      NEW met1 ( 1828730 17850 ) M1M2_PR
+      NEW met1 ( 1445550 62050 ) M1M2_PR
+      NEW met1 ( 1828730 62050 ) M1M2_PR
+      NEW met1 ( 1038910 289510 ) M1M2_PR ;
     - la_data_in[69] ( PIN la_data_in[69] ) ( mprj la_data_in[69] ) + USE SIGNAL
       + ROUTED met2 ( 1853110 2380 0 ) ( * 3060 )
       NEW met2 ( 1852190 3060 ) ( 1853110 * )
       NEW met2 ( 1852190 2380 ) ( * 3060 )
       NEW met2 ( 1850810 2380 ) ( 1852190 * )
-      NEW met2 ( 1850810 2380 ) ( * 73950 )
-      NEW met1 ( 1195770 287810 ) ( 1204050 * )
-      NEW met2 ( 1195770 287810 ) ( * 298860 )
-      NEW met2 ( 1195600 298860 ) ( 1195770 * )
-      NEW met2 ( 1195600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1204050 73950 ) ( * 287810 )
-      NEW met1 ( 1204050 73950 ) ( 1850810 * )
-      NEW met1 ( 1850810 73950 ) M1M2_PR
-      NEW met1 ( 1204050 287810 ) M1M2_PR
-      NEW met1 ( 1195770 287810 ) M1M2_PR
-      NEW met1 ( 1204050 73950 ) M1M2_PR ;
+      NEW met2 ( 1850810 2380 ) ( * 72930 )
+      NEW met1 ( 1043050 288490 ) ( 1048110 * )
+      NEW met2 ( 1043050 288490 ) ( * 298860 )
+      NEW met2 ( 1042900 298860 ) ( 1043050 * )
+      NEW met2 ( 1042900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1048110 72930 ) ( * 288490 )
+      NEW met1 ( 1048110 72930 ) ( 1850810 * )
+      NEW met1 ( 1850810 72930 ) M1M2_PR
+      NEW met1 ( 1048110 288490 ) M1M2_PR
+      NEW met1 ( 1043050 288490 ) M1M2_PR
+      NEW met1 ( 1048110 72930 ) M1M2_PR ;
     - la_data_in[6] ( PIN la_data_in[6] ) ( mprj la_data_in[6] ) + USE SIGNAL
-      + ROUTED met2 ( 890330 298860 ) ( 894700 * )
-      NEW met2 ( 894700 298860 ) ( * 300220 0 )
-      NEW met2 ( 890330 24650 ) ( * 298860 )
-      NEW met2 ( 735770 2380 0 ) ( * 24650 )
-      NEW met1 ( 735770 24650 ) ( 890330 * )
-      NEW met1 ( 890330 24650 ) M1M2_PR
-      NEW met1 ( 735770 24650 ) M1M2_PR ;
+      + ROUTED met2 ( 796950 288150 ) ( * 298860 )
+      NEW met2 ( 796950 298860 ) ( 797000 * )
+      NEW met2 ( 797000 298860 ) ( * 300220 0 )
+      NEW met2 ( 735770 2380 0 ) ( * 17510 )
+      NEW met1 ( 735770 17510 ) ( 738070 * )
+      NEW met1 ( 738070 288150 ) ( 796950 * )
+      NEW met2 ( 738070 17510 ) ( * 288150 )
+      NEW met1 ( 796950 288150 ) M1M2_PR
+      NEW met1 ( 735770 17510 ) M1M2_PR
+      NEW met1 ( 738070 17510 ) M1M2_PR
+      NEW met1 ( 738070 288150 ) M1M2_PR ;
     - la_data_in[70] ( PIN la_data_in[70] ) ( mprj la_data_in[70] ) + USE SIGNAL
-      + ROUTED met2 ( 1200370 298860 ) ( 1200400 * )
-      NEW met2 ( 1200400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1870590 2380 0 ) ( * 27710 )
-      NEW met1 ( 1200370 27710 ) ( 1870590 * )
-      NEW met2 ( 1200370 27710 ) ( * 298860 )
-      NEW met1 ( 1200370 27710 ) M1M2_PR
-      NEW met1 ( 1870590 27710 ) M1M2_PR ;
+      + ROUTED met2 ( 1046800 298860 ) ( 1048570 * )
+      NEW met2 ( 1046800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1048570 66130 ) ( * 298860 )
+      NEW met1 ( 1048570 66130 ) ( 1870590 * )
+      NEW met2 ( 1870590 2380 0 ) ( * 66130 )
+      NEW met1 ( 1048570 66130 ) M1M2_PR
+      NEW met1 ( 1870590 66130 ) M1M2_PR ;
     - la_data_in[71] ( PIN la_data_in[71] ) ( mprj la_data_in[71] ) + USE SIGNAL
-      + ROUTED met2 ( 1205200 298860 ) ( 1207270 * )
-      NEW met2 ( 1205200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1888530 2380 0 ) ( * 32130 )
-      NEW met1 ( 1207270 32130 ) ( 1888530 * )
-      NEW met2 ( 1207270 32130 ) ( * 298860 )
-      NEW met1 ( 1207270 32130 ) M1M2_PR
-      NEW met1 ( 1888530 32130 ) M1M2_PR ;
+      + ROUTED met2 ( 1050870 284410 ) ( * 298860 )
+      NEW met2 ( 1050700 298860 ) ( 1050870 * )
+      NEW met2 ( 1050700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1245450 73610 ) ( * 284410 )
+      NEW met1 ( 1050870 284410 ) ( 1245450 * )
+      NEW met1 ( 1245450 73610 ) ( 1888530 * )
+      NEW met2 ( 1888530 2380 0 ) ( * 73610 )
+      NEW met1 ( 1050870 284410 ) M1M2_PR
+      NEW met1 ( 1245450 284410 ) M1M2_PR
+      NEW met1 ( 1245450 73610 ) M1M2_PR
+      NEW met1 ( 1888530 73610 ) M1M2_PR ;
     - la_data_in[72] ( PIN la_data_in[72] ) ( mprj la_data_in[72] ) + USE SIGNAL
-      + ROUTED met1 ( 1210030 287810 ) ( 1214170 * )
-      NEW met2 ( 1210030 287810 ) ( * 298860 )
-      NEW met2 ( 1209900 298860 ) ( 1210030 * )
-      NEW met2 ( 1209900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1906010 2380 0 ) ( * 31790 )
-      NEW met1 ( 1214170 31790 ) ( 1906010 * )
-      NEW met2 ( 1214170 31790 ) ( * 287810 )
-      NEW met1 ( 1214170 31790 ) M1M2_PR
-      NEW met1 ( 1214170 287810 ) M1M2_PR
-      NEW met1 ( 1210030 287810 ) M1M2_PR
-      NEW met1 ( 1906010 31790 ) M1M2_PR ;
+      + ROUTED met2 ( 1054600 298860 ) ( 1055470 * )
+      NEW met2 ( 1054600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1055470 72590 ) ( * 298860 )
+      NEW met1 ( 1055470 72590 ) ( 1906010 * )
+      NEW met2 ( 1906010 2380 0 ) ( * 72590 )
+      NEW met1 ( 1055470 72590 ) M1M2_PR
+      NEW met1 ( 1906010 72590 ) M1M2_PR ;
     - la_data_in[73] ( PIN la_data_in[73] ) ( mprj la_data_in[73] ) + USE SIGNAL
-      + ROUTED met2 ( 1923950 2380 0 ) ( * 31450 )
-      NEW met1 ( 1214630 287810 ) ( 1221070 * )
-      NEW met2 ( 1214630 287810 ) ( * 298860 )
-      NEW met2 ( 1214630 298860 ) ( 1214700 * )
-      NEW met2 ( 1214700 298860 ) ( * 300220 0 )
-      NEW met1 ( 1221070 31450 ) ( 1923950 * )
-      NEW met2 ( 1221070 31450 ) ( * 287810 )
-      NEW met1 ( 1923950 31450 ) M1M2_PR
-      NEW met1 ( 1221070 31450 ) M1M2_PR
-      NEW met1 ( 1221070 287810 ) M1M2_PR
-      NEW met1 ( 1214630 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 1058690 288490 ) ( * 298860 )
+      NEW met2 ( 1058500 298860 ) ( 1058690 * )
+      NEW met2 ( 1058500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1923950 2380 0 ) ( * 41650 )
+      NEW met1 ( 1058690 288490 ) ( 1894050 * )
+      NEW met1 ( 1894050 41650 ) ( 1923950 * )
+      NEW met2 ( 1894050 41650 ) ( * 288490 )
+      NEW met1 ( 1058690 288490 ) M1M2_PR
+      NEW met1 ( 1923950 41650 ) M1M2_PR
+      NEW met1 ( 1894050 288490 ) M1M2_PR
+      NEW met1 ( 1894050 41650 ) M1M2_PR ;
     - la_data_in[74] ( PIN la_data_in[74] ) ( mprj la_data_in[74] ) + USE SIGNAL
-      + ROUTED met2 ( 1941430 2380 0 ) ( * 31110 )
-      NEW met2 ( 1219500 298860 ) ( 1220610 * )
-      NEW met2 ( 1219500 298860 ) ( * 300220 0 )
-      NEW met1 ( 1220610 31110 ) ( 1941430 * )
-      NEW met2 ( 1220610 31110 ) ( * 298860 )
-      NEW met1 ( 1941430 31110 ) M1M2_PR
-      NEW met1 ( 1220610 31110 ) M1M2_PR ;
+      + ROUTED met2 ( 1062370 298860 ) ( 1062500 * )
+      NEW met2 ( 1062500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1062370 35190 ) ( * 298860 )
+      NEW met2 ( 1941430 2380 0 ) ( * 35190 )
+      NEW met1 ( 1062370 35190 ) ( 1941430 * )
+      NEW met1 ( 1062370 35190 ) M1M2_PR
+      NEW met1 ( 1941430 35190 ) M1M2_PR ;
     - la_data_in[75] ( PIN la_data_in[75] ) ( mprj la_data_in[75] ) + USE SIGNAL
-      + ROUTED met2 ( 1959370 2380 0 ) ( * 30770 )
-      NEW met1 ( 1224290 287810 ) ( 1227970 * )
-      NEW met2 ( 1224290 287810 ) ( * 298860 )
-      NEW met2 ( 1224200 298860 ) ( 1224290 * )
-      NEW met2 ( 1224200 298860 ) ( * 300220 0 )
-      NEW met1 ( 1227970 30770 ) ( 1959370 * )
-      NEW met2 ( 1227970 30770 ) ( * 287810 )
-      NEW met1 ( 1959370 30770 ) M1M2_PR
-      NEW met1 ( 1227970 30770 ) M1M2_PR
-      NEW met1 ( 1227970 287810 ) M1M2_PR
-      NEW met1 ( 1224290 287810 ) M1M2_PR ;
+      + ROUTED met1 ( 1066510 287810 ) ( 1069270 * )
+      NEW met2 ( 1066510 287810 ) ( * 298860 )
+      NEW met2 ( 1066400 298860 ) ( 1066510 * )
+      NEW met2 ( 1066400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1959370 2380 0 ) ( * 17340 )
+      NEW met2 ( 1958910 17340 ) ( 1959370 * )
+      NEW met2 ( 1069270 35530 ) ( * 287810 )
+      NEW met2 ( 1958910 17340 ) ( * 35530 )
+      NEW met1 ( 1069270 35530 ) ( 1958910 * )
+      NEW met1 ( 1069270 287810 ) M1M2_PR
+      NEW met1 ( 1066510 287810 ) M1M2_PR
+      NEW met1 ( 1069270 35530 ) M1M2_PR
+      NEW met1 ( 1958910 35530 ) M1M2_PR ;
     - la_data_in[76] ( PIN la_data_in[76] ) ( mprj la_data_in[76] ) + USE SIGNAL
-      + ROUTED met2 ( 1949250 28050 ) ( * 288490 )
-      NEW met2 ( 1228890 288490 ) ( * 298860 )
-      NEW met2 ( 1228890 298860 ) ( 1229000 * )
-      NEW met2 ( 1229000 298860 ) ( * 300220 0 )
-      NEW li1 ( 1497070 288490 ) ( 1497990 * )
-      NEW met1 ( 1228890 288490 ) ( 1497070 * )
-      NEW met1 ( 1497990 288490 ) ( 1949250 * )
-      NEW met2 ( 1976850 2380 0 ) ( * 28050 )
-      NEW met1 ( 1949250 28050 ) ( 1976850 * )
-      NEW met1 ( 1949250 28050 ) M1M2_PR
-      NEW met1 ( 1949250 288490 ) M1M2_PR
-      NEW met1 ( 1228890 288490 ) M1M2_PR
-      NEW li1 ( 1497070 288490 ) L1M1_PR_MR
-      NEW li1 ( 1497990 288490 ) L1M1_PR_MR
-      NEW met1 ( 1976850 28050 ) M1M2_PR ;
+      + ROUTED met1 ( 1070190 287810 ) ( 1076170 * )
+      NEW met2 ( 1070190 287810 ) ( * 298860 )
+      NEW met2 ( 1070190 298860 ) ( 1070300 * )
+      NEW met2 ( 1070300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1076170 35870 ) ( * 287810 )
+      NEW met1 ( 1076170 35870 ) ( 1976850 * )
+      NEW met2 ( 1976850 2380 0 ) ( * 35870 )
+      NEW met1 ( 1076170 287810 ) M1M2_PR
+      NEW met1 ( 1070190 287810 ) M1M2_PR
+      NEW met1 ( 1076170 35870 ) M1M2_PR
+      NEW met1 ( 1976850 35870 ) M1M2_PR ;
     - la_data_in[77] ( PIN la_data_in[77] ) ( mprj la_data_in[77] ) + USE SIGNAL
-      + ROUTED met2 ( 1233800 298860 ) ( 1234870 * )
-      NEW met2 ( 1233800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1234870 80410 ) ( * 298860 )
-      NEW met1 ( 1234870 80410 ) ( 1994790 * )
-      NEW met2 ( 1994790 2380 0 ) ( * 80410 )
-      NEW met1 ( 1234870 80410 ) M1M2_PR
-      NEW met1 ( 1994790 80410 ) M1M2_PR ;
+      + ROUTED met2 ( 1074200 298860 ) ( 1075710 * )
+      NEW met2 ( 1074200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1075710 36210 ) ( * 298860 )
+      NEW met1 ( 1075710 36210 ) ( 1994790 * )
+      NEW met2 ( 1994790 2380 0 ) ( * 36210 )
+      NEW met1 ( 1075710 36210 ) M1M2_PR
+      NEW met1 ( 1994790 36210 ) M1M2_PR ;
     - la_data_in[78] ( PIN la_data_in[78] ) ( mprj la_data_in[78] ) + USE SIGNAL
-      + ROUTED met2 ( 1238550 287810 ) ( * 298860 )
-      NEW met2 ( 1238500 298860 ) ( 1238550 * )
-      NEW met2 ( 1238500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2012730 2380 0 ) ( * 28050 )
-      NEW met1 ( 1983750 28050 ) ( 2012730 * )
-      NEW met1 ( 1238550 287810 ) ( 1983290 * )
-      NEW met2 ( 1983290 276000 ) ( * 287810 )
-      NEW met2 ( 1983290 276000 ) ( 1983750 * )
-      NEW met2 ( 1983750 28050 ) ( * 276000 )
-      NEW met1 ( 1238550 287810 ) M1M2_PR
-      NEW met1 ( 2012730 28050 ) M1M2_PR
-      NEW met1 ( 1983750 28050 ) M1M2_PR
-      NEW met1 ( 1983290 287810 ) M1M2_PR ;
+      + ROUTED met1 ( 1078010 287810 ) ( 1082610 * )
+      NEW met2 ( 1078010 287810 ) ( * 298860 )
+      NEW met2 ( 1078010 298860 ) ( 1078100 * )
+      NEW met2 ( 1078100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1082610 36550 ) ( * 287810 )
+      NEW met1 ( 1082610 36550 ) ( 2012730 * )
+      NEW met2 ( 2012730 2380 0 ) ( * 36550 )
+      NEW met1 ( 1082610 287810 ) M1M2_PR
+      NEW met1 ( 1078010 287810 ) M1M2_PR
+      NEW met1 ( 1082610 36550 ) M1M2_PR
+      NEW met1 ( 2012730 36550 ) M1M2_PR ;
     - la_data_in[79] ( PIN la_data_in[79] ) ( mprj la_data_in[79] ) + USE SIGNAL
-      + ROUTED met1 ( 1243150 287470 ) ( 1248670 * )
-      NEW met2 ( 1243150 287470 ) ( * 298860 )
-      NEW met2 ( 1243150 298860 ) ( 1243300 * )
-      NEW met2 ( 1243300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1248670 162010 ) ( * 287470 )
-      NEW met2 ( 2028830 82800 ) ( 2030210 * )
-      NEW met2 ( 2030210 2380 0 ) ( * 82800 )
-      NEW met2 ( 2028830 82800 ) ( * 162010 )
-      NEW met1 ( 1248670 162010 ) ( 2028830 * )
-      NEW met1 ( 1248670 287470 ) M1M2_PR
-      NEW met1 ( 1243150 287470 ) M1M2_PR
-      NEW met1 ( 1248670 162010 ) M1M2_PR
-      NEW met1 ( 2028830 162010 ) M1M2_PR ;
+      + ROUTED met2 ( 1082000 298860 ) ( 1083070 * )
+      NEW met2 ( 1082000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1083070 36890 ) ( * 298860 )
+      NEW met2 ( 2030210 2380 0 ) ( * 36890 )
+      NEW met1 ( 1083070 36890 ) ( 2030210 * )
+      NEW met1 ( 1083070 36890 ) M1M2_PR
+      NEW met1 ( 2030210 36890 ) M1M2_PR ;
     - la_data_in[7] ( PIN la_data_in[7] ) ( mprj la_data_in[7] ) + USE SIGNAL
-      + ROUTED met2 ( 897230 298860 ) ( 899500 * )
-      NEW met2 ( 899500 298860 ) ( * 300220 0 )
-      NEW met2 ( 897230 24990 ) ( * 298860 )
-      NEW met2 ( 753250 2380 0 ) ( * 24990 )
-      NEW met1 ( 753250 24990 ) ( 897230 * )
-      NEW met1 ( 897230 24990 ) M1M2_PR
-      NEW met1 ( 753250 24990 ) M1M2_PR ;
+      + ROUTED met2 ( 800630 286790 ) ( * 298860 )
+      NEW met2 ( 800630 298860 ) ( 800800 * )
+      NEW met2 ( 800800 298860 ) ( * 300220 0 )
+      NEW met2 ( 753250 2380 0 ) ( * 17850 )
+      NEW met1 ( 753250 17850 ) ( 758770 * )
+      NEW met1 ( 758770 286790 ) ( 800630 * )
+      NEW met2 ( 758770 17850 ) ( * 286790 )
+      NEW met1 ( 800630 286790 ) M1M2_PR
+      NEW met1 ( 753250 17850 ) M1M2_PR
+      NEW met1 ( 758770 17850 ) M1M2_PR
+      NEW met1 ( 758770 286790 ) M1M2_PR ;
     - la_data_in[80] ( PIN la_data_in[80] ) ( mprj la_data_in[80] ) + USE SIGNAL
-      + ROUTED li1 ( 1283170 286790 ) ( * 287470 )
-      NEW met1 ( 1248210 286790 ) ( 1283170 * )
-      NEW met2 ( 1248210 286790 ) ( * 298860 )
-      NEW met2 ( 1248100 298860 ) ( 1248210 * )
-      NEW met2 ( 1248100 298860 ) ( * 300220 0 )
-      NEW met2 ( 2048150 2380 0 ) ( * 29410 )
-      NEW met1 ( 2018250 29410 ) ( 2048150 * )
-      NEW met2 ( 2016410 276000 ) ( * 287470 )
-      NEW met2 ( 2016410 276000 ) ( 2018250 * )
-      NEW met2 ( 2018250 29410 ) ( * 276000 )
-      NEW met1 ( 1283170 287470 ) ( 2016410 * )
-      NEW li1 ( 1283170 287470 ) L1M1_PR_MR
-      NEW li1 ( 1283170 286790 ) L1M1_PR_MR
-      NEW met1 ( 1248210 286790 ) M1M2_PR
-      NEW met1 ( 2048150 29410 ) M1M2_PR
-      NEW met1 ( 2018250 29410 ) M1M2_PR
-      NEW met1 ( 2016410 287470 ) M1M2_PR ;
+      + ROUTED met1 ( 1085830 287810 ) ( 1089510 * )
+      NEW met2 ( 1085830 287810 ) ( * 298860 )
+      NEW met2 ( 1085800 298860 ) ( 1085830 * )
+      NEW met2 ( 1085800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1089510 37230 ) ( * 287810 )
+      NEW met2 ( 2048150 2380 0 ) ( * 37230 )
+      NEW met1 ( 1089510 37230 ) ( 2048150 * )
+      NEW met1 ( 1089510 287810 ) M1M2_PR
+      NEW met1 ( 1085830 287810 ) M1M2_PR
+      NEW met1 ( 1089510 37230 ) M1M2_PR
+      NEW met1 ( 2048150 37230 ) M1M2_PR ;
     - la_data_in[81] ( PIN la_data_in[81] ) ( mprj la_data_in[81] ) + USE SIGNAL
-      + ROUTED met1 ( 1252810 287470 ) ( 1255570 * )
-      NEW met2 ( 1252810 287470 ) ( * 298860 )
-      NEW met2 ( 1252810 298860 ) ( 1252900 * )
-      NEW met2 ( 1252900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1255570 73270 ) ( * 287470 )
-      NEW met2 ( 2065630 2380 0 ) ( * 3060 )
-      NEW met2 ( 2064710 3060 ) ( 2065630 * )
-      NEW met2 ( 2064710 2380 ) ( * 3060 )
-      NEW met2 ( 2063330 2380 ) ( 2064710 * )
-      NEW met1 ( 1255570 73270 ) ( 2063330 * )
-      NEW met2 ( 2063330 2380 ) ( * 73270 )
-      NEW met1 ( 1255570 287470 ) M1M2_PR
-      NEW met1 ( 1252810 287470 ) M1M2_PR
-      NEW met1 ( 1255570 73270 ) M1M2_PR
-      NEW met1 ( 2063330 73270 ) M1M2_PR ;
+      + ROUTED met2 ( 1089800 298860 ) ( 1089970 * )
+      NEW met2 ( 1089800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1089970 37570 ) ( * 298860 )
+      NEW met1 ( 1089970 37570 ) ( 2065630 * )
+      NEW met2 ( 2065630 2380 0 ) ( * 37570 )
+      NEW met1 ( 1089970 37570 ) M1M2_PR
+      NEW met1 ( 2065630 37570 ) M1M2_PR ;
     - la_data_in[82] ( PIN la_data_in[82] ) ( mprj la_data_in[82] ) + USE SIGNAL
-      + ROUTED met2 ( 1257870 287130 ) ( * 298860 )
-      NEW met2 ( 1257700 298860 ) ( 1257870 * )
-      NEW met2 ( 1257700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2083570 2380 0 ) ( * 20910 )
-      NEW met1 ( 2073450 20910 ) ( 2083570 * )
-      NEW met1 ( 1257870 287130 ) ( 2073450 * )
-      NEW met2 ( 2073450 20910 ) ( * 287130 )
-      NEW met1 ( 1257870 287130 ) M1M2_PR
-      NEW met1 ( 2083570 20910 ) M1M2_PR
-      NEW met1 ( 2073450 20910 ) M1M2_PR
-      NEW met1 ( 2073450 287130 ) M1M2_PR ;
+      + ROUTED met1 ( 1093650 287810 ) ( 1096870 * )
+      NEW met2 ( 1093650 287810 ) ( * 298860 )
+      NEW met2 ( 1093650 298860 ) ( 1093700 * )
+      NEW met2 ( 1093700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1096870 41310 ) ( * 287810 )
+      NEW met1 ( 1096870 41310 ) ( 2083570 * )
+      NEW met2 ( 2083570 2380 0 ) ( * 41310 )
+      NEW met1 ( 1096870 287810 ) M1M2_PR
+      NEW met1 ( 1093650 287810 ) M1M2_PR
+      NEW met1 ( 1096870 41310 ) M1M2_PR
+      NEW met1 ( 2083570 41310 ) M1M2_PR ;
     - la_data_in[83] ( PIN la_data_in[83] ) ( mprj la_data_in[83] ) + USE SIGNAL
-      + ROUTED met2 ( 1262470 298860 ) ( 1262500 * )
-      NEW met2 ( 1262500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1262470 60690 ) ( * 298860 )
-      NEW met1 ( 1262470 60690 ) ( 2101050 * )
-      NEW met2 ( 2101050 2380 0 ) ( * 60690 )
-      NEW met1 ( 1262470 60690 ) M1M2_PR
-      NEW met1 ( 2101050 60690 ) M1M2_PR ;
+      + ROUTED met1 ( 1097790 287130 ) ( 1103310 * )
+      NEW met2 ( 1097790 287130 ) ( * 298860 )
+      NEW met2 ( 1097600 298860 ) ( 1097790 * )
+      NEW met2 ( 1097600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1103310 40970 ) ( * 287130 )
+      NEW met1 ( 1103310 40970 ) ( 2101050 * )
+      NEW met2 ( 2101050 2380 0 ) ( * 40970 )
+      NEW met1 ( 1103310 287130 ) M1M2_PR
+      NEW met1 ( 1097790 287130 ) M1M2_PR
+      NEW met1 ( 1103310 40970 ) M1M2_PR
+      NEW met1 ( 2101050 40970 ) M1M2_PR ;
     - la_data_in[84] ( PIN la_data_in[84] ) ( mprj la_data_in[84] ) + USE SIGNAL
-      + ROUTED met1 ( 1267070 287470 ) ( 1269370 * )
-      NEW met2 ( 1267070 287470 ) ( * 298860 )
-      NEW met2 ( 1267070 298860 ) ( 1267200 * )
-      NEW met2 ( 1267200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1269370 66470 ) ( * 287470 )
-      NEW met2 ( 2118990 2380 0 ) ( * 66470 )
-      NEW met1 ( 1269370 66470 ) ( 2118990 * )
-      NEW met1 ( 1269370 287470 ) M1M2_PR
-      NEW met1 ( 1267070 287470 ) M1M2_PR
-      NEW met1 ( 1269370 66470 ) M1M2_PR
-      NEW met1 ( 2118990 66470 ) M1M2_PR ;
+      + ROUTED met2 ( 2118990 2380 0 ) ( * 40630 )
+      NEW met1 ( 1101470 287810 ) ( 1103770 * )
+      NEW met2 ( 1101470 287810 ) ( * 298860 )
+      NEW met2 ( 1101470 298860 ) ( 1101500 * )
+      NEW met2 ( 1101500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1103770 40630 ) ( * 287810 )
+      NEW met1 ( 1103770 40630 ) ( 2118990 * )
+      NEW met1 ( 2118990 40630 ) M1M2_PR
+      NEW met1 ( 1103770 287810 ) M1M2_PR
+      NEW met1 ( 1101470 287810 ) M1M2_PR
+      NEW met1 ( 1103770 40630 ) M1M2_PR ;
     - la_data_in[85] ( PIN la_data_in[85] ) ( mprj la_data_in[85] ) + USE SIGNAL
-      + ROUTED met1 ( 1272130 287470 ) ( 1276270 * )
-      NEW met2 ( 1272130 287470 ) ( * 298860 )
-      NEW met2 ( 1271900 298860 ) ( 1272130 * )
-      NEW met2 ( 1271900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1276270 36550 ) ( * 287470 )
-      NEW met2 ( 2136470 2380 0 ) ( * 36550 )
-      NEW met1 ( 1276270 36550 ) ( 2136470 * )
-      NEW met1 ( 1276270 287470 ) M1M2_PR
-      NEW met1 ( 1272130 287470 ) M1M2_PR
-      NEW met1 ( 1276270 36550 ) M1M2_PR
-      NEW met1 ( 2136470 36550 ) M1M2_PR ;
+      + ROUTED met2 ( 2136470 2380 0 ) ( * 40290 )
+      NEW met1 ( 1105150 287810 ) ( 1110670 * )
+      NEW met2 ( 1105150 287810 ) ( * 298860 )
+      NEW met2 ( 1105150 298860 ) ( 1105300 * )
+      NEW met2 ( 1105300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1110670 40290 ) ( * 287810 )
+      NEW met1 ( 1110670 40290 ) ( 2136470 * )
+      NEW met1 ( 2136470 40290 ) M1M2_PR
+      NEW met1 ( 1110670 287810 ) M1M2_PR
+      NEW met1 ( 1105150 287810 ) M1M2_PR
+      NEW met1 ( 1110670 40290 ) M1M2_PR ;
     - la_data_in[86] ( PIN la_data_in[86] ) ( mprj la_data_in[86] ) + USE SIGNAL
-      + ROUTED met1 ( 1276730 287470 ) ( 1282710 * )
-      NEW met2 ( 1276730 287470 ) ( * 298860 )
-      NEW met2 ( 1276700 298860 ) ( 1276730 * )
-      NEW met2 ( 1276700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1282710 36890 ) ( * 287470 )
-      NEW met2 ( 2154410 2380 0 ) ( * 36890 )
-      NEW met1 ( 1282710 36890 ) ( 2154410 * )
-      NEW met1 ( 1282710 287470 ) M1M2_PR
-      NEW met1 ( 1276730 287470 ) M1M2_PR
-      NEW met1 ( 1282710 36890 ) M1M2_PR
-      NEW met1 ( 2154410 36890 ) M1M2_PR ;
+      + ROUTED met2 ( 2154410 2380 0 ) ( * 39950 )
+      NEW met2 ( 1109200 298860 ) ( 1110210 * )
+      NEW met2 ( 1109200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1110210 39950 ) ( * 298860 )
+      NEW met1 ( 1110210 39950 ) ( 2154410 * )
+      NEW met1 ( 2154410 39950 ) M1M2_PR
+      NEW met1 ( 1110210 39950 ) M1M2_PR ;
     - la_data_in[87] ( PIN la_data_in[87] ) ( mprj la_data_in[87] ) + USE SIGNAL
-      + ROUTED met2 ( 1281500 298860 ) ( 1283170 * )
-      NEW met2 ( 1281500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1283170 37230 ) ( * 298860 )
-      NEW met1 ( 1283170 37230 ) ( 2172350 * )
-      NEW met2 ( 2172350 2380 0 ) ( * 37230 )
-      NEW met1 ( 1283170 37230 ) M1M2_PR
-      NEW met1 ( 2172350 37230 ) M1M2_PR ;
+      + ROUTED met1 ( 1112970 287810 ) ( 1117110 * )
+      NEW met2 ( 1112970 287810 ) ( * 298860 )
+      NEW met2 ( 1112970 298860 ) ( 1113100 * )
+      NEW met2 ( 1113100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1117110 39610 ) ( * 287810 )
+      NEW met1 ( 1117110 39610 ) ( 2172350 * )
+      NEW met2 ( 2172350 2380 0 ) ( * 39610 )
+      NEW met1 ( 1117110 287810 ) M1M2_PR
+      NEW met1 ( 1112970 287810 ) M1M2_PR
+      NEW met1 ( 1117110 39610 ) M1M2_PR
+      NEW met1 ( 2172350 39610 ) M1M2_PR ;
     - la_data_in[88] ( PIN la_data_in[88] ) ( mprj la_data_in[88] ) + USE SIGNAL
-      + ROUTED met1 ( 1286390 286790 ) ( 1290070 * )
-      NEW met2 ( 1286390 286790 ) ( * 298860 )
-      NEW met2 ( 1286300 298860 ) ( 1286390 * )
-      NEW met2 ( 1286300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1290070 37570 ) ( * 286790 )
-      NEW met1 ( 1290070 37570 ) ( 2189830 * )
-      NEW met2 ( 2189830 2380 0 ) ( * 37570 )
-      NEW met1 ( 1290070 286790 ) M1M2_PR
-      NEW met1 ( 1286390 286790 ) M1M2_PR
-      NEW met1 ( 1290070 37570 ) M1M2_PR
-      NEW met1 ( 2189830 37570 ) M1M2_PR ;
+      + ROUTED met2 ( 1117100 298860 ) ( 1117570 * )
+      NEW met2 ( 1117100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1117570 39270 ) ( * 298860 )
+      NEW met1 ( 1117570 39270 ) ( 2189830 * )
+      NEW met2 ( 2189830 2380 0 ) ( * 39270 )
+      NEW met1 ( 1117570 39270 ) M1M2_PR
+      NEW met1 ( 2189830 39270 ) M1M2_PR ;
     - la_data_in[89] ( PIN la_data_in[89] ) ( mprj la_data_in[89] ) + USE SIGNAL
-      + ROUTED met1 ( 1290990 286790 ) ( 1296970 * )
-      NEW met2 ( 1290990 286790 ) ( * 298860 )
-      NEW met2 ( 1290990 298860 ) ( 1291100 * )
-      NEW met2 ( 1291100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1296970 41310 ) ( * 286790 )
-      NEW met1 ( 1296970 41310 ) ( 2207770 * )
-      NEW met2 ( 2207770 2380 0 ) ( * 41310 )
-      NEW met1 ( 1296970 286790 ) M1M2_PR
-      NEW met1 ( 1290990 286790 ) M1M2_PR
-      NEW met1 ( 1296970 41310 ) M1M2_PR
-      NEW met1 ( 2207770 41310 ) M1M2_PR ;
+      + ROUTED met1 ( 1121250 287810 ) ( 1124470 * )
+      NEW met2 ( 1121250 287810 ) ( * 298860 )
+      NEW met2 ( 1121000 298860 ) ( 1121250 * )
+      NEW met2 ( 1121000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1124470 38930 ) ( * 287810 )
+      NEW met1 ( 1124470 38930 ) ( 2207770 * )
+      NEW met2 ( 2207770 2380 0 ) ( * 38930 )
+      NEW met1 ( 1124470 287810 ) M1M2_PR
+      NEW met1 ( 1121250 287810 ) M1M2_PR
+      NEW met1 ( 1124470 38930 ) M1M2_PR
+      NEW met1 ( 2207770 38930 ) M1M2_PR ;
     - la_data_in[8] ( PIN la_data_in[8] ) ( mprj la_data_in[8] ) + USE SIGNAL
       + ROUTED met2 ( 771190 2380 0 ) ( * 18530 )
-      NEW met1 ( 771190 18530 ) ( 904130 * )
-      NEW met2 ( 904130 298860 ) ( 904300 * )
-      NEW met2 ( 904300 298860 ) ( * 300220 0 )
-      NEW met2 ( 904130 18530 ) ( * 298860 )
+      NEW met1 ( 771190 18530 ) ( 796950 * )
+      NEW met1 ( 796950 286450 ) ( 804770 * )
+      NEW met2 ( 804770 286450 ) ( * 298860 )
+      NEW met2 ( 804770 298860 ) ( 804800 * )
+      NEW met2 ( 804800 298860 ) ( * 300220 0 )
+      NEW met2 ( 796950 18530 ) ( * 286450 )
       NEW met1 ( 771190 18530 ) M1M2_PR
-      NEW met1 ( 904130 18530 ) M1M2_PR ;
+      NEW met1 ( 796950 18530 ) M1M2_PR
+      NEW met1 ( 796950 286450 ) M1M2_PR
+      NEW met1 ( 804770 286450 ) M1M2_PR ;
     - la_data_in[90] ( PIN la_data_in[90] ) ( mprj la_data_in[90] ) + USE SIGNAL
-      + ROUTED met2 ( 2225250 2380 0 ) ( * 40970 )
-      NEW met2 ( 1295800 298860 ) ( 1296510 * )
-      NEW met2 ( 1295800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1296510 40970 ) ( * 298860 )
-      NEW met1 ( 1296510 40970 ) ( 2225250 * )
-      NEW met1 ( 2225250 40970 ) M1M2_PR
-      NEW met1 ( 1296510 40970 ) M1M2_PR ;
+      + ROUTED met2 ( 2225250 2380 0 ) ( * 38590 )
+      NEW met1 ( 1124930 287810 ) ( 1131370 * )
+      NEW met2 ( 1124930 287810 ) ( * 298860 )
+      NEW met2 ( 1124900 298860 ) ( 1124930 * )
+      NEW met2 ( 1124900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1131370 38590 ) ( * 287810 )
+      NEW met1 ( 1131370 38590 ) ( 2225250 * )
+      NEW met1 ( 2225250 38590 ) M1M2_PR
+      NEW met1 ( 1131370 287810 ) M1M2_PR
+      NEW met1 ( 1124930 287810 ) M1M2_PR
+      NEW met1 ( 1131370 38590 ) M1M2_PR ;
     - la_data_in[91] ( PIN la_data_in[91] ) ( mprj la_data_in[91] ) + USE SIGNAL
-      + ROUTED met2 ( 2243190 2380 0 ) ( * 40630 )
-      NEW met1 ( 1300650 286790 ) ( 1303870 * )
-      NEW met2 ( 1300650 286790 ) ( * 298860 )
-      NEW met2 ( 1300600 298860 ) ( 1300650 * )
-      NEW met2 ( 1300600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1303870 40630 ) ( * 286790 )
-      NEW met1 ( 1303870 40630 ) ( 2243190 * )
-      NEW met1 ( 2243190 40630 ) M1M2_PR
-      NEW met1 ( 1303870 286790 ) M1M2_PR
-      NEW met1 ( 1300650 286790 ) M1M2_PR
-      NEW met1 ( 1303870 40630 ) M1M2_PR ;
+      + ROUTED met2 ( 2243190 2380 0 ) ( * 38250 )
+      NEW met2 ( 1128800 298860 ) ( 1130910 * )
+      NEW met2 ( 1128800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1130910 38250 ) ( * 298860 )
+      NEW met1 ( 1130910 38250 ) ( 2243190 * )
+      NEW met1 ( 2243190 38250 ) M1M2_PR
+      NEW met1 ( 1130910 38250 ) M1M2_PR ;
     - la_data_in[92] ( PIN la_data_in[92] ) ( mprj la_data_in[92] ) + USE SIGNAL
-      + ROUTED met1 ( 1305250 286790 ) ( 1310310 * )
-      NEW met2 ( 1305250 286790 ) ( * 298860 )
-      NEW met2 ( 1305250 298860 ) ( 1305400 * )
-      NEW met2 ( 1305400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1310310 40290 ) ( * 286790 )
-      NEW met1 ( 1310310 40290 ) ( 2260670 * )
-      NEW met2 ( 2260670 2380 0 ) ( * 40290 )
-      NEW met1 ( 1310310 286790 ) M1M2_PR
-      NEW met1 ( 1305250 286790 ) M1M2_PR
-      NEW met1 ( 1310310 40290 ) M1M2_PR
-      NEW met1 ( 2260670 40290 ) M1M2_PR ;
+      + ROUTED met1 ( 1132750 287810 ) ( 1138270 * )
+      NEW met2 ( 1132750 287810 ) ( * 298860 )
+      NEW met2 ( 1132700 298860 ) ( 1132750 * )
+      NEW met2 ( 1132700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1138270 37910 ) ( * 287810 )
+      NEW met1 ( 1138270 37910 ) ( 2260670 * )
+      NEW met2 ( 2260670 2380 0 ) ( * 37910 )
+      NEW met1 ( 1138270 287810 ) M1M2_PR
+      NEW met1 ( 1132750 287810 ) M1M2_PR
+      NEW met1 ( 1138270 37910 ) M1M2_PR
+      NEW met1 ( 2260670 37910 ) M1M2_PR ;
     - la_data_in[93] ( PIN la_data_in[93] ) ( mprj la_data_in[93] ) + USE SIGNAL
-      + ROUTED met2 ( 1310300 298860 ) ( 1310770 * )
-      NEW met2 ( 1310300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1310770 39950 ) ( * 298860 )
-      NEW met1 ( 1310770 39950 ) ( 2278610 * )
-      NEW met2 ( 2278610 2380 0 ) ( * 39950 )
-      NEW met1 ( 1310770 39950 ) M1M2_PR
-      NEW met1 ( 2278610 39950 ) M1M2_PR ;
+      + ROUTED li1 ( 1145170 287130 ) ( * 287810 )
+      NEW met1 ( 1136890 287130 ) ( 1145170 * )
+      NEW met2 ( 1136890 287130 ) ( * 298860 )
+      NEW met2 ( 1136600 298860 ) ( 1136890 * )
+      NEW met2 ( 1136600 298860 ) ( * 300220 0 )
+      NEW met1 ( 1145170 287810 ) ( 2066550 * )
+      NEW met2 ( 2066550 37570 ) ( * 287810 )
+      NEW met1 ( 2066550 37570 ) ( 2278610 * )
+      NEW met2 ( 2278610 2380 0 ) ( * 37570 )
+      NEW li1 ( 1145170 287810 ) L1M1_PR_MR
+      NEW li1 ( 1145170 287130 ) L1M1_PR_MR
+      NEW met1 ( 1136890 287130 ) M1M2_PR
+      NEW met1 ( 2066550 287810 ) M1M2_PR
+      NEW met1 ( 2066550 37570 ) M1M2_PR
+      NEW met1 ( 2278610 37570 ) M1M2_PR ;
     - la_data_in[94] ( PIN la_data_in[94] ) ( mprj la_data_in[94] ) + USE SIGNAL
-      + ROUTED met1 ( 1315370 286790 ) ( 1317670 * )
-      NEW met2 ( 1315370 286790 ) ( * 298860 )
-      NEW met2 ( 1315100 298860 ) ( 1315370 * )
-      NEW met2 ( 1315100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1317670 39610 ) ( * 286790 )
-      NEW met1 ( 1317670 39610 ) ( 2296090 * )
-      NEW met2 ( 2296090 2380 0 ) ( * 39610 )
-      NEW met1 ( 1317670 286790 ) M1M2_PR
-      NEW met1 ( 1315370 286790 ) M1M2_PR
-      NEW met1 ( 1317670 39610 ) M1M2_PR
-      NEW met1 ( 2296090 39610 ) M1M2_PR ;
+      + ROUTED met1 ( 1140570 287810 ) ( 1144710 * )
+      NEW met2 ( 1140570 287810 ) ( * 298860 )
+      NEW met2 ( 1140570 298860 ) ( 1140600 * )
+      NEW met2 ( 1140600 298860 ) ( * 300220 0 )
+      NEW met1 ( 1144710 106930 ) ( 2291030 * )
+      NEW met2 ( 1144710 106930 ) ( * 287810 )
+      NEW met2 ( 2291030 82800 ) ( * 106930 )
+      NEW met2 ( 2291030 82800 ) ( 2296090 * )
+      NEW met2 ( 2296090 2380 0 ) ( * 82800 )
+      NEW met1 ( 1144710 106930 ) M1M2_PR
+      NEW met1 ( 1144710 287810 ) M1M2_PR
+      NEW met1 ( 1140570 287810 ) M1M2_PR
+      NEW met1 ( 2291030 106930 ) M1M2_PR ;
     - la_data_in[95] ( PIN la_data_in[95] ) ( mprj la_data_in[95] ) + USE SIGNAL
-      + ROUTED met2 ( 2314030 2380 0 ) ( * 39270 )
-      NEW met1 ( 1319970 286790 ) ( 1324110 * )
-      NEW met2 ( 1319970 286790 ) ( * 298860 )
-      NEW met2 ( 1319900 298860 ) ( 1319970 * )
-      NEW met2 ( 1319900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1324110 39270 ) ( * 286790 )
-      NEW met1 ( 1324110 39270 ) ( 2314030 * )
-      NEW met1 ( 2314030 39270 ) M1M2_PR
-      NEW met1 ( 1324110 286790 ) M1M2_PR
-      NEW met1 ( 1319970 286790 ) M1M2_PR
-      NEW met1 ( 1324110 39270 ) M1M2_PR ;
+      + ROUTED met2 ( 2314030 2380 0 ) ( * 3060 )
+      NEW met2 ( 2313110 3060 ) ( 2314030 * )
+      NEW met2 ( 2313110 2380 ) ( * 3060 )
+      NEW met2 ( 2311730 2380 ) ( 2313110 * )
+      NEW met2 ( 2311730 2380 ) ( * 59330 )
+      NEW met2 ( 1144500 298860 ) ( 1145170 * )
+      NEW met2 ( 1144500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1145170 59330 ) ( * 298860 )
+      NEW met1 ( 1145170 59330 ) ( 2311730 * )
+      NEW met1 ( 2311730 59330 ) M1M2_PR
+      NEW met1 ( 1145170 59330 ) M1M2_PR ;
     - la_data_in[96] ( PIN la_data_in[96] ) ( mprj la_data_in[96] ) + USE SIGNAL
-      + ROUTED met2 ( 2331510 2380 0 ) ( * 38930 )
-      NEW met2 ( 1324570 298860 ) ( 1324600 * )
-      NEW met2 ( 1324600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1324570 38930 ) ( * 298860 )
-      NEW met1 ( 1324570 38930 ) ( 2331510 * )
-      NEW met1 ( 2331510 38930 ) M1M2_PR
-      NEW met1 ( 1324570 38930 ) M1M2_PR ;
+      + ROUTED met1 ( 1145630 287130 ) ( 1148390 * )
+      NEW met2 ( 1148390 287130 ) ( * 298860 )
+      NEW met2 ( 1148390 298860 ) ( 1148400 * )
+      NEW met2 ( 1148400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2331510 2380 0 ) ( * 3060 )
+      NEW met2 ( 2330590 3060 ) ( 2331510 * )
+      NEW met2 ( 2330590 2380 ) ( * 3060 )
+      NEW met2 ( 2329210 2380 ) ( 2330590 * )
+      NEW met2 ( 1145630 273190 ) ( * 287130 )
+      NEW met2 ( 2325990 82800 ) ( 2329210 * )
+      NEW met2 ( 2329210 2380 ) ( * 82800 )
+      NEW met2 ( 2325990 82800 ) ( * 273190 )
+      NEW met1 ( 1145630 273190 ) ( 2325990 * )
+      NEW met1 ( 1145630 287130 ) M1M2_PR
+      NEW met1 ( 1148390 287130 ) M1M2_PR
+      NEW met1 ( 1145630 273190 ) M1M2_PR
+      NEW met1 ( 2325990 273190 ) M1M2_PR ;
     - la_data_in[97] ( PIN la_data_in[97] ) ( mprj la_data_in[97] ) + USE SIGNAL
-      + ROUTED met2 ( 2349450 2380 0 ) ( * 38590 )
-      NEW met1 ( 1329170 286790 ) ( 1331470 * )
-      NEW met2 ( 1329170 286790 ) ( * 298860 )
-      NEW met2 ( 1329170 298860 ) ( 1329300 * )
-      NEW met2 ( 1329300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1331470 38590 ) ( * 286790 )
-      NEW met1 ( 1331470 38590 ) ( 2349450 * )
-      NEW met1 ( 2349450 38590 ) M1M2_PR
-      NEW met1 ( 1331470 286790 ) M1M2_PR
-      NEW met1 ( 1329170 286790 ) M1M2_PR
-      NEW met1 ( 1331470 38590 ) M1M2_PR ;
+      + ROUTED met1 ( 1152530 287130 ) ( 1158510 * )
+      NEW met2 ( 1152530 287130 ) ( * 298860 )
+      NEW met2 ( 1152300 298860 ) ( 1152530 * )
+      NEW met2 ( 1152300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1158510 65450 ) ( * 287130 )
+      NEW met2 ( 2349450 2380 0 ) ( * 65450 )
+      NEW met1 ( 1158510 65450 ) ( 2349450 * )
+      NEW met1 ( 1158510 287130 ) M1M2_PR
+      NEW met1 ( 1152530 287130 ) M1M2_PR
+      NEW met1 ( 1158510 65450 ) M1M2_PR
+      NEW met1 ( 2349450 65450 ) M1M2_PR ;
     - la_data_in[98] ( PIN la_data_in[98] ) ( mprj la_data_in[98] ) + USE SIGNAL
-      + ROUTED met1 ( 1334230 286790 ) ( 1338370 * )
-      NEW met2 ( 1334230 286790 ) ( * 298860 )
-      NEW met2 ( 1334100 298860 ) ( 1334230 * )
-      NEW met2 ( 1334100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1338370 38250 ) ( * 286790 )
-      NEW met1 ( 1338370 38250 ) ( 2367390 * )
-      NEW met2 ( 2367390 2380 0 ) ( * 38250 )
-      NEW met1 ( 1338370 286790 ) M1M2_PR
-      NEW met1 ( 1334230 286790 ) M1M2_PR
-      NEW met1 ( 1338370 38250 ) M1M2_PR
-      NEW met1 ( 2367390 38250 ) M1M2_PR ;
+      + ROUTED met1 ( 1156210 288150 ) ( 1158970 * )
+      NEW met2 ( 1156210 288150 ) ( * 298860 )
+      NEW met2 ( 1156100 298860 ) ( 1156210 * )
+      NEW met2 ( 1156100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1158970 58990 ) ( * 288150 )
+      NEW met1 ( 1158970 58990 ) ( 2367390 * )
+      NEW met2 ( 2367390 2380 0 ) ( * 58990 )
+      NEW met1 ( 1158970 288150 ) M1M2_PR
+      NEW met1 ( 1156210 288150 ) M1M2_PR
+      NEW met1 ( 1158970 58990 ) M1M2_PR
+      NEW met1 ( 2367390 58990 ) M1M2_PR ;
     - la_data_in[99] ( PIN la_data_in[99] ) ( mprj la_data_in[99] ) + USE SIGNAL
-      + ROUTED met1 ( 1338830 286790 ) ( 1345270 * )
-      NEW met2 ( 1338830 286790 ) ( * 298860 )
-      NEW met2 ( 1338830 298860 ) ( 1338900 * )
-      NEW met2 ( 1338900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1345270 37910 ) ( * 286790 )
-      NEW met1 ( 1345270 37910 ) ( 2384870 * )
-      NEW met2 ( 2384870 2380 0 ) ( * 37910 )
-      NEW met1 ( 1345270 286790 ) M1M2_PR
-      NEW met1 ( 1338830 286790 ) M1M2_PR
-      NEW met1 ( 1345270 37910 ) M1M2_PR
-      NEW met1 ( 2384870 37910 ) M1M2_PR ;
+      + ROUTED met1 ( 1159890 288150 ) ( 1165870 * )
+      NEW met2 ( 1159890 288150 ) ( * 298860 )
+      NEW met2 ( 1159890 298860 ) ( 1160000 * )
+      NEW met2 ( 1160000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1165870 113730 ) ( * 288150 )
+      NEW met2 ( 2384870 2380 0 ) ( * 3060 )
+      NEW met2 ( 2383950 3060 ) ( 2384870 * )
+      NEW met2 ( 2383950 2380 ) ( * 3060 )
+      NEW met2 ( 2382570 2380 ) ( 2383950 * )
+      NEW met1 ( 1165870 113730 ) ( 2380730 * )
+      NEW met2 ( 2380730 82800 ) ( * 113730 )
+      NEW met2 ( 2380730 82800 ) ( 2382570 * )
+      NEW met2 ( 2382570 2380 ) ( * 82800 )
+      NEW met1 ( 1165870 113730 ) M1M2_PR
+      NEW met1 ( 1165870 288150 ) M1M2_PR
+      NEW met1 ( 1159890 288150 ) M1M2_PR
+      NEW met1 ( 2380730 113730 ) M1M2_PR ;
     - la_data_in[9] ( PIN la_data_in[9] ) ( mprj la_data_in[9] ) + USE SIGNAL
-      + ROUTED met2 ( 789130 2380 0 ) ( * 18870 )
-      NEW met1 ( 789130 18870 ) ( 904590 * )
-      NEW met2 ( 904590 298860 ) ( 909100 * )
-      NEW met2 ( 909100 298860 ) ( * 300220 0 )
-      NEW met2 ( 904590 18870 ) ( * 298860 )
-      NEW met1 ( 789130 18870 ) M1M2_PR
-      NEW met1 ( 904590 18870 ) M1M2_PR ;
+      + ROUTED met2 ( 789130 2380 0 ) ( * 17850 )
+      NEW met1 ( 789130 17850 ) ( 793270 * )
+      NEW met2 ( 793270 17850 ) ( * 283390 )
+      NEW met2 ( 807530 283390 ) ( * 299540 )
+      NEW met2 ( 807530 299540 ) ( 808700 * )
+      NEW met2 ( 808700 299540 ) ( * 300220 0 )
+      NEW met1 ( 793270 283390 ) ( 807530 * )
+      NEW met1 ( 789130 17850 ) M1M2_PR
+      NEW met1 ( 793270 17850 ) M1M2_PR
+      NEW met1 ( 793270 283390 ) M1M2_PR
+      NEW met1 ( 807530 283390 ) M1M2_PR ;
     - la_data_out[0] ( PIN la_data_out[0] ) ( mprj la_data_out[0] ) + USE SIGNAL
-      + ROUTED met2 ( 1476830 298860 ) ( 1477300 * )
-      NEW met2 ( 1477300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1476830 36210 ) ( * 298860 )
-      NEW met2 ( 635030 2380 0 ) ( * 36210 )
-      NEW met1 ( 635030 36210 ) ( 1476830 * )
-      NEW met1 ( 1476830 36210 ) M1M2_PR
-      NEW met1 ( 635030 36210 ) M1M2_PR ;
+      + ROUTED met2 ( 1269830 298860 ) ( 1273300 * )
+      NEW met2 ( 1273300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1269830 45050 ) ( * 298860 )
+      NEW met2 ( 635030 2380 0 ) ( * 45050 )
+      NEW met1 ( 635030 45050 ) ( 1269830 * )
+      NEW met1 ( 1269830 45050 ) M1M2_PR
+      NEW met1 ( 635030 45050 ) M1M2_PR ;
     - la_data_out[100] ( PIN la_data_out[100] ) ( mprj la_data_out[100] ) + USE SIGNAL
-      + ROUTED met2 ( 1954770 289510 ) ( * 298860 )
-      NEW met2 ( 1954770 298860 ) ( 1954900 * )
-      NEW met2 ( 1954900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2408790 2380 0 ) ( * 37570 )
-      NEW met1 ( 1954770 289510 ) ( 2197650 * )
-      NEW met2 ( 2197650 37570 ) ( * 289510 )
-      NEW met1 ( 2197650 37570 ) ( 2408790 * )
-      NEW met1 ( 1954770 289510 ) M1M2_PR
-      NEW met1 ( 2408790 37570 ) M1M2_PR
-      NEW met1 ( 2197650 289510 ) M1M2_PR
-      NEW met1 ( 2197650 37570 ) M1M2_PR ;
+      + ROUTED met2 ( 1672330 280670 ) ( * 289170 )
+      NEW met1 ( 1663590 289170 ) ( 1672330 * )
+      NEW met2 ( 1663590 289170 ) ( * 298860 )
+      NEW met2 ( 1663500 298860 ) ( 1663590 * )
+      NEW met2 ( 1663500 298860 ) ( * 300220 0 )
+      NEW met2 ( 2408330 82800 ) ( 2408790 * )
+      NEW met2 ( 2408790 2380 0 ) ( * 82800 )
+      NEW met2 ( 2408330 82800 ) ( * 280670 )
+      NEW met1 ( 1672330 280670 ) ( 2408330 * )
+      NEW met1 ( 1672330 280670 ) M1M2_PR
+      NEW met1 ( 1672330 289170 ) M1M2_PR
+      NEW met1 ( 1663590 289170 ) M1M2_PR
+      NEW met1 ( 2408330 280670 ) M1M2_PR ;
     - la_data_out[101] ( PIN la_data_out[101] ) ( mprj la_data_out[101] ) + USE SIGNAL
-      + ROUTED met2 ( 1959830 288830 ) ( * 298860 )
-      NEW met2 ( 1959700 298860 ) ( 1959830 * )
-      NEW met2 ( 1959700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2426270 2380 0 ) ( * 47090 )
-      NEW met1 ( 1959830 288830 ) ( 2266650 * )
-      NEW met2 ( 2266650 47090 ) ( * 288830 )
-      NEW met1 ( 2266650 47090 ) ( 2426270 * )
-      NEW met1 ( 1959830 288830 ) M1M2_PR
-      NEW met1 ( 2426270 47090 ) M1M2_PR
-      NEW met1 ( 2266650 288830 ) M1M2_PR
-      NEW met1 ( 2266650 47090 ) M1M2_PR ;
+      + ROUTED met2 ( 1667270 285090 ) ( * 298860 )
+      NEW met2 ( 1667270 298860 ) ( 1667400 * )
+      NEW met2 ( 1667400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1749150 281010 ) ( * 285090 )
+      NEW met2 ( 2426270 2380 0 ) ( * 3060 )
+      NEW met2 ( 2425350 3060 ) ( 2426270 * )
+      NEW met2 ( 2425350 2380 ) ( * 3060 )
+      NEW met2 ( 2423970 2380 ) ( 2425350 * )
+      NEW met2 ( 2422130 82800 ) ( 2423970 * )
+      NEW met2 ( 2423970 2380 ) ( * 82800 )
+      NEW met2 ( 2422130 82800 ) ( * 281010 )
+      NEW met1 ( 1667270 285090 ) ( 1749150 * )
+      NEW met1 ( 1749150 281010 ) ( 2422130 * )
+      NEW met1 ( 1667270 285090 ) M1M2_PR
+      NEW met1 ( 1749150 285090 ) M1M2_PR
+      NEW met1 ( 1749150 281010 ) M1M2_PR
+      NEW met1 ( 2422130 281010 ) M1M2_PR ;
     - la_data_out[102] ( PIN la_data_out[102] ) ( mprj la_data_out[102] ) + USE SIGNAL
-      + ROUTED met2 ( 1964500 298860 ) ( 1966270 * )
-      NEW met2 ( 1964500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1966270 67490 ) ( * 298860 )
-      NEW met2 ( 2444210 2380 0 ) ( * 67490 )
-      NEW met1 ( 1966270 67490 ) ( 2444210 * )
-      NEW met1 ( 1966270 67490 ) M1M2_PR
-      NEW met1 ( 2444210 67490 ) M1M2_PR ;
+      + ROUTED met2 ( 1670030 298860 ) ( 1671300 * )
+      NEW met2 ( 1671300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1670030 266050 ) ( * 298860 )
+      NEW met2 ( 2442830 82800 ) ( 2444210 * )
+      NEW met2 ( 2444210 2380 0 ) ( * 82800 )
+      NEW met2 ( 2442830 82800 ) ( * 266050 )
+      NEW met1 ( 1670030 266050 ) ( 2442830 * )
+      NEW met1 ( 1670030 266050 ) M1M2_PR
+      NEW met1 ( 2442830 266050 ) M1M2_PR ;
     - la_data_out[103] ( PIN la_data_out[103] ) ( mprj la_data_out[103] ) + USE SIGNAL
-      + ROUTED li1 ( 2008130 288150 ) ( * 289170 )
-      NEW met1 ( 1969490 289170 ) ( 2008130 * )
-      NEW met2 ( 1969490 289170 ) ( * 298860 )
-      NEW met2 ( 1969200 298860 ) ( 1969490 * )
-      NEW met2 ( 1969200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2461690 2380 0 ) ( * 20910 )
-      NEW met1 ( 2452950 20910 ) ( 2461690 * )
-      NEW met1 ( 2008130 288150 ) ( 2452950 * )
-      NEW met2 ( 2452950 20910 ) ( * 288150 )
-      NEW li1 ( 2008130 288150 ) L1M1_PR_MR
-      NEW li1 ( 2008130 289170 ) L1M1_PR_MR
-      NEW met1 ( 1969490 289170 ) M1M2_PR
-      NEW met1 ( 2461690 20910 ) M1M2_PR
-      NEW met1 ( 2452950 20910 ) M1M2_PR
-      NEW met1 ( 2452950 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 1675200 298860 ) ( 1676470 * )
+      NEW met2 ( 1675200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1676470 60350 ) ( * 298860 )
+      NEW met1 ( 1676470 60350 ) ( 2461690 * )
+      NEW met2 ( 2461690 2380 0 ) ( * 60350 )
+      NEW met1 ( 1676470 60350 ) M1M2_PR
+      NEW met1 ( 2461690 60350 ) M1M2_PR ;
     - la_data_out[104] ( PIN la_data_out[104] ) ( mprj la_data_out[104] ) + USE SIGNAL
-      + ROUTED met2 ( 2321850 39270 ) ( * 288490 )
-      NEW met2 ( 1974090 288490 ) ( * 298860 )
-      NEW met2 ( 1974000 298860 ) ( 1974090 * )
-      NEW met2 ( 1974000 298860 ) ( * 300220 0 )
-      NEW met1 ( 1974090 288490 ) ( 2321850 * )
-      NEW met1 ( 2321850 39270 ) ( 2479630 * )
-      NEW met2 ( 2479630 2380 0 ) ( * 39270 )
-      NEW met1 ( 2321850 288490 ) M1M2_PR
-      NEW met1 ( 2321850 39270 ) M1M2_PR
-      NEW met1 ( 1974090 288490 ) M1M2_PR
-      NEW met1 ( 2479630 39270 ) M1M2_PR ;
+      + ROUTED li1 ( 1724310 285770 ) ( * 289170 )
+      NEW met1 ( 1679230 285770 ) ( 1724310 * )
+      NEW met2 ( 1679230 285770 ) ( * 298860 )
+      NEW met2 ( 1679100 298860 ) ( 1679230 * )
+      NEW met2 ( 1679100 298860 ) ( * 300220 0 )
+      NEW met1 ( 1724310 289170 ) ( 2072990 * )
+      NEW met2 ( 2072990 276000 ) ( * 289170 )
+      NEW met2 ( 2072990 276000 ) ( 2073450 * )
+      NEW met2 ( 2073450 45730 ) ( * 276000 )
+      NEW met1 ( 2073450 45730 ) ( 2479630 * )
+      NEW met2 ( 2479630 2380 0 ) ( * 45730 )
+      NEW li1 ( 1724310 289170 ) L1M1_PR_MR
+      NEW li1 ( 1724310 285770 ) L1M1_PR_MR
+      NEW met1 ( 1679230 285770 ) M1M2_PR
+      NEW met1 ( 2072990 289170 ) M1M2_PR
+      NEW met1 ( 2073450 45730 ) M1M2_PR
+      NEW met1 ( 2479630 45730 ) M1M2_PR ;
     - la_data_out[105] ( PIN la_data_out[105] ) ( mprj la_data_out[105] ) + USE SIGNAL
-      + ROUTED met2 ( 1978800 298860 ) ( 1980070 * )
-      NEW met2 ( 1978800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2497110 2380 0 ) ( * 17170 )
-      NEW met1 ( 2487450 17170 ) ( 2497110 * )
-      NEW met2 ( 1980070 61370 ) ( * 298860 )
-      NEW met1 ( 1980070 61370 ) ( 2487450 * )
-      NEW met2 ( 2487450 17170 ) ( * 61370 )
-      NEW met1 ( 2497110 17170 ) M1M2_PR
-      NEW met1 ( 2487450 17170 ) M1M2_PR
-      NEW met1 ( 1980070 61370 ) M1M2_PR
-      NEW met1 ( 2487450 61370 ) M1M2_PR ;
+      + ROUTED met2 ( 1683000 298860 ) ( 1683370 * )
+      NEW met2 ( 1683000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2497110 2380 0 ) ( * 3060 )
+      NEW met2 ( 2496190 3060 ) ( 2497110 * )
+      NEW met2 ( 2496190 2380 ) ( * 3060 )
+      NEW met2 ( 2494810 2380 ) ( 2496190 * )
+      NEW met2 ( 1683370 60010 ) ( * 298860 )
+      NEW met1 ( 1683370 60010 ) ( 2494810 * )
+      NEW met2 ( 2494810 2380 ) ( * 60010 )
+      NEW met1 ( 1683370 60010 ) M1M2_PR
+      NEW met1 ( 2494810 60010 ) M1M2_PR ;
     - la_data_out[106] ( PIN la_data_out[106] ) ( mprj la_data_out[106] ) + USE SIGNAL
-      + ROUTED met2 ( 2515050 2380 0 ) ( * 17340 )
-      NEW met2 ( 2514590 17340 ) ( 2515050 * )
-      NEW met2 ( 2511830 82800 ) ( * 87210 )
-      NEW met2 ( 2511830 82800 ) ( 2514590 * )
-      NEW met2 ( 2514590 17340 ) ( * 82800 )
-      NEW met1 ( 1983750 288150 ) ( 1986970 * )
-      NEW met2 ( 1983750 288150 ) ( * 298860 )
-      NEW met2 ( 1983600 298860 ) ( 1983750 * )
-      NEW met2 ( 1983600 298860 ) ( * 300220 0 )
-      NEW met1 ( 1986970 87210 ) ( 2511830 * )
-      NEW met2 ( 1986970 87210 ) ( * 288150 )
-      NEW met1 ( 2511830 87210 ) M1M2_PR
-      NEW met1 ( 1986970 87210 ) M1M2_PR
-      NEW met1 ( 1986970 288150 ) M1M2_PR
-      NEW met1 ( 1983750 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2511830 82800 ) ( 2515050 * )
+      NEW met2 ( 2515050 2380 0 ) ( * 82800 )
+      NEW met2 ( 2511830 82800 ) ( * 273870 )
+      NEW met1 ( 1687050 289170 ) ( 1695330 * )
+      NEW met2 ( 1687050 289170 ) ( * 298860 )
+      NEW met2 ( 1687000 298860 ) ( 1687050 * )
+      NEW met2 ( 1687000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1695330 273870 ) ( * 289170 )
+      NEW met1 ( 1695330 273870 ) ( 2511830 * )
+      NEW met1 ( 2511830 273870 ) M1M2_PR
+      NEW met1 ( 1695330 289170 ) M1M2_PR
+      NEW met1 ( 1687050 289170 ) M1M2_PR
+      NEW met1 ( 1695330 273870 ) M1M2_PR ;
     - la_data_out[107] ( PIN la_data_out[107] ) ( mprj la_data_out[107] ) + USE SIGNAL
-      + ROUTED met2 ( 2156250 51510 ) ( * 287470 )
-      NEW met2 ( 2532530 2380 0 ) ( * 51510 )
-      NEW met2 ( 1988350 287810 ) ( * 298860 )
-      NEW met2 ( 1988350 298860 ) ( 1988400 * )
-      NEW met2 ( 1988400 298860 ) ( * 300220 0 )
-      NEW met1 ( 2111400 287470 ) ( 2156250 * )
-      NEW met1 ( 2111400 287470 ) ( * 287810 )
-      NEW met1 ( 1988350 287810 ) ( 2111400 * )
-      NEW met1 ( 2156250 51510 ) ( 2532530 * )
-      NEW met1 ( 2156250 287470 ) M1M2_PR
-      NEW met1 ( 2156250 51510 ) M1M2_PR
-      NEW met1 ( 2532530 51510 ) M1M2_PR
-      NEW met1 ( 1988350 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 2532530 2380 0 ) ( * 265710 )
+      NEW met2 ( 1690730 298860 ) ( 1690900 * )
+      NEW met2 ( 1690900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1690730 265710 ) ( * 298860 )
+      NEW met1 ( 1690730 265710 ) ( 2532530 * )
+      NEW met1 ( 2532530 265710 ) M1M2_PR
+      NEW met1 ( 1690730 265710 ) M1M2_PR ;
     - la_data_out[108] ( PIN la_data_out[108] ) ( mprj la_data_out[108] ) + USE SIGNAL
-      + ROUTED met2 ( 2542650 82800 ) ( 2543110 * )
-      NEW met2 ( 2543110 20570 ) ( * 82800 )
-      NEW met2 ( 2542650 82800 ) ( * 281010 )
-      NEW met2 ( 1992950 281010 ) ( * 298860 )
-      NEW met2 ( 1992950 298860 ) ( 1993100 * )
-      NEW met2 ( 1993100 298860 ) ( * 300220 0 )
-      NEW met1 ( 1992950 281010 ) ( 2542650 * )
-      NEW met2 ( 2550470 2380 0 ) ( * 20570 )
-      NEW met1 ( 2543110 20570 ) ( 2550470 * )
-      NEW met1 ( 2543110 20570 ) M1M2_PR
-      NEW met1 ( 2542650 281010 ) M1M2_PR
-      NEW met1 ( 1992950 281010 ) M1M2_PR
-      NEW met1 ( 2550470 20570 ) M1M2_PR ;
+      + ROUTED met1 ( 1694870 288830 ) ( 1697170 * )
+      NEW met2 ( 1694870 288830 ) ( * 298860 )
+      NEW met2 ( 1694800 298860 ) ( 1694870 * )
+      NEW met2 ( 1694800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2550470 2380 0 ) ( * 28390 )
+      NEW met1 ( 1697170 28390 ) ( 2550470 * )
+      NEW met2 ( 1697170 28390 ) ( * 288830 )
+      NEW met1 ( 1697170 28390 ) M1M2_PR
+      NEW met1 ( 1697170 288830 ) M1M2_PR
+      NEW met1 ( 1694870 288830 ) M1M2_PR
+      NEW met1 ( 2550470 28390 ) M1M2_PR ;
     - la_data_out[109] ( PIN la_data_out[109] ) ( mprj la_data_out[109] ) + USE SIGNAL
-      + ROUTED met1 ( 2000310 29070 ) ( 2013190 * )
-      NEW li1 ( 2013190 28050 ) ( * 29070 )
-      NEW met1 ( 1998010 285090 ) ( 2000770 * )
-      NEW met2 ( 1998010 285090 ) ( * 298860 )
-      NEW met2 ( 1997800 298860 ) ( 1998010 * )
-      NEW met2 ( 1997800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2567950 2380 0 ) ( * 28050 )
-      NEW met1 ( 2013190 28050 ) ( 2567950 * )
-      NEW met2 ( 2000310 29070 ) ( * 34500 )
-      NEW met2 ( 2000310 34500 ) ( 2000770 * )
-      NEW met2 ( 2000770 34500 ) ( * 285090 )
-      NEW met1 ( 2000310 29070 ) M1M2_PR
-      NEW li1 ( 2013190 29070 ) L1M1_PR_MR
-      NEW li1 ( 2013190 28050 ) L1M1_PR_MR
-      NEW met1 ( 2000770 285090 ) M1M2_PR
-      NEW met1 ( 1998010 285090 ) M1M2_PR
-      NEW met1 ( 2567950 28050 ) M1M2_PR ;
+      + ROUTED met1 ( 1698550 288830 ) ( 1704070 * )
+      NEW met2 ( 1698550 288830 ) ( * 298860 )
+      NEW met2 ( 1698550 298860 ) ( 1698700 * )
+      NEW met2 ( 1698700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2567950 2380 0 ) ( * 28730 )
+      NEW met1 ( 1704070 28730 ) ( 2567950 * )
+      NEW met2 ( 1704070 28730 ) ( * 288830 )
+      NEW met1 ( 1704070 28730 ) M1M2_PR
+      NEW met1 ( 1704070 288830 ) M1M2_PR
+      NEW met1 ( 1698550 288830 ) M1M2_PR
+      NEW met1 ( 2567950 28730 ) M1M2_PR ;
     - la_data_out[10] ( PIN la_data_out[10] ) ( mprj la_data_out[10] ) + USE SIGNAL
-      + ROUTED met2 ( 1525100 298860 ) ( 1525590 * )
-      NEW met2 ( 1525100 298860 ) ( * 300220 0 )
-      NEW met2 ( 812590 2380 0 ) ( * 35870 )
-      NEW met1 ( 812590 35870 ) ( 1525590 * )
-      NEW met2 ( 1525590 35870 ) ( * 298860 )
-      NEW met1 ( 812590 35870 ) M1M2_PR
-      NEW met1 ( 1525590 35870 ) M1M2_PR ;
+      + ROUTED met2 ( 1311230 298860 ) ( 1312200 * )
+      NEW met2 ( 1312200 298860 ) ( * 300220 0 )
+      NEW met2 ( 812590 2380 0 ) ( * 45730 )
+      NEW met1 ( 812590 45730 ) ( 1311230 * )
+      NEW met2 ( 1311230 45730 ) ( * 298860 )
+      NEW met1 ( 812590 45730 ) M1M2_PR
+      NEW met1 ( 1311230 45730 ) M1M2_PR ;
     - la_data_out[110] ( PIN la_data_out[110] ) ( mprj la_data_out[110] ) + USE SIGNAL
-      + ROUTED met1 ( 2002610 288150 ) ( 2007670 * )
-      NEW met2 ( 2002610 288150 ) ( * 298860 )
-      NEW met2 ( 2002600 298860 ) ( 2002610 * )
-      NEW met2 ( 2002600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2585890 2380 0 ) ( * 28390 )
-      NEW met1 ( 2007670 28390 ) ( 2585890 * )
-      NEW met2 ( 2007670 28390 ) ( * 288150 )
-      NEW met1 ( 2007670 28390 ) M1M2_PR
-      NEW met1 ( 2007670 288150 ) M1M2_PR
-      NEW met1 ( 2002610 288150 ) M1M2_PR
-      NEW met1 ( 2585890 28390 ) M1M2_PR ;
+      + ROUTED met2 ( 1702600 298860 ) ( 1703610 * )
+      NEW met2 ( 1702600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2585890 2380 0 ) ( * 29070 )
+      NEW met1 ( 1703610 29070 ) ( 2585890 * )
+      NEW met2 ( 1703610 29070 ) ( * 298860 )
+      NEW met1 ( 1703610 29070 ) M1M2_PR
+      NEW met1 ( 2585890 29070 ) M1M2_PR ;
     - la_data_out[111] ( PIN la_data_out[111] ) ( mprj la_data_out[111] ) + USE SIGNAL
-      + ROUTED met2 ( 2603830 2380 0 ) ( * 28730 )
-      NEW met2 ( 2007210 298860 ) ( 2007400 * )
-      NEW met2 ( 2007400 298860 ) ( * 300220 0 )
-      NEW met1 ( 2007210 28730 ) ( 2603830 * )
-      NEW met2 ( 2007210 28730 ) ( * 298860 )
-      NEW met1 ( 2603830 28730 ) M1M2_PR
-      NEW met1 ( 2007210 28730 ) M1M2_PR ;
-    - la_data_out[112] ( PIN la_data_out[112] ) ( mprj la_data_out[112] ) + USE SIGNAL
-      + ROUTED met2 ( 2621310 2380 0 ) ( * 29070 )
-      NEW met1 ( 2012270 285770 ) ( 2014570 * )
-      NEW met2 ( 2012270 285770 ) ( * 298860 )
-      NEW met2 ( 2012200 298860 ) ( 2012270 * )
-      NEW met2 ( 2012200 298860 ) ( * 300220 0 )
-      NEW met1 ( 2014570 29070 ) ( 2621310 * )
-      NEW met2 ( 2014570 29070 ) ( * 285770 )
-      NEW met1 ( 2621310 29070 ) M1M2_PR
-      NEW met1 ( 2014570 29070 ) M1M2_PR
-      NEW met1 ( 2014570 285770 ) M1M2_PR
-      NEW met1 ( 2012270 285770 ) M1M2_PR ;
-    - la_data_out[113] ( PIN la_data_out[113] ) ( mprj la_data_out[113] ) + USE SIGNAL
-      + ROUTED met1 ( 2021470 30770 ) ( 2048610 * )
-      NEW li1 ( 2048610 29410 ) ( * 30770 )
-      NEW met1 ( 2016870 287470 ) ( 2021470 * )
-      NEW met2 ( 2016870 287470 ) ( * 298860 )
-      NEW met2 ( 2016870 298860 ) ( 2017000 * )
-      NEW met2 ( 2017000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2639250 2380 0 ) ( * 29410 )
-      NEW met2 ( 2021470 30770 ) ( * 287470 )
-      NEW met1 ( 2048610 29410 ) ( 2639250 * )
-      NEW met1 ( 2021470 30770 ) M1M2_PR
-      NEW li1 ( 2048610 30770 ) L1M1_PR_MR
-      NEW li1 ( 2048610 29410 ) L1M1_PR_MR
-      NEW met1 ( 2021470 287470 ) M1M2_PR
-      NEW met1 ( 2016870 287470 ) M1M2_PR
-      NEW met1 ( 2639250 29410 ) M1M2_PR ;
-    - la_data_out[114] ( PIN la_data_out[114] ) ( mprj la_data_out[114] ) + USE SIGNAL
-      + ROUTED met1 ( 2021930 287470 ) ( 2028370 * )
-      NEW met2 ( 2021930 287470 ) ( * 298860 )
-      NEW met2 ( 2021800 298860 ) ( 2021930 * )
-      NEW met2 ( 2021800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2028370 29750 ) ( * 287470 )
-      NEW met2 ( 2656730 2380 0 ) ( * 29750 )
-      NEW met1 ( 2028370 29750 ) ( 2656730 * )
-      NEW met1 ( 2028370 29750 ) M1M2_PR
-      NEW met1 ( 2028370 287470 ) M1M2_PR
-      NEW met1 ( 2021930 287470 ) M1M2_PR
-      NEW met1 ( 2656730 29750 ) M1M2_PR ;
-    - la_data_out[115] ( PIN la_data_out[115] ) ( mprj la_data_out[115] ) + USE SIGNAL
-      + ROUTED met2 ( 2026700 298860 ) ( 2027910 * )
-      NEW met2 ( 2026700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2027910 30090 ) ( * 298860 )
-      NEW met2 ( 2674670 2380 0 ) ( * 30090 )
-      NEW met1 ( 2027910 30090 ) ( 2674670 * )
-      NEW met1 ( 2027910 30090 ) M1M2_PR
-      NEW met1 ( 2674670 30090 ) M1M2_PR ;
-    - la_data_out[116] ( PIN la_data_out[116] ) ( mprj la_data_out[116] ) + USE SIGNAL
-      + ROUTED met1 ( 2031590 287470 ) ( 2035270 * )
-      NEW met2 ( 2031590 287470 ) ( * 298860 )
-      NEW met2 ( 2031400 298860 ) ( 2031590 * )
-      NEW met2 ( 2031400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2692150 2380 0 ) ( * 30430 )
-      NEW met2 ( 2035270 30430 ) ( * 287470 )
-      NEW met1 ( 2035270 30430 ) ( 2692150 * )
-      NEW met1 ( 2035270 30430 ) M1M2_PR
-      NEW met1 ( 2035270 287470 ) M1M2_PR
-      NEW met1 ( 2031590 287470 ) M1M2_PR
-      NEW met1 ( 2692150 30430 ) M1M2_PR ;
-    - la_data_out[117] ( PIN la_data_out[117] ) ( mprj la_data_out[117] ) + USE SIGNAL
-      + ROUTED met1 ( 2036190 287470 ) ( 2041710 * )
-      NEW met2 ( 2036190 287470 ) ( * 298860 )
-      NEW met2 ( 2036190 298860 ) ( 2036200 * )
-      NEW met2 ( 2036200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2710090 2380 0 ) ( * 34170 )
-      NEW met2 ( 2041710 34170 ) ( * 287470 )
-      NEW met1 ( 2041710 34170 ) ( 2710090 * )
-      NEW met1 ( 2041710 34170 ) M1M2_PR
-      NEW met1 ( 2041710 287470 ) M1M2_PR
-      NEW met1 ( 2036190 287470 ) M1M2_PR
-      NEW met1 ( 2710090 34170 ) M1M2_PR ;
-    - la_data_out[118] ( PIN la_data_out[118] ) ( mprj la_data_out[118] ) + USE SIGNAL
-      + ROUTED met2 ( 2040900 298860 ) ( 2042170 * )
-      NEW met2 ( 2040900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2727570 2380 0 ) ( * 33830 )
-      NEW met2 ( 2042170 33830 ) ( * 298860 )
-      NEW met1 ( 2042170 33830 ) ( 2727570 * )
-      NEW met1 ( 2042170 33830 ) M1M2_PR
-      NEW met1 ( 2727570 33830 ) M1M2_PR ;
-    - la_data_out[119] ( PIN la_data_out[119] ) ( mprj la_data_out[119] ) + USE SIGNAL
-      + ROUTED met1 ( 2045850 287470 ) ( 2049070 * )
-      NEW met2 ( 2045850 287470 ) ( * 298860 )
-      NEW met2 ( 2045700 298860 ) ( 2045850 * )
-      NEW met2 ( 2045700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2049070 33490 ) ( * 287470 )
-      NEW met2 ( 2745510 2380 0 ) ( * 33490 )
-      NEW met1 ( 2049070 33490 ) ( 2745510 * )
-      NEW met1 ( 2049070 33490 ) M1M2_PR
-      NEW met1 ( 2049070 287470 ) M1M2_PR
-      NEW met1 ( 2045850 287470 ) M1M2_PR
-      NEW met1 ( 2745510 33490 ) M1M2_PR ;
-    - la_data_out[11] ( PIN la_data_out[11] ) ( mprj la_data_out[11] ) + USE SIGNAL
-      + ROUTED met1 ( 1525130 288150 ) ( 1529730 * )
-      NEW met2 ( 1529730 288150 ) ( * 298860 )
-      NEW met2 ( 1529730 298860 ) ( 1529800 * )
-      NEW met2 ( 1529800 298860 ) ( * 300220 0 )
-      NEW met2 ( 830530 2380 0 ) ( * 35530 )
-      NEW met1 ( 830530 35530 ) ( 1525130 * )
-      NEW met2 ( 1525130 35530 ) ( * 288150 )
-      NEW met1 ( 1525130 288150 ) M1M2_PR
-      NEW met1 ( 1529730 288150 ) M1M2_PR
-      NEW met1 ( 830530 35530 ) M1M2_PR
-      NEW met1 ( 1525130 35530 ) M1M2_PR ;
-    - la_data_out[120] ( PIN la_data_out[120] ) ( mprj la_data_out[120] ) + USE SIGNAL
-      + ROUTED met1 ( 2050450 287470 ) ( 2055510 * )
-      NEW met2 ( 2050450 287470 ) ( * 298860 )
-      NEW met2 ( 2050450 298860 ) ( 2050500 * )
-      NEW met2 ( 2050500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2055510 33150 ) ( * 287470 )
-      NEW met2 ( 2763450 2380 0 ) ( * 33150 )
-      NEW met1 ( 2055510 33150 ) ( 2763450 * )
-      NEW met1 ( 2055510 33150 ) M1M2_PR
-      NEW met1 ( 2055510 287470 ) M1M2_PR
-      NEW met1 ( 2050450 287470 ) M1M2_PR
-      NEW met1 ( 2763450 33150 ) M1M2_PR ;
-    - la_data_out[121] ( PIN la_data_out[121] ) ( mprj la_data_out[121] ) + USE SIGNAL
-      + ROUTED met2 ( 2055300 298860 ) ( 2055970 * )
-      NEW met2 ( 2055300 298860 ) ( * 300220 0 )
-      NEW met2 ( 2055970 32810 ) ( * 298860 )
-      NEW met2 ( 2780930 2380 0 ) ( * 32810 )
-      NEW met1 ( 2055970 32810 ) ( 2780930 * )
-      NEW met1 ( 2055970 32810 ) M1M2_PR
-      NEW met1 ( 2780930 32810 ) M1M2_PR ;
-    - la_data_out[122] ( PIN la_data_out[122] ) ( mprj la_data_out[122] ) + USE SIGNAL
-      + ROUTED met1 ( 2060110 287470 ) ( 2062870 * )
-      NEW met2 ( 2060110 287470 ) ( * 298860 )
-      NEW met2 ( 2060000 298860 ) ( 2060110 * )
-      NEW met2 ( 2060000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2798870 2380 0 ) ( * 32470 )
-      NEW met2 ( 2062870 32470 ) ( * 287470 )
-      NEW met1 ( 2062870 32470 ) ( 2798870 * )
-      NEW met1 ( 2062870 32470 ) M1M2_PR
-      NEW met1 ( 2062870 287470 ) M1M2_PR
-      NEW met1 ( 2060110 287470 ) M1M2_PR
-      NEW met1 ( 2798870 32470 ) M1M2_PR ;
-    - la_data_out[123] ( PIN la_data_out[123] ) ( mprj la_data_out[123] ) + USE SIGNAL
-      + ROUTED met2 ( 2816350 2380 0 ) ( * 32130 )
-      NEW met1 ( 2064710 287470 ) ( 2069770 * )
-      NEW met2 ( 2064710 287470 ) ( * 298860 )
-      NEW met2 ( 2064710 298860 ) ( 2064800 * )
-      NEW met2 ( 2064800 298860 ) ( * 300220 0 )
-      NEW met1 ( 2069770 32130 ) ( 2816350 * )
-      NEW met2 ( 2069770 32130 ) ( * 287470 )
-      NEW met1 ( 2816350 32130 ) M1M2_PR
-      NEW met1 ( 2069770 32130 ) M1M2_PR
-      NEW met1 ( 2069770 287470 ) M1M2_PR
-      NEW met1 ( 2064710 287470 ) M1M2_PR ;
-    - la_data_out[124] ( PIN la_data_out[124] ) ( mprj la_data_out[124] ) + USE SIGNAL
-      + ROUTED met2 ( 2834290 2380 0 ) ( * 31790 )
-      NEW met2 ( 2069310 298860 ) ( 2069600 * )
-      NEW met2 ( 2069600 298860 ) ( * 300220 0 )
-      NEW met1 ( 2069310 31790 ) ( 2834290 * )
-      NEW met2 ( 2069310 31790 ) ( * 298860 )
-      NEW met1 ( 2834290 31790 ) M1M2_PR
-      NEW met1 ( 2069310 31790 ) M1M2_PR ;
-    - la_data_out[125] ( PIN la_data_out[125] ) ( mprj la_data_out[125] ) + USE SIGNAL
-      + ROUTED met1 ( 2074370 287470 ) ( 2076670 * )
-      NEW met2 ( 2074370 287470 ) ( * 298860 )
-      NEW met2 ( 2074370 298860 ) ( 2074400 * )
-      NEW met2 ( 2074400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2851770 2380 0 ) ( * 31450 )
-      NEW met1 ( 2076670 31450 ) ( 2851770 * )
-      NEW met2 ( 2076670 31450 ) ( * 287470 )
-      NEW met1 ( 2076670 31450 ) M1M2_PR
-      NEW met1 ( 2076670 287470 ) M1M2_PR
-      NEW met1 ( 2074370 287470 ) M1M2_PR
-      NEW met1 ( 2851770 31450 ) M1M2_PR ;
-    - la_data_out[126] ( PIN la_data_out[126] ) ( mprj la_data_out[126] ) + USE SIGNAL
-      + ROUTED met1 ( 2079430 287470 ) ( 2083570 * )
-      NEW met2 ( 2079430 287470 ) ( * 298860 )
-      NEW met2 ( 2079200 298860 ) ( 2079430 * )
-      NEW met2 ( 2079200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2869710 2380 0 ) ( * 31110 )
-      NEW met1 ( 2083570 31110 ) ( 2869710 * )
-      NEW met2 ( 2083570 31110 ) ( * 287470 )
-      NEW met1 ( 2083570 31110 ) M1M2_PR
-      NEW met1 ( 2083570 287470 ) M1M2_PR
-      NEW met1 ( 2079430 287470 ) M1M2_PR
-      NEW met1 ( 2869710 31110 ) M1M2_PR ;
-    - la_data_out[127] ( PIN la_data_out[127] ) ( mprj la_data_out[127] ) + USE SIGNAL
-      + ROUTED met2 ( 2887190 2380 0 ) ( * 30770 )
-      NEW met1 ( 2084490 287470 ) ( 2090470 * )
-      NEW met2 ( 2084490 287470 ) ( * 299540 )
-      NEW met2 ( 2084000 299540 ) ( 2084490 * )
-      NEW met2 ( 2084000 299540 ) ( * 300220 0 )
-      NEW met1 ( 2090470 30770 ) ( 2887190 * )
-      NEW met2 ( 2090470 30770 ) ( * 287470 )
-      NEW met1 ( 2887190 30770 ) M1M2_PR
-      NEW met1 ( 2090470 30770 ) M1M2_PR
-      NEW met1 ( 2090470 287470 ) M1M2_PR
-      NEW met1 ( 2084490 287470 ) M1M2_PR ;
-    - la_data_out[12] ( PIN la_data_out[12] ) ( mprj la_data_out[12] ) + USE SIGNAL
-      + ROUTED met2 ( 1532030 298860 ) ( 1534600 * )
-      NEW met2 ( 1534600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1532030 35190 ) ( * 298860 )
-      NEW met2 ( 848010 2380 0 ) ( * 35190 )
-      NEW met1 ( 848010 35190 ) ( 1532030 * )
-      NEW met1 ( 1532030 35190 ) M1M2_PR
-      NEW met1 ( 848010 35190 ) M1M2_PR ;
-    - la_data_out[13] ( PIN la_data_out[13] ) ( mprj la_data_out[13] ) + USE SIGNAL
-      + ROUTED met2 ( 865950 2380 0 ) ( * 15980 )
-      NEW met2 ( 865950 15980 ) ( 866410 * )
-      NEW met2 ( 866410 15980 ) ( * 32810 )
-      NEW met1 ( 1535250 288830 ) ( 1539390 * )
-      NEW met2 ( 1539390 288830 ) ( * 298860 )
-      NEW met2 ( 1539390 298860 ) ( 1539400 * )
-      NEW met2 ( 1539400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1535250 32810 ) ( * 288830 )
-      NEW met1 ( 866410 32810 ) ( 1535250 * )
-      NEW met1 ( 866410 32810 ) M1M2_PR
-      NEW met1 ( 1535250 32810 ) M1M2_PR
-      NEW met1 ( 1535250 288830 ) M1M2_PR
-      NEW met1 ( 1539390 288830 ) M1M2_PR ;
-    - la_data_out[14] ( PIN la_data_out[14] ) ( mprj la_data_out[14] ) + USE SIGNAL
-      + ROUTED met2 ( 883430 2380 0 ) ( * 16830 )
-      NEW met1 ( 883430 16830 ) ( 900450 * )
-      NEW met2 ( 1543990 280670 ) ( * 298860 )
-      NEW met2 ( 1543990 298860 ) ( 1544200 * )
-      NEW met2 ( 1544200 298860 ) ( * 300220 0 )
-      NEW met2 ( 900450 16830 ) ( * 280670 )
-      NEW met1 ( 900450 280670 ) ( 1543990 * )
-      NEW met1 ( 883430 16830 ) M1M2_PR
-      NEW met1 ( 900450 16830 ) M1M2_PR
-      NEW met1 ( 900450 280670 ) M1M2_PR
-      NEW met1 ( 1543990 280670 ) M1M2_PR ;
-    - la_data_out[15] ( PIN la_data_out[15] ) ( mprj la_data_out[15] ) + USE SIGNAL
-      + ROUTED met2 ( 901370 2380 0 ) ( * 20570 )
-      NEW met2 ( 1545830 298860 ) ( 1549100 * )
-      NEW met2 ( 1549100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1545830 81430 ) ( * 298860 )
-      NEW met1 ( 901370 20570 ) ( 914250 * )
-      NEW met2 ( 914250 20570 ) ( * 81430 )
-      NEW met1 ( 914250 81430 ) ( 1545830 * )
-      NEW met1 ( 901370 20570 ) M1M2_PR
-      NEW met1 ( 1545830 81430 ) M1M2_PR
-      NEW met1 ( 914250 20570 ) M1M2_PR
-      NEW met1 ( 914250 81430 ) M1M2_PR ;
-    - la_data_out[16] ( PIN la_data_out[16] ) ( mprj la_data_out[16] ) + USE SIGNAL
-      + ROUTED met1 ( 1549050 288830 ) ( 1552730 * )
-      NEW met2 ( 1552730 288830 ) ( * 299540 )
-      NEW met2 ( 1552730 299540 ) ( 1553900 * )
-      NEW met2 ( 1553900 299540 ) ( * 300220 0 )
-      NEW met2 ( 1549050 141270 ) ( * 288830 )
-      NEW met2 ( 918850 2380 0 ) ( * 16830 )
-      NEW met1 ( 918850 16830 ) ( 924370 * )
-      NEW met2 ( 924370 16830 ) ( * 141270 )
-      NEW met1 ( 924370 141270 ) ( 1549050 * )
-      NEW met1 ( 1549050 288830 ) M1M2_PR
-      NEW met1 ( 1552730 288830 ) M1M2_PR
-      NEW met1 ( 1549050 141270 ) M1M2_PR
-      NEW met1 ( 918850 16830 ) M1M2_PR
-      NEW met1 ( 924370 16830 ) M1M2_PR
-      NEW met1 ( 924370 141270 ) M1M2_PR ;
-    - la_data_out[17] ( PIN la_data_out[17] ) ( mprj la_data_out[17] ) + USE SIGNAL
-      + ROUTED met2 ( 1553190 298860 ) ( 1558700 * )
-      NEW met2 ( 1558700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1553190 128010 ) ( * 298860 )
-      NEW met1 ( 938170 128010 ) ( 1553190 * )
-      NEW met2 ( 936790 2380 0 ) ( * 34500 )
-      NEW met2 ( 936790 34500 ) ( 938170 * )
-      NEW met2 ( 938170 34500 ) ( * 128010 )
-      NEW met1 ( 1553190 128010 ) M1M2_PR
-      NEW met1 ( 938170 128010 ) M1M2_PR ;
-    - la_data_out[18] ( PIN la_data_out[18] ) ( mprj la_data_out[18] ) + USE SIGNAL
-      + ROUTED met2 ( 954270 2380 0 ) ( * 16830 )
-      NEW met1 ( 954270 16830 ) ( 958410 * )
-      NEW met2 ( 1559630 298860 ) ( 1563300 * )
-      NEW met2 ( 1563300 298860 ) ( * 300220 0 )
-      NEW met2 ( 958410 16830 ) ( * 120530 )
-      NEW met2 ( 1559630 120530 ) ( * 298860 )
-      NEW met1 ( 958410 120530 ) ( 1559630 * )
-      NEW met1 ( 954270 16830 ) M1M2_PR
-      NEW met1 ( 958410 16830 ) M1M2_PR
-      NEW met1 ( 958410 120530 ) M1M2_PR
-      NEW met1 ( 1559630 120530 ) M1M2_PR ;
-    - la_data_out[19] ( PIN la_data_out[19] ) ( mprj la_data_out[19] ) + USE SIGNAL
-      + ROUTED met2 ( 972210 2380 0 ) ( * 16830 )
-      NEW met1 ( 972210 16830 ) ( 976810 * )
-      NEW met2 ( 1566530 298860 ) ( 1568100 * )
-      NEW met2 ( 1568100 298860 ) ( * 300220 0 )
-      NEW met2 ( 976810 16830 ) ( * 175950 )
-      NEW met2 ( 1566530 175950 ) ( * 298860 )
-      NEW met1 ( 976810 175950 ) ( 1566530 * )
-      NEW met1 ( 972210 16830 ) M1M2_PR
-      NEW met1 ( 976810 16830 ) M1M2_PR
-      NEW met1 ( 976810 175950 ) M1M2_PR
-      NEW met1 ( 1566530 175950 ) M1M2_PR ;
-    - la_data_out[1] ( PIN la_data_out[1] ) ( mprj la_data_out[1] ) + USE SIGNAL
-      + ROUTED met2 ( 1478670 298860 ) ( 1482100 * )
-      NEW met2 ( 1482100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1477290 134470 ) ( * 227700 )
-      NEW met2 ( 1477290 227700 ) ( 1478670 * )
-      NEW met2 ( 1478670 227700 ) ( * 298860 )
-      NEW met2 ( 652970 2380 0 ) ( * 3060 )
-      NEW met2 ( 652970 3060 ) ( 653890 * )
-      NEW met2 ( 653890 2380 ) ( * 3060 )
-      NEW met2 ( 653890 2380 ) ( 655270 * )
-      NEW met2 ( 655270 2380 ) ( * 134470 )
-      NEW met1 ( 655270 134470 ) ( 1477290 * )
-      NEW met1 ( 1477290 134470 ) M1M2_PR
-      NEW met1 ( 655270 134470 ) M1M2_PR ;
-    - la_data_out[20] ( PIN la_data_out[20] ) ( mprj la_data_out[20] ) + USE SIGNAL
-      + ROUTED met2 ( 989690 2380 0 ) ( * 33150 )
-      NEW met2 ( 1570670 298860 ) ( 1572900 * )
-      NEW met2 ( 1572900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1567450 33150 ) ( * 227700 )
-      NEW met2 ( 1567450 227700 ) ( 1570670 * )
-      NEW met2 ( 1570670 227700 ) ( * 298860 )
-      NEW met1 ( 989690 33150 ) ( 1567450 * )
-      NEW met1 ( 989690 33150 ) M1M2_PR
-      NEW met1 ( 1567450 33150 ) M1M2_PR ;
-    - la_data_out[21] ( PIN la_data_out[21] ) ( mprj la_data_out[21] ) + USE SIGNAL
-      + ROUTED met2 ( 1573430 298860 ) ( 1577700 * )
-      NEW met2 ( 1577700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1573430 45050 ) ( * 298860 )
-      NEW met2 ( 1007630 2380 0 ) ( * 45050 )
-      NEW met1 ( 1007630 45050 ) ( 1573430 * )
-      NEW met1 ( 1573430 45050 ) M1M2_PR
-      NEW met1 ( 1007630 45050 ) M1M2_PR ;
-    - la_data_out[22] ( PIN la_data_out[22] ) ( mprj la_data_out[22] ) + USE SIGNAL
-      + ROUTED met2 ( 1580330 298860 ) ( 1582500 * )
-      NEW met2 ( 1582500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1025570 2380 0 ) ( * 45390 )
-      NEW met1 ( 1025570 45390 ) ( 1580330 * )
-      NEW met2 ( 1580330 45390 ) ( * 298860 )
-      NEW met1 ( 1025570 45390 ) M1M2_PR
-      NEW met1 ( 1580330 45390 ) M1M2_PR ;
-    - la_data_out[23] ( PIN la_data_out[23] ) ( mprj la_data_out[23] ) + USE SIGNAL
-      + ROUTED met2 ( 1587300 298860 ) ( 1587690 * )
-      NEW met2 ( 1587300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1043050 2380 0 ) ( * 45730 )
-      NEW met1 ( 1043050 45730 ) ( 1587690 * )
-      NEW met2 ( 1587690 45730 ) ( * 298860 )
-      NEW met1 ( 1043050 45730 ) M1M2_PR
-      NEW met1 ( 1587690 45730 ) M1M2_PR ;
-    - la_data_out[24] ( PIN la_data_out[24] ) ( mprj la_data_out[24] ) + USE SIGNAL
-      + ROUTED met2 ( 1060990 2380 0 ) ( * 46070 )
-      NEW met1 ( 1587230 288830 ) ( 1591830 * )
-      NEW met2 ( 1591830 288830 ) ( * 298860 )
-      NEW met2 ( 1591830 298860 ) ( 1592000 * )
-      NEW met2 ( 1592000 298860 ) ( * 300220 0 )
-      NEW met1 ( 1060990 46070 ) ( 1587230 * )
-      NEW met2 ( 1587230 46070 ) ( * 288830 )
-      NEW met1 ( 1060990 46070 ) M1M2_PR
-      NEW met1 ( 1587230 288830 ) M1M2_PR
-      NEW met1 ( 1591830 288830 ) M1M2_PR
-      NEW met1 ( 1587230 46070 ) M1M2_PR ;
-    - la_data_out[25] ( PIN la_data_out[25] ) ( mprj la_data_out[25] ) + USE SIGNAL
-      + ROUTED met2 ( 1078470 2380 0 ) ( * 46410 )
-      NEW met2 ( 1594130 298860 ) ( 1596800 * )
-      NEW met2 ( 1596800 298860 ) ( * 300220 0 )
-      NEW met1 ( 1078470 46410 ) ( 1594130 * )
-      NEW met2 ( 1594130 46410 ) ( * 298860 )
-      NEW met1 ( 1078470 46410 ) M1M2_PR
-      NEW met1 ( 1594130 46410 ) M1M2_PR ;
-    - la_data_out[26] ( PIN la_data_out[26] ) ( mprj la_data_out[26] ) + USE SIGNAL
-      + ROUTED met2 ( 1096410 2380 0 ) ( * 46750 )
-      NEW met2 ( 1601490 298860 ) ( 1601600 * )
-      NEW met2 ( 1601600 298860 ) ( * 300220 0 )
-      NEW met1 ( 1096410 46750 ) ( 1601490 * )
-      NEW met2 ( 1601490 46750 ) ( * 298860 )
-      NEW met1 ( 1096410 46750 ) M1M2_PR
-      NEW met1 ( 1601490 46750 ) M1M2_PR ;
-    - la_data_out[27] ( PIN la_data_out[27] ) ( mprj la_data_out[27] ) + USE SIGNAL
-      + ROUTED met2 ( 1601950 298860 ) ( 1606400 * )
-      NEW met2 ( 1606400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1113890 2380 0 ) ( * 47090 )
-      NEW met1 ( 1113890 47090 ) ( 1601950 * )
-      NEW met2 ( 1601950 47090 ) ( * 298860 )
-      NEW met1 ( 1113890 47090 ) M1M2_PR
-      NEW met1 ( 1601950 47090 ) M1M2_PR ;
-    - la_data_out[28] ( PIN la_data_out[28] ) ( mprj la_data_out[28] ) + USE SIGNAL
-      + ROUTED met2 ( 1607930 298860 ) ( 1611100 * )
-      NEW met2 ( 1611100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1131830 2380 0 ) ( * 47430 )
-      NEW met1 ( 1131830 47430 ) ( 1607930 * )
-      NEW met2 ( 1607930 47430 ) ( * 298860 )
-      NEW met1 ( 1131830 47430 ) M1M2_PR
-      NEW met1 ( 1607930 47430 ) M1M2_PR ;
-    - la_data_out[29] ( PIN la_data_out[29] ) ( mprj la_data_out[29] ) + USE SIGNAL
-      + ROUTED met2 ( 1149310 2380 0 ) ( * 47770 )
-      NEW met2 ( 1615290 298860 ) ( 1615900 * )
-      NEW met2 ( 1615900 298860 ) ( * 300220 0 )
-      NEW met1 ( 1149310 47770 ) ( 1615290 * )
-      NEW met2 ( 1615290 47770 ) ( * 298860 )
-      NEW met1 ( 1149310 47770 ) M1M2_PR
-      NEW met1 ( 1615290 47770 ) M1M2_PR ;
-    - la_data_out[2] ( PIN la_data_out[2] ) ( mprj la_data_out[2] ) + USE SIGNAL
-      + ROUTED met2 ( 670910 2380 0 ) ( * 44710 )
-      NEW met2 ( 1483730 298860 ) ( 1486900 * )
-      NEW met2 ( 1486900 298860 ) ( * 300220 0 )
-      NEW met1 ( 670910 44710 ) ( 1483730 * )
-      NEW met2 ( 1483730 44710 ) ( * 298860 )
-      NEW met1 ( 670910 44710 ) M1M2_PR
-      NEW met1 ( 1483730 44710 ) M1M2_PR ;
-    - la_data_out[30] ( PIN la_data_out[30] ) ( mprj la_data_out[30] ) + USE SIGNAL
-      + ROUTED met2 ( 1167250 2380 0 ) ( * 48110 )
-      NEW met1 ( 1614830 299030 ) ( 1620600 * )
-      NEW met2 ( 1620600 299030 ) ( * 300220 0 )
-      NEW met1 ( 1167250 48110 ) ( 1614830 * )
-      NEW met2 ( 1614830 48110 ) ( * 299030 )
-      NEW met1 ( 1167250 48110 ) M1M2_PR
-      NEW met1 ( 1614830 299030 ) M1M2_PR
-      NEW met1 ( 1620600 299030 ) M1M2_PR
-      NEW met1 ( 1614830 48110 ) M1M2_PR ;
-    - la_data_out[31] ( PIN la_data_out[31] ) ( mprj la_data_out[31] ) + USE SIGNAL
-      + ROUTED met2 ( 1185190 2380 0 ) ( * 44370 )
-      NEW met2 ( 1621730 298860 ) ( 1625400 * )
-      NEW met2 ( 1625400 298860 ) ( * 300220 0 )
-      NEW met1 ( 1185190 44370 ) ( 1621730 * )
-      NEW met2 ( 1621730 44370 ) ( * 298860 )
-      NEW met1 ( 1185190 44370 ) M1M2_PR
-      NEW met1 ( 1621730 44370 ) M1M2_PR ;
-    - la_data_out[32] ( PIN la_data_out[32] ) ( mprj la_data_out[32] ) + USE SIGNAL
-      + ROUTED met2 ( 1629090 298860 ) ( 1630200 * )
-      NEW met2 ( 1630200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1629090 44030 ) ( * 298860 )
-      NEW met2 ( 1202670 2380 0 ) ( * 44030 )
-      NEW met1 ( 1202670 44030 ) ( 1629090 * )
-      NEW met1 ( 1629090 44030 ) M1M2_PR
-      NEW met1 ( 1202670 44030 ) M1M2_PR ;
-    - la_data_out[33] ( PIN la_data_out[33] ) ( mprj la_data_out[33] ) + USE SIGNAL
-      + ROUTED met1 ( 1628630 299030 ) ( 1635000 * )
-      NEW met2 ( 1635000 299030 ) ( * 300220 0 )
-      NEW met2 ( 1628630 43690 ) ( * 299030 )
-      NEW met2 ( 1220610 2380 0 ) ( * 17340 )
-      NEW met2 ( 1220150 17340 ) ( 1220610 * )
-      NEW met2 ( 1220150 17340 ) ( * 43690 )
-      NEW met1 ( 1220150 43690 ) ( 1628630 * )
-      NEW met1 ( 1628630 299030 ) M1M2_PR
-      NEW met1 ( 1635000 299030 ) M1M2_PR
-      NEW met1 ( 1628630 43690 ) M1M2_PR
-      NEW met1 ( 1220150 43690 ) M1M2_PR ;
-    - la_data_out[34] ( PIN la_data_out[34] ) ( mprj la_data_out[34] ) + USE SIGNAL
-      + ROUTED met2 ( 1635530 298860 ) ( 1639800 * )
-      NEW met2 ( 1639800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1635530 43350 ) ( * 298860 )
-      NEW met2 ( 1238090 2380 0 ) ( * 43350 )
-      NEW met1 ( 1238090 43350 ) ( 1635530 * )
-      NEW met1 ( 1635530 43350 ) M1M2_PR
-      NEW met1 ( 1238090 43350 ) M1M2_PR ;
-    - la_data_out[35] ( PIN la_data_out[35] ) ( mprj la_data_out[35] ) + USE SIGNAL
-      + ROUTED met2 ( 1642430 298860 ) ( 1644600 * )
-      NEW met2 ( 1644600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1256030 2380 0 ) ( * 43010 )
-      NEW met2 ( 1642430 43010 ) ( * 298860 )
-      NEW met1 ( 1256030 43010 ) ( 1642430 * )
-      NEW met1 ( 1256030 43010 ) M1M2_PR
-      NEW met1 ( 1642430 43010 ) M1M2_PR ;
-    - la_data_out[36] ( PIN la_data_out[36] ) ( mprj la_data_out[36] ) + USE SIGNAL
-      + ROUTED met2 ( 1649300 298860 ) ( 1649790 * )
-      NEW met2 ( 1649300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1273510 2380 0 ) ( * 42670 )
-      NEW met2 ( 1649790 42670 ) ( * 298860 )
-      NEW met1 ( 1273510 42670 ) ( 1649790 * )
-      NEW met1 ( 1273510 42670 ) M1M2_PR
-      NEW met1 ( 1649790 42670 ) M1M2_PR ;
-    - la_data_out[37] ( PIN la_data_out[37] ) ( mprj la_data_out[37] ) + USE SIGNAL
-      + ROUTED met1 ( 1649330 288830 ) ( 1653930 * )
-      NEW met2 ( 1653930 288830 ) ( * 298860 )
-      NEW met2 ( 1653930 298860 ) ( 1654100 * )
-      NEW met2 ( 1654100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1649330 42330 ) ( * 288830 )
-      NEW met2 ( 1291450 2380 0 ) ( * 42330 )
-      NEW met1 ( 1291450 42330 ) ( 1649330 * )
-      NEW met1 ( 1649330 288830 ) M1M2_PR
-      NEW met1 ( 1653930 288830 ) M1M2_PR
-      NEW met1 ( 1649330 42330 ) M1M2_PR
-      NEW met1 ( 1291450 42330 ) M1M2_PR ;
-    - la_data_out[38] ( PIN la_data_out[38] ) ( mprj la_data_out[38] ) + USE SIGNAL
-      + ROUTED met2 ( 1656230 298860 ) ( 1658800 * )
-      NEW met2 ( 1658800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1656230 41990 ) ( * 298860 )
-      NEW met2 ( 1308930 2380 0 ) ( * 41990 )
-      NEW met1 ( 1308930 41990 ) ( 1656230 * )
-      NEW met1 ( 1656230 41990 ) M1M2_PR
-      NEW met1 ( 1308930 41990 ) M1M2_PR ;
-    - la_data_out[39] ( PIN la_data_out[39] ) ( mprj la_data_out[39] ) + USE SIGNAL
-      + ROUTED met1 ( 1438650 284410 ) ( 1476830 * )
-      NEW li1 ( 1476830 284410 ) ( * 285090 )
-      NEW met2 ( 1663590 285090 ) ( * 298860 )
-      NEW met2 ( 1663590 298860 ) ( 1663600 * )
-      NEW met2 ( 1663600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1438650 20910 ) ( * 284410 )
-      NEW met2 ( 1326870 2380 0 ) ( * 20910 )
-      NEW met1 ( 1326870 20910 ) ( 1438650 * )
-      NEW met1 ( 1476830 285090 ) ( 1663590 * )
-      NEW met1 ( 1438650 20910 ) M1M2_PR
-      NEW met1 ( 1438650 284410 ) M1M2_PR
-      NEW li1 ( 1476830 284410 ) L1M1_PR_MR
-      NEW li1 ( 1476830 285090 ) L1M1_PR_MR
-      NEW met1 ( 1663590 285090 ) M1M2_PR
-      NEW met1 ( 1326870 20910 ) M1M2_PR ;
-    - la_data_out[3] ( PIN la_data_out[3] ) ( mprj la_data_out[3] ) + USE SIGNAL
-      + ROUTED met2 ( 688390 2380 0 ) ( * 73610 )
-      NEW met2 ( 1490630 298860 ) ( 1491700 * )
-      NEW met2 ( 1491700 298860 ) ( * 300220 0 )
-      NEW met1 ( 688390 73610 ) ( 1490630 * )
-      NEW met2 ( 1490630 73610 ) ( * 298860 )
-      NEW met1 ( 688390 73610 ) M1M2_PR
-      NEW met1 ( 1490630 73610 ) M1M2_PR ;
-    - la_data_out[40] ( PIN la_data_out[40] ) ( mprj la_data_out[40] ) + USE SIGNAL
-      + ROUTED met1 ( 1659450 288830 ) ( 1668190 * )
-      NEW met2 ( 1668190 288830 ) ( * 298860 )
-      NEW met2 ( 1668190 298860 ) ( 1668400 * )
-      NEW met2 ( 1668400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1344350 2380 0 ) ( * 34500 )
-      NEW met2 ( 1344350 34500 ) ( 1344810 * )
-      NEW met2 ( 1344810 34500 ) ( * 148070 )
-      NEW met2 ( 1659450 148070 ) ( * 288830 )
-      NEW met1 ( 1344810 148070 ) ( 1659450 * )
-      NEW met1 ( 1659450 288830 ) M1M2_PR
-      NEW met1 ( 1668190 288830 ) M1M2_PR
-      NEW met1 ( 1344810 148070 ) M1M2_PR
-      NEW met1 ( 1659450 148070 ) M1M2_PR ;
-    - la_data_out[41] ( PIN la_data_out[41] ) ( mprj la_data_out[41] ) + USE SIGNAL
-      + ROUTED met2 ( 1362290 2380 0 ) ( * 16830 )
-      NEW met1 ( 1362290 16830 ) ( 1365970 * )
-      NEW met2 ( 1670030 298860 ) ( 1673200 * )
-      NEW met2 ( 1673200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1365970 16830 ) ( * 61710 )
-      NEW met2 ( 1670030 61710 ) ( * 298860 )
-      NEW met1 ( 1365970 61710 ) ( 1670030 * )
-      NEW met1 ( 1362290 16830 ) M1M2_PR
-      NEW met1 ( 1365970 16830 ) M1M2_PR
-      NEW met1 ( 1365970 61710 ) M1M2_PR
-      NEW met1 ( 1670030 61710 ) M1M2_PR ;
-    - la_data_out[42] ( PIN la_data_out[42] ) ( mprj la_data_out[42] ) + USE SIGNAL
-      + ROUTED met2 ( 1380230 2380 0 ) ( * 15130 )
-      NEW met1 ( 1380230 15130 ) ( 1386210 * )
-      NEW met2 ( 1386210 15130 ) ( * 155550 )
-      NEW met2 ( 1677390 298860 ) ( 1677900 * )
-      NEW met2 ( 1677900 298860 ) ( * 300220 0 )
-      NEW met1 ( 1386210 155550 ) ( 1677390 * )
-      NEW met2 ( 1677390 155550 ) ( * 298860 )
-      NEW met1 ( 1380230 15130 ) M1M2_PR
-      NEW met1 ( 1386210 15130 ) M1M2_PR
-      NEW met1 ( 1386210 155550 ) M1M2_PR
-      NEW met1 ( 1677390 155550 ) M1M2_PR ;
-    - la_data_out[43] ( PIN la_data_out[43] ) ( mprj la_data_out[43] ) + USE SIGNAL
-      + ROUTED met2 ( 1397710 2380 0 ) ( * 30090 )
-      NEW met1 ( 1397710 30090 ) ( 1514550 * )
-      NEW met1 ( 1514550 288830 ) ( 1530190 * )
-      NEW li1 ( 1530190 288150 ) ( * 288830 )
-      NEW met2 ( 1682450 288150 ) ( * 298860 )
-      NEW met2 ( 1682450 298860 ) ( 1682700 * )
-      NEW met2 ( 1682700 298860 ) ( * 300220 0 )
-      NEW met1 ( 1530190 288150 ) ( 1682450 * )
-      NEW met2 ( 1514550 30090 ) ( * 288830 )
-      NEW met1 ( 1397710 30090 ) M1M2_PR
-      NEW met1 ( 1514550 30090 ) M1M2_PR
-      NEW met1 ( 1514550 288830 ) M1M2_PR
-      NEW li1 ( 1530190 288830 ) L1M1_PR_MR
-      NEW li1 ( 1530190 288150 ) L1M1_PR_MR
-      NEW met1 ( 1682450 288150 ) M1M2_PR ;
-    - la_data_out[44] ( PIN la_data_out[44] ) ( mprj la_data_out[44] ) + USE SIGNAL
-      + ROUTED met2 ( 1415650 2380 0 ) ( * 21590 )
-      NEW met1 ( 1415650 21590 ) ( 1424850 * )
-      NEW met1 ( 1425310 285090 ) ( 1428530 * )
-      NEW met1 ( 1428530 285090 ) ( * 285430 )
-      NEW met2 ( 1687510 285430 ) ( * 298860 )
-      NEW met2 ( 1687500 298860 ) ( 1687510 * )
-      NEW met2 ( 1687500 298860 ) ( * 300220 0 )
-      NEW met1 ( 1428530 285430 ) ( 1687510 * )
-      NEW met2 ( 1424850 21590 ) ( * 227700 )
-      NEW met2 ( 1424850 227700 ) ( 1425310 * )
-      NEW met2 ( 1425310 227700 ) ( * 285090 )
-      NEW met1 ( 1415650 21590 ) M1M2_PR
-      NEW met1 ( 1424850 21590 ) M1M2_PR
-      NEW met1 ( 1425310 285090 ) M1M2_PR
-      NEW met1 ( 1687510 285430 ) M1M2_PR ;
-    - la_data_out[45] ( PIN la_data_out[45] ) ( mprj la_data_out[45] ) + USE SIGNAL
-      + ROUTED met1 ( 1680150 288830 ) ( 1692110 * )
-      NEW met2 ( 1692110 288830 ) ( * 298860 )
-      NEW met2 ( 1692110 298860 ) ( 1692300 * )
-      NEW met2 ( 1692300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1433130 2380 0 ) ( * 68510 )
-      NEW met1 ( 1433130 68510 ) ( 1680150 * )
-      NEW met2 ( 1680150 68510 ) ( * 288830 )
-      NEW met1 ( 1680150 288830 ) M1M2_PR
-      NEW met1 ( 1692110 288830 ) M1M2_PR
-      NEW met1 ( 1433130 68510 ) M1M2_PR
-      NEW met1 ( 1680150 68510 ) M1M2_PR ;
-    - la_data_out[46] ( PIN la_data_out[46] ) ( mprj la_data_out[46] ) + USE SIGNAL
-      + ROUTED met2 ( 1451070 2380 0 ) ( * 16830 )
-      NEW met1 ( 1451070 16830 ) ( 1455210 * )
-      NEW met2 ( 1455210 16830 ) ( * 62050 )
-      NEW met1 ( 1691190 299030 ) ( 1697100 * )
-      NEW met2 ( 1697100 299030 ) ( * 300220 0 )
-      NEW met1 ( 1455210 62050 ) ( 1691190 * )
-      NEW met2 ( 1691190 62050 ) ( * 299030 )
-      NEW met1 ( 1451070 16830 ) M1M2_PR
-      NEW met1 ( 1455210 16830 ) M1M2_PR
-      NEW met1 ( 1455210 62050 ) M1M2_PR
-      NEW met1 ( 1691190 299030 ) M1M2_PR
-      NEW met1 ( 1697100 299030 ) M1M2_PR
-      NEW met1 ( 1691190 62050 ) M1M2_PR ;
-    - la_data_out[47] ( PIN la_data_out[47] ) ( mprj la_data_out[47] ) + USE SIGNAL
-      + ROUTED met2 ( 1468550 2380 0 ) ( * 48790 )
-      NEW met2 ( 1697630 298860 ) ( 1701900 * )
-      NEW met2 ( 1701900 298860 ) ( * 300220 0 )
-      NEW met1 ( 1468550 48790 ) ( 1697630 * )
-      NEW met2 ( 1697630 48790 ) ( * 298860 )
-      NEW met1 ( 1468550 48790 ) M1M2_PR
-      NEW met1 ( 1697630 48790 ) M1M2_PR ;
-    - la_data_out[48] ( PIN la_data_out[48] ) ( mprj la_data_out[48] ) + USE SIGNAL
-      + ROUTED met2 ( 1486490 2380 0 ) ( * 33490 )
-      NEW met1 ( 1486490 33490 ) ( 1704530 * )
-      NEW met2 ( 1704530 298860 ) ( 1706500 * )
+      + ROUTED met2 ( 2603830 2380 0 ) ( * 29410 )
+      NEW met1 ( 1706370 288830 ) ( 1710510 * )
+      NEW met2 ( 1706370 288830 ) ( * 298860 )
+      NEW met2 ( 1706370 298860 ) ( 1706500 * )
       NEW met2 ( 1706500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1704530 33490 ) ( * 298860 )
-      NEW met1 ( 1486490 33490 ) M1M2_PR
-      NEW met1 ( 1704530 33490 ) M1M2_PR ;
+      NEW met1 ( 1710050 29410 ) ( 2603830 * )
+      NEW met2 ( 1710050 82800 ) ( 1710510 * )
+      NEW met2 ( 1710050 29410 ) ( * 82800 )
+      NEW met2 ( 1710510 82800 ) ( * 288830 )
+      NEW met1 ( 2603830 29410 ) M1M2_PR
+      NEW met1 ( 1710050 29410 ) M1M2_PR
+      NEW met1 ( 1710510 288830 ) M1M2_PR
+      NEW met1 ( 1706370 288830 ) M1M2_PR ;
+    - la_data_out[112] ( PIN la_data_out[112] ) ( mprj la_data_out[112] ) + USE SIGNAL
+      + ROUTED met2 ( 2621310 2380 0 ) ( * 29750 )
+      NEW met2 ( 1710400 298860 ) ( 1710970 * )
+      NEW met2 ( 1710400 298860 ) ( * 300220 0 )
+      NEW met1 ( 1710510 29750 ) ( 2621310 * )
+      NEW met2 ( 1710510 29750 ) ( * 34500 )
+      NEW met2 ( 1710510 34500 ) ( 1710970 * )
+      NEW met2 ( 1710970 34500 ) ( * 298860 )
+      NEW met1 ( 2621310 29750 ) M1M2_PR
+      NEW met1 ( 1710510 29750 ) M1M2_PR ;
+    - la_data_out[113] ( PIN la_data_out[113] ) ( mprj la_data_out[113] ) + USE SIGNAL
+      + ROUTED met2 ( 2639250 2380 0 ) ( * 30090 )
+      NEW met1 ( 1714190 288830 ) ( 1717870 * )
+      NEW met2 ( 1714190 288830 ) ( * 298860 )
+      NEW met2 ( 1714190 298860 ) ( 1714300 * )
+      NEW met2 ( 1714300 298860 ) ( * 300220 0 )
+      NEW met1 ( 1717870 30090 ) ( 2639250 * )
+      NEW met2 ( 1717870 30090 ) ( * 288830 )
+      NEW met1 ( 2639250 30090 ) M1M2_PR
+      NEW met1 ( 1717870 30090 ) M1M2_PR
+      NEW met1 ( 1717870 288830 ) M1M2_PR
+      NEW met1 ( 1714190 288830 ) M1M2_PR ;
+    - la_data_out[114] ( PIN la_data_out[114] ) ( mprj la_data_out[114] ) + USE SIGNAL
+      + ROUTED met1 ( 1718330 284410 ) ( 1724310 * )
+      NEW met2 ( 1718330 284410 ) ( * 298860 )
+      NEW met2 ( 1718200 298860 ) ( 1718330 * )
+      NEW met2 ( 1718200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2656730 2380 0 ) ( * 30430 )
+      NEW met1 ( 1724310 30430 ) ( 2656730 * )
+      NEW met2 ( 1724310 30430 ) ( * 284410 )
+      NEW met1 ( 1724310 30430 ) M1M2_PR
+      NEW met1 ( 1724310 284410 ) M1M2_PR
+      NEW met1 ( 1718330 284410 ) M1M2_PR
+      NEW met1 ( 2656730 30430 ) M1M2_PR ;
+    - la_data_out[115] ( PIN la_data_out[115] ) ( mprj la_data_out[115] ) + USE SIGNAL
+      + ROUTED met1 ( 1722010 288830 ) ( 1724770 * )
+      NEW met2 ( 1722010 288830 ) ( * 298860 )
+      NEW met2 ( 1722010 298860 ) ( 1722100 * )
+      NEW met2 ( 1722100 298860 ) ( * 300220 0 )
+      NEW met2 ( 2674670 2380 0 ) ( * 34170 )
+      NEW met1 ( 1724770 34170 ) ( 2674670 * )
+      NEW met2 ( 1724770 34170 ) ( * 288830 )
+      NEW met1 ( 1724770 34170 ) M1M2_PR
+      NEW met1 ( 1724770 288830 ) M1M2_PR
+      NEW met1 ( 1722010 288830 ) M1M2_PR
+      NEW met1 ( 2674670 34170 ) M1M2_PR ;
+    - la_data_out[116] ( PIN la_data_out[116] ) ( mprj la_data_out[116] ) + USE SIGNAL
+      + ROUTED met1 ( 1726150 288830 ) ( 1731670 * )
+      NEW met2 ( 1726150 288830 ) ( * 298860 )
+      NEW met2 ( 1726000 298860 ) ( 1726150 * )
+      NEW met2 ( 1726000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2692150 2380 0 ) ( * 33830 )
+      NEW met2 ( 1731670 33830 ) ( * 288830 )
+      NEW met1 ( 1731670 33830 ) ( 2692150 * )
+      NEW met1 ( 1731670 33830 ) M1M2_PR
+      NEW met1 ( 1731670 288830 ) M1M2_PR
+      NEW met1 ( 1726150 288830 ) M1M2_PR
+      NEW met1 ( 2692150 33830 ) M1M2_PR ;
+    - la_data_out[117] ( PIN la_data_out[117] ) ( mprj la_data_out[117] ) + USE SIGNAL
+      + ROUTED met2 ( 1729900 298860 ) ( 1731210 * )
+      NEW met2 ( 1729900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2710090 2380 0 ) ( * 33490 )
+      NEW met2 ( 1731210 33490 ) ( * 298860 )
+      NEW met1 ( 1731210 33490 ) ( 2710090 * )
+      NEW met1 ( 1731210 33490 ) M1M2_PR
+      NEW met1 ( 2710090 33490 ) M1M2_PR ;
+    - la_data_out[118] ( PIN la_data_out[118] ) ( mprj la_data_out[118] ) + USE SIGNAL
+      + ROUTED met1 ( 1733970 288830 ) ( 1738570 * )
+      NEW met2 ( 1733970 288830 ) ( * 298860 )
+      NEW met2 ( 1733700 298860 ) ( 1733970 * )
+      NEW met2 ( 1733700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2727570 2380 0 ) ( * 33150 )
+      NEW met2 ( 1738570 33150 ) ( * 288830 )
+      NEW met1 ( 1738570 33150 ) ( 2727570 * )
+      NEW met1 ( 1738570 33150 ) M1M2_PR
+      NEW met1 ( 1738570 288830 ) M1M2_PR
+      NEW met1 ( 1733970 288830 ) M1M2_PR
+      NEW met1 ( 2727570 33150 ) M1M2_PR ;
+    - la_data_out[119] ( PIN la_data_out[119] ) ( mprj la_data_out[119] ) + USE SIGNAL
+      + ROUTED met2 ( 1737600 298860 ) ( 1738110 * )
+      NEW met2 ( 1737600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1738110 32810 ) ( * 298860 )
+      NEW met2 ( 2745510 2380 0 ) ( * 32810 )
+      NEW met1 ( 1738110 32810 ) ( 2745510 * )
+      NEW met1 ( 1738110 32810 ) M1M2_PR
+      NEW met1 ( 2745510 32810 ) M1M2_PR ;
+    - la_data_out[11] ( PIN la_data_out[11] ) ( mprj la_data_out[11] ) + USE SIGNAL
+      + ROUTED met2 ( 1312610 298860 ) ( 1316100 * )
+      NEW met2 ( 1316100 298860 ) ( * 300220 0 )
+      NEW met2 ( 830530 2380 0 ) ( * 46070 )
+      NEW met1 ( 830530 46070 ) ( 1311690 * )
+      NEW met2 ( 1311690 46070 ) ( * 227700 )
+      NEW met2 ( 1311690 227700 ) ( 1312610 * )
+      NEW met2 ( 1312610 227700 ) ( * 298860 )
+      NEW met1 ( 830530 46070 ) M1M2_PR
+      NEW met1 ( 1311690 46070 ) M1M2_PR ;
+    - la_data_out[120] ( PIN la_data_out[120] ) ( mprj la_data_out[120] ) + USE SIGNAL
+      + ROUTED met1 ( 1741790 288830 ) ( 1745010 * )
+      NEW met2 ( 1741790 288830 ) ( * 298860 )
+      NEW met2 ( 1741600 298860 ) ( 1741790 * )
+      NEW met2 ( 1741600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1745010 32470 ) ( * 288830 )
+      NEW met2 ( 2763450 2380 0 ) ( * 32470 )
+      NEW met1 ( 1745010 32470 ) ( 2763450 * )
+      NEW met1 ( 1745010 32470 ) M1M2_PR
+      NEW met1 ( 1745010 288830 ) M1M2_PR
+      NEW met1 ( 1741790 288830 ) M1M2_PR
+      NEW met1 ( 2763450 32470 ) M1M2_PR ;
+    - la_data_out[121] ( PIN la_data_out[121] ) ( mprj la_data_out[121] ) + USE SIGNAL
+      + ROUTED met2 ( 1745470 298860 ) ( 1745500 * )
+      NEW met2 ( 1745500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1745470 32130 ) ( * 298860 )
+      NEW met2 ( 2780930 2380 0 ) ( * 32130 )
+      NEW met1 ( 1745470 32130 ) ( 2780930 * )
+      NEW met1 ( 1745470 32130 ) M1M2_PR
+      NEW met1 ( 2780930 32130 ) M1M2_PR ;
+    - la_data_out[122] ( PIN la_data_out[122] ) ( mprj la_data_out[122] ) + USE SIGNAL
+      + ROUTED met1 ( 1749610 283730 ) ( 1752370 * )
+      NEW met2 ( 1749610 283730 ) ( * 298860 )
+      NEW met2 ( 1749400 298860 ) ( 1749610 * )
+      NEW met2 ( 1749400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2798870 2380 0 ) ( * 31790 )
+      NEW met2 ( 1752370 31790 ) ( * 283730 )
+      NEW met1 ( 1752370 31790 ) ( 2798870 * )
+      NEW met1 ( 1752370 31790 ) M1M2_PR
+      NEW met1 ( 1752370 283730 ) M1M2_PR
+      NEW met1 ( 1749610 283730 ) M1M2_PR
+      NEW met1 ( 2798870 31790 ) M1M2_PR ;
+    - la_data_out[123] ( PIN la_data_out[123] ) ( mprj la_data_out[123] ) + USE SIGNAL
+      + ROUTED met1 ( 1753290 288830 ) ( 1759270 * )
+      NEW met2 ( 1753290 288830 ) ( * 298860 )
+      NEW met2 ( 1753290 298860 ) ( 1753300 * )
+      NEW met2 ( 1753300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2816350 2380 0 ) ( * 31450 )
+      NEW met2 ( 1759270 31450 ) ( * 288830 )
+      NEW met1 ( 1759270 31450 ) ( 2816350 * )
+      NEW met1 ( 1759270 31450 ) M1M2_PR
+      NEW met1 ( 1759270 288830 ) M1M2_PR
+      NEW met1 ( 1753290 288830 ) M1M2_PR
+      NEW met1 ( 2816350 31450 ) M1M2_PR ;
+    - la_data_out[124] ( PIN la_data_out[124] ) ( mprj la_data_out[124] ) + USE SIGNAL
+      + ROUTED met2 ( 1757200 298860 ) ( 1758810 * )
+      NEW met2 ( 1757200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2834290 2380 0 ) ( * 31110 )
+      NEW met2 ( 1758810 31110 ) ( * 298860 )
+      NEW met1 ( 1758810 31110 ) ( 2834290 * )
+      NEW met1 ( 1758810 31110 ) M1M2_PR
+      NEW met1 ( 2834290 31110 ) M1M2_PR ;
+    - la_data_out[125] ( PIN la_data_out[125] ) ( mprj la_data_out[125] ) + USE SIGNAL
+      + ROUTED met1 ( 1761110 285090 ) ( 1766170 * )
+      NEW met2 ( 1761110 285090 ) ( * 298860 )
+      NEW met2 ( 1761100 298860 ) ( 1761110 * )
+      NEW met2 ( 1761100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1766170 30770 ) ( * 285090 )
+      NEW met2 ( 2851770 2380 0 ) ( * 30770 )
+      NEW met1 ( 1766170 30770 ) ( 2851770 * )
+      NEW met1 ( 1766170 30770 ) M1M2_PR
+      NEW met1 ( 1766170 285090 ) M1M2_PR
+      NEW met1 ( 1761110 285090 ) M1M2_PR
+      NEW met1 ( 2851770 30770 ) M1M2_PR ;
+    - la_data_out[126] ( PIN la_data_out[126] ) ( mprj la_data_out[126] ) + USE SIGNAL
+      + ROUTED met1 ( 1760190 288830 ) ( 1765250 * )
+      NEW met2 ( 1765250 288830 ) ( * 298860 )
+      NEW met2 ( 1765100 298860 ) ( 1765250 * )
+      NEW met2 ( 1765100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1760190 265370 ) ( * 288830 )
+      NEW met2 ( 2869710 2380 0 ) ( * 3060 )
+      NEW met2 ( 2868790 3060 ) ( 2869710 * )
+      NEW met2 ( 2868790 2380 ) ( * 3060 )
+      NEW met2 ( 2867410 2380 ) ( 2868790 * )
+      NEW met2 ( 2863730 82800 ) ( 2867410 * )
+      NEW met2 ( 2867410 2380 ) ( * 82800 )
+      NEW met1 ( 1760190 265370 ) ( 2863730 * )
+      NEW met2 ( 2863730 82800 ) ( * 265370 )
+      NEW met1 ( 1760190 288830 ) M1M2_PR
+      NEW met1 ( 1765250 288830 ) M1M2_PR
+      NEW met1 ( 1760190 265370 ) M1M2_PR
+      NEW met1 ( 2863730 265370 ) M1M2_PR ;
+    - la_data_out[127] ( PIN la_data_out[127] ) ( mprj la_data_out[127] ) + USE SIGNAL
+      + ROUTED met2 ( 1767090 298860 ) ( 1769000 * )
+      NEW met2 ( 1769000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2887190 2380 0 ) ( * 20570 )
+      NEW met2 ( 1767090 258570 ) ( * 298860 )
+      NEW met1 ( 2873850 20570 ) ( 2887190 * )
+      NEW met1 ( 1767090 258570 ) ( 2873850 * )
+      NEW met2 ( 2873850 20570 ) ( * 258570 )
+      NEW met1 ( 2887190 20570 ) M1M2_PR
+      NEW met1 ( 1767090 258570 ) M1M2_PR
+      NEW met1 ( 2873850 20570 ) M1M2_PR
+      NEW met1 ( 2873850 258570 ) M1M2_PR ;
+    - la_data_out[12] ( PIN la_data_out[12] ) ( mprj la_data_out[12] ) + USE SIGNAL
+      + ROUTED met2 ( 1318590 298860 ) ( 1320000 * )
+      NEW met2 ( 1320000 298860 ) ( * 300220 0 )
+      NEW met2 ( 848010 2380 0 ) ( * 46410 )
+      NEW met1 ( 848010 46410 ) ( 1318590 * )
+      NEW met2 ( 1318590 46410 ) ( * 298860 )
+      NEW met1 ( 848010 46410 ) M1M2_PR
+      NEW met1 ( 1318590 46410 ) M1M2_PR ;
+    - la_data_out[13] ( PIN la_data_out[13] ) ( mprj la_data_out[13] ) + USE SIGNAL
+      + ROUTED met2 ( 865950 2380 0 ) ( * 17340 )
+      NEW met2 ( 865950 17340 ) ( 866410 * )
+      NEW met2 ( 866410 17340 ) ( * 46750 )
+      NEW met2 ( 1320430 298860 ) ( 1324000 * )
+      NEW met2 ( 1324000 298860 ) ( * 300220 0 )
+      NEW met1 ( 866410 46750 ) ( 1319050 * )
+      NEW met2 ( 1319050 46750 ) ( * 227700 )
+      NEW met2 ( 1319050 227700 ) ( 1320430 * )
+      NEW met2 ( 1320430 227700 ) ( * 298860 )
+      NEW met1 ( 866410 46750 ) M1M2_PR
+      NEW met1 ( 1319050 46750 ) M1M2_PR ;
+    - la_data_out[14] ( PIN la_data_out[14] ) ( mprj la_data_out[14] ) + USE SIGNAL
+      + ROUTED met2 ( 883430 2380 0 ) ( * 47090 )
+      NEW met2 ( 1325030 298860 ) ( 1327900 * )
+      NEW met2 ( 1327900 298860 ) ( * 300220 0 )
+      NEW met1 ( 883430 47090 ) ( 1325030 * )
+      NEW met2 ( 1325030 47090 ) ( * 298860 )
+      NEW met1 ( 883430 47090 ) M1M2_PR
+      NEW met1 ( 1325030 47090 ) M1M2_PR ;
+    - la_data_out[15] ( PIN la_data_out[15] ) ( mprj la_data_out[15] ) + USE SIGNAL
+      + ROUTED met2 ( 901370 2380 0 ) ( * 47430 )
+      NEW met2 ( 1331800 298860 ) ( 1332390 * )
+      NEW met2 ( 1331800 298860 ) ( * 300220 0 )
+      NEW met1 ( 901370 47430 ) ( 1332390 * )
+      NEW met2 ( 1332390 47430 ) ( * 298860 )
+      NEW met1 ( 901370 47430 ) M1M2_PR
+      NEW met1 ( 1332390 47430 ) M1M2_PR ;
+    - la_data_out[16] ( PIN la_data_out[16] ) ( mprj la_data_out[16] ) + USE SIGNAL
+      + ROUTED met1 ( 1331930 289170 ) ( 1335610 * )
+      NEW met2 ( 1335610 289170 ) ( * 298860 )
+      NEW met2 ( 1335610 298860 ) ( 1335700 * )
+      NEW met2 ( 1335700 298860 ) ( * 300220 0 )
+      NEW met2 ( 918850 2380 0 ) ( * 47770 )
+      NEW met1 ( 918850 47770 ) ( 1331930 * )
+      NEW met2 ( 1331930 47770 ) ( * 289170 )
+      NEW met1 ( 1331930 289170 ) M1M2_PR
+      NEW met1 ( 1335610 289170 ) M1M2_PR
+      NEW met1 ( 918850 47770 ) M1M2_PR
+      NEW met1 ( 1331930 47770 ) M1M2_PR ;
+    - la_data_out[17] ( PIN la_data_out[17] ) ( mprj la_data_out[17] ) + USE SIGNAL
+      + ROUTED met2 ( 1339290 298860 ) ( 1339600 * )
+      NEW met2 ( 1339600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1339290 48110 ) ( * 298860 )
+      NEW met2 ( 936790 2380 0 ) ( * 48110 )
+      NEW met1 ( 936790 48110 ) ( 1339290 * )
+      NEW met1 ( 1339290 48110 ) M1M2_PR
+      NEW met1 ( 936790 48110 ) M1M2_PR ;
+    - la_data_out[18] ( PIN la_data_out[18] ) ( mprj la_data_out[18] ) + USE SIGNAL
+      + ROUTED met2 ( 1342510 298860 ) ( 1343500 * )
+      NEW met2 ( 1343500 298860 ) ( * 300220 0 )
+      NEW met2 ( 954270 2380 0 ) ( * 44370 )
+      NEW met2 ( 1339750 44370 ) ( * 227700 )
+      NEW met2 ( 1339750 227700 ) ( 1342510 * )
+      NEW met2 ( 1342510 227700 ) ( * 298860 )
+      NEW met1 ( 954270 44370 ) ( 1339750 * )
+      NEW met1 ( 954270 44370 ) M1M2_PR
+      NEW met1 ( 1339750 44370 ) M1M2_PR ;
+    - la_data_out[19] ( PIN la_data_out[19] ) ( mprj la_data_out[19] ) + USE SIGNAL
+      + ROUTED met2 ( 1345730 298860 ) ( 1347400 * )
+      NEW met2 ( 1347400 298860 ) ( * 300220 0 )
+      NEW met2 ( 972210 2380 0 ) ( * 44030 )
+      NEW met2 ( 1345730 44030 ) ( * 298860 )
+      NEW met1 ( 972210 44030 ) ( 1345730 * )
+      NEW met1 ( 972210 44030 ) M1M2_PR
+      NEW met1 ( 1345730 44030 ) M1M2_PR ;
+    - la_data_out[1] ( PIN la_data_out[1] ) ( mprj la_data_out[1] ) + USE SIGNAL
+      + ROUTED met2 ( 1276730 298860 ) ( 1277200 * )
+      NEW met2 ( 1277200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1276730 45390 ) ( * 298860 )
+      NEW met2 ( 652970 2380 0 ) ( * 45390 )
+      NEW met1 ( 652970 45390 ) ( 1276730 * )
+      NEW met1 ( 1276730 45390 ) M1M2_PR
+      NEW met1 ( 652970 45390 ) M1M2_PR ;
+    - la_data_out[20] ( PIN la_data_out[20] ) ( mprj la_data_out[20] ) + USE SIGNAL
+      + ROUTED met2 ( 1349870 298860 ) ( 1351300 * )
+      NEW met2 ( 1351300 298860 ) ( * 300220 0 )
+      NEW met2 ( 989690 2380 0 ) ( * 43690 )
+      NEW met2 ( 1346190 43690 ) ( * 227700 )
+      NEW met2 ( 1346190 227700 ) ( 1349870 * )
+      NEW met2 ( 1349870 227700 ) ( * 298860 )
+      NEW met1 ( 989690 43690 ) ( 1346190 * )
+      NEW met1 ( 989690 43690 ) M1M2_PR
+      NEW met1 ( 1346190 43690 ) M1M2_PR ;
+    - la_data_out[21] ( PIN la_data_out[21] ) ( mprj la_data_out[21] ) + USE SIGNAL
+      + ROUTED met2 ( 1353550 298860 ) ( 1355200 * )
+      NEW met2 ( 1355200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1353550 33150 ) ( * 298860 )
+      NEW met2 ( 1007630 2380 0 ) ( * 33150 )
+      NEW met1 ( 1007630 33150 ) ( 1353550 * )
+      NEW met1 ( 1353550 33150 ) M1M2_PR
+      NEW met1 ( 1007630 33150 ) M1M2_PR ;
+    - la_data_out[22] ( PIN la_data_out[22] ) ( mprj la_data_out[22] ) + USE SIGNAL
+      + ROUTED met1 ( 1352630 299030 ) ( 1359100 * )
+      NEW met2 ( 1359100 299030 ) ( * 300220 0 )
+      NEW met2 ( 1352630 43350 ) ( * 299030 )
+      NEW met2 ( 1025570 2380 0 ) ( * 43350 )
+      NEW met1 ( 1025570 43350 ) ( 1352630 * )
+      NEW met1 ( 1352630 299030 ) M1M2_PR
+      NEW met1 ( 1359100 299030 ) M1M2_PR
+      NEW met1 ( 1352630 43350 ) M1M2_PR
+      NEW met1 ( 1025570 43350 ) M1M2_PR ;
+    - la_data_out[23] ( PIN la_data_out[23] ) ( mprj la_data_out[23] ) + USE SIGNAL
+      + ROUTED met1 ( 1266150 285090 ) ( 1275810 * )
+      NEW met1 ( 1275810 285090 ) ( * 285430 )
+      NEW met2 ( 1362750 285430 ) ( * 298860 )
+      NEW met2 ( 1362750 298860 ) ( 1363000 * )
+      NEW met2 ( 1363000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1266150 74290 ) ( * 285090 )
+      NEW met2 ( 1043050 2380 0 ) ( * 16830 )
+      NEW met1 ( 1043050 16830 ) ( 1047650 * )
+      NEW met1 ( 1275810 285430 ) ( 1362750 * )
+      NEW met2 ( 1047650 16830 ) ( * 74290 )
+      NEW met1 ( 1047650 74290 ) ( 1266150 * )
+      NEW met1 ( 1266150 285090 ) M1M2_PR
+      NEW met1 ( 1362750 285430 ) M1M2_PR
+      NEW met1 ( 1266150 74290 ) M1M2_PR
+      NEW met1 ( 1043050 16830 ) M1M2_PR
+      NEW met1 ( 1047650 16830 ) M1M2_PR
+      NEW met1 ( 1047650 74290 ) M1M2_PR ;
+    - la_data_out[24] ( PIN la_data_out[24] ) ( mprj la_data_out[24] ) + USE SIGNAL
+      + ROUTED met2 ( 1060990 2380 0 ) ( * 33490 )
+      NEW met2 ( 1366430 298860 ) ( 1366900 * )
+      NEW met2 ( 1366900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1366430 33490 ) ( * 298860 )
+      NEW met1 ( 1060990 33490 ) ( 1366430 * )
+      NEW met1 ( 1060990 33490 ) M1M2_PR
+      NEW met1 ( 1366430 33490 ) M1M2_PR ;
+    - la_data_out[25] ( PIN la_data_out[25] ) ( mprj la_data_out[25] ) + USE SIGNAL
+      + ROUTED met2 ( 1078470 2380 0 ) ( * 16830 )
+      NEW met1 ( 1078470 16830 ) ( 1082150 * )
+      NEW met2 ( 1367350 298860 ) ( 1370800 * )
+      NEW met2 ( 1370800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1082150 16830 ) ( * 54570 )
+      NEW met2 ( 1366890 54570 ) ( * 227700 )
+      NEW met2 ( 1366890 227700 ) ( 1367350 * )
+      NEW met2 ( 1367350 227700 ) ( * 298860 )
+      NEW met1 ( 1082150 54570 ) ( 1366890 * )
+      NEW met1 ( 1078470 16830 ) M1M2_PR
+      NEW met1 ( 1082150 16830 ) M1M2_PR
+      NEW met1 ( 1082150 54570 ) M1M2_PR
+      NEW met1 ( 1366890 54570 ) M1M2_PR ;
+    - la_data_out[26] ( PIN la_data_out[26] ) ( mprj la_data_out[26] ) + USE SIGNAL
+      + ROUTED met2 ( 1096410 2380 0 ) ( * 27370 )
+      NEW met1 ( 1265690 284070 ) ( * 285090 )
+      NEW met2 ( 1373330 285090 ) ( * 299540 )
+      NEW met2 ( 1373330 299540 ) ( 1374700 * )
+      NEW met2 ( 1374700 299540 ) ( * 300220 0 )
+      NEW met1 ( 1096410 27370 ) ( 1135050 * )
+      NEW met1 ( 1135050 285090 ) ( 1265690 * )
+      NEW met1 ( 1265690 284070 ) ( 1290300 * )
+      NEW met1 ( 1290300 284070 ) ( * 285090 )
+      NEW met1 ( 1290300 285090 ) ( 1373330 * )
+      NEW met2 ( 1135050 27370 ) ( * 285090 )
+      NEW met1 ( 1096410 27370 ) M1M2_PR
+      NEW met1 ( 1373330 285090 ) M1M2_PR
+      NEW met1 ( 1135050 27370 ) M1M2_PR
+      NEW met1 ( 1135050 285090 ) M1M2_PR ;
+    - la_data_out[27] ( PIN la_data_out[27] ) ( mprj la_data_out[27] ) + USE SIGNAL
+      + ROUTED met2 ( 1373790 298860 ) ( 1378600 * )
+      NEW met2 ( 1378600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1373790 87550 ) ( * 298860 )
+      NEW met2 ( 1113890 2380 0 ) ( * 16830 )
+      NEW met1 ( 1113890 16830 ) ( 1116650 * )
+      NEW met1 ( 1116650 87550 ) ( 1373790 * )
+      NEW met2 ( 1116650 16830 ) ( * 87550 )
+      NEW met1 ( 1373790 87550 ) M1M2_PR
+      NEW met1 ( 1113890 16830 ) M1M2_PR
+      NEW met1 ( 1116650 16830 ) M1M2_PR
+      NEW met1 ( 1116650 87550 ) M1M2_PR ;
+    - la_data_out[28] ( PIN la_data_out[28] ) ( mprj la_data_out[28] ) + USE SIGNAL
+      + ROUTED met2 ( 1380230 298860 ) ( 1382500 * )
+      NEW met2 ( 1382500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1380230 54910 ) ( * 298860 )
+      NEW met2 ( 1131830 2380 0 ) ( * 16830 )
+      NEW met1 ( 1131830 16830 ) ( 1137810 * )
+      NEW met2 ( 1137810 16830 ) ( * 54910 )
+      NEW met1 ( 1137810 54910 ) ( 1380230 * )
+      NEW met1 ( 1380230 54910 ) M1M2_PR
+      NEW met1 ( 1131830 16830 ) M1M2_PR
+      NEW met1 ( 1137810 16830 ) M1M2_PR
+      NEW met1 ( 1137810 54910 ) M1M2_PR ;
+    - la_data_out[29] ( PIN la_data_out[29] ) ( mprj la_data_out[29] ) + USE SIGNAL
+      + ROUTED met2 ( 1149310 2380 0 ) ( * 14450 )
+      NEW met1 ( 1149310 14450 ) ( 1152070 * )
+      NEW met2 ( 1382990 298860 ) ( 1386400 * )
+      NEW met2 ( 1386400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1152070 14450 ) ( * 68170 )
+      NEW met2 ( 1380690 68170 ) ( * 227700 )
+      NEW met2 ( 1380690 227700 ) ( 1382990 * )
+      NEW met2 ( 1382990 227700 ) ( * 298860 )
+      NEW met1 ( 1152070 68170 ) ( 1380690 * )
+      NEW met1 ( 1149310 14450 ) M1M2_PR
+      NEW met1 ( 1152070 14450 ) M1M2_PR
+      NEW met1 ( 1152070 68170 ) M1M2_PR
+      NEW met1 ( 1380690 68170 ) M1M2_PR ;
+    - la_data_out[2] ( PIN la_data_out[2] ) ( mprj la_data_out[2] ) + USE SIGNAL
+      + ROUTED met2 ( 670910 2380 0 ) ( * 17170 )
+      NEW met1 ( 670910 17170 ) ( 675970 * )
+      NEW met2 ( 1279030 298860 ) ( 1281100 * )
+      NEW met2 ( 1281100 298860 ) ( * 300220 0 )
+      NEW met2 ( 675970 17170 ) ( * 60010 )
+      NEW met2 ( 1277190 60010 ) ( * 227700 )
+      NEW met2 ( 1277190 227700 ) ( 1279030 * )
+      NEW met2 ( 1279030 227700 ) ( * 298860 )
+      NEW met1 ( 675970 60010 ) ( 1277190 * )
+      NEW met1 ( 670910 17170 ) M1M2_PR
+      NEW met1 ( 675970 17170 ) M1M2_PR
+      NEW met1 ( 675970 60010 ) M1M2_PR
+      NEW met1 ( 1277190 60010 ) M1M2_PR ;
+    - la_data_out[30] ( PIN la_data_out[30] ) ( mprj la_data_out[30] ) + USE SIGNAL
+      + ROUTED met2 ( 1167250 2380 0 ) ( * 16830 )
+      NEW met1 ( 1167250 16830 ) ( 1172770 * )
+      NEW met2 ( 1172770 16830 ) ( * 74970 )
+      NEW met2 ( 1387130 298860 ) ( 1390300 * )
+      NEW met2 ( 1390300 298860 ) ( * 300220 0 )
+      NEW met1 ( 1172770 74970 ) ( 1387130 * )
+      NEW met2 ( 1387130 74970 ) ( * 298860 )
+      NEW met1 ( 1167250 16830 ) M1M2_PR
+      NEW met1 ( 1172770 16830 ) M1M2_PR
+      NEW met1 ( 1172770 74970 ) M1M2_PR
+      NEW met1 ( 1387130 74970 ) M1M2_PR ;
+    - la_data_out[31] ( PIN la_data_out[31] ) ( mprj la_data_out[31] ) + USE SIGNAL
+      + ROUTED met2 ( 1185190 2380 0 ) ( * 34500 )
+      NEW met2 ( 1185190 34500 ) ( 1186570 * )
+      NEW met2 ( 1186570 34500 ) ( * 87890 )
+      NEW met1 ( 1186570 87890 ) ( 1390350 * )
+      NEW met1 ( 1390350 289170 ) ( 1394030 * )
+      NEW met2 ( 1394030 289170 ) ( * 298860 )
+      NEW met2 ( 1394030 298860 ) ( 1394200 * )
+      NEW met2 ( 1394200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1390350 87890 ) ( * 289170 )
+      NEW met1 ( 1186570 87890 ) M1M2_PR
+      NEW met1 ( 1390350 87890 ) M1M2_PR
+      NEW met1 ( 1390350 289170 ) M1M2_PR
+      NEW met1 ( 1394030 289170 ) M1M2_PR ;
+    - la_data_out[32] ( PIN la_data_out[32] ) ( mprj la_data_out[32] ) + USE SIGNAL
+      + ROUTED met2 ( 1202670 2380 0 ) ( * 16830 )
+      NEW met1 ( 1202670 16830 ) ( 1206350 * )
+      NEW met1 ( 1390810 285770 ) ( 1398170 * )
+      NEW met2 ( 1398170 285770 ) ( * 298860 )
+      NEW met2 ( 1398100 298860 ) ( 1398170 * )
+      NEW met2 ( 1398100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1206350 16830 ) ( * 231030 )
+      NEW met1 ( 1206350 231030 ) ( 1390810 * )
+      NEW met2 ( 1390810 231030 ) ( * 285770 )
+      NEW met1 ( 1202670 16830 ) M1M2_PR
+      NEW met1 ( 1206350 16830 ) M1M2_PR
+      NEW met1 ( 1390810 285770 ) M1M2_PR
+      NEW met1 ( 1398170 285770 ) M1M2_PR
+      NEW met1 ( 1206350 231030 ) M1M2_PR
+      NEW met1 ( 1390810 231030 ) M1M2_PR ;
+    - la_data_out[33] ( PIN la_data_out[33] ) ( mprj la_data_out[33] ) + USE SIGNAL
+      + ROUTED met2 ( 1220610 2380 0 ) ( * 33830 )
+      NEW met1 ( 1220610 33830 ) ( 1400930 * )
+      NEW met2 ( 1400930 298860 ) ( 1402100 * )
+      NEW met2 ( 1402100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1400930 33830 ) ( * 298860 )
+      NEW met1 ( 1220610 33830 ) M1M2_PR
+      NEW met1 ( 1400930 33830 ) M1M2_PR ;
+    - la_data_out[34] ( PIN la_data_out[34] ) ( mprj la_data_out[34] ) + USE SIGNAL
+      + ROUTED met2 ( 1238090 2380 0 ) ( * 30430 )
+      NEW met1 ( 1238090 30430 ) ( 1401390 * )
+      NEW met2 ( 1403230 298860 ) ( 1406000 * )
+      NEW met2 ( 1406000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1401390 30430 ) ( * 227700 )
+      NEW met2 ( 1401390 227700 ) ( 1403230 * )
+      NEW met2 ( 1403230 227700 ) ( * 298860 )
+      NEW met1 ( 1238090 30430 ) M1M2_PR
+      NEW met1 ( 1401390 30430 ) M1M2_PR ;
+    - la_data_out[35] ( PIN la_data_out[35] ) ( mprj la_data_out[35] ) + USE SIGNAL
+      + ROUTED met2 ( 1256030 2380 0 ) ( * 15130 )
+      NEW met1 ( 1256030 15130 ) ( 1261550 * )
+      NEW met2 ( 1261550 15130 ) ( * 51170 )
+      NEW met2 ( 1408290 298860 ) ( 1409800 * )
+      NEW met2 ( 1409800 298860 ) ( * 300220 0 )
+      NEW met1 ( 1261550 51170 ) ( 1408290 * )
+      NEW met2 ( 1408290 51170 ) ( * 298860 )
+      NEW met1 ( 1256030 15130 ) M1M2_PR
+      NEW met1 ( 1261550 15130 ) M1M2_PR
+      NEW met1 ( 1261550 51170 ) M1M2_PR
+      NEW met1 ( 1408290 51170 ) M1M2_PR ;
+    - la_data_out[36] ( PIN la_data_out[36] ) ( mprj la_data_out[36] ) + USE SIGNAL
+      + ROUTED met2 ( 1273510 2380 0 ) ( * 45050 )
+      NEW met1 ( 1407830 299030 ) ( 1413700 * )
+      NEW met2 ( 1413700 299030 ) ( * 300220 0 )
+      NEW met1 ( 1273510 45050 ) ( 1407830 * )
+      NEW met2 ( 1407830 45050 ) ( * 299030 )
+      NEW met1 ( 1273510 45050 ) M1M2_PR
+      NEW met1 ( 1407830 299030 ) M1M2_PR
+      NEW met1 ( 1413700 299030 ) M1M2_PR
+      NEW met1 ( 1407830 45050 ) M1M2_PR ;
+    - la_data_out[37] ( PIN la_data_out[37] ) ( mprj la_data_out[37] ) + USE SIGNAL
+      + ROUTED met2 ( 1291450 2380 0 ) ( * 16830 )
+      NEW met1 ( 1291450 16830 ) ( 1296970 * )
+      NEW met1 ( 1296970 120530 ) ( 1411050 * )
+      NEW met1 ( 1411050 285770 ) ( 1417490 * )
+      NEW met2 ( 1417490 285770 ) ( * 298860 )
+      NEW met2 ( 1417490 298860 ) ( 1417600 * )
+      NEW met2 ( 1417600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1296970 16830 ) ( * 120530 )
+      NEW met2 ( 1411050 120530 ) ( * 285770 )
+      NEW met1 ( 1291450 16830 ) M1M2_PR
+      NEW met1 ( 1296970 16830 ) M1M2_PR
+      NEW met1 ( 1296970 120530 ) M1M2_PR
+      NEW met1 ( 1411050 120530 ) M1M2_PR
+      NEW met1 ( 1411050 285770 ) M1M2_PR
+      NEW met1 ( 1417490 285770 ) M1M2_PR ;
+    - la_data_out[38] ( PIN la_data_out[38] ) ( mprj la_data_out[38] ) + USE SIGNAL
+      + ROUTED met2 ( 1308930 2380 0 ) ( * 30090 )
+      NEW met1 ( 1308930 30090 ) ( 1422550 * )
+      NEW met2 ( 1421500 298860 ) ( 1422550 * )
+      NEW met2 ( 1421500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1422550 30090 ) ( * 298860 )
+      NEW met1 ( 1308930 30090 ) M1M2_PR
+      NEW met1 ( 1422550 30090 ) M1M2_PR ;
+    - la_data_out[39] ( PIN la_data_out[39] ) ( mprj la_data_out[39] ) + USE SIGNAL
+      + ROUTED met1 ( 1422090 289170 ) ( 1425310 * )
+      NEW met2 ( 1425310 289170 ) ( * 298860 )
+      NEW met2 ( 1425310 298860 ) ( 1425400 * )
+      NEW met2 ( 1425400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1326870 2380 0 ) ( * 45390 )
+      NEW met2 ( 1421630 45390 ) ( * 82800 )
+      NEW met2 ( 1421630 82800 ) ( 1422090 * )
+      NEW met1 ( 1326870 45390 ) ( 1421630 * )
+      NEW met2 ( 1422090 82800 ) ( * 289170 )
+      NEW met1 ( 1422090 289170 ) M1M2_PR
+      NEW met1 ( 1425310 289170 ) M1M2_PR
+      NEW met1 ( 1326870 45390 ) M1M2_PR
+      NEW met1 ( 1421630 45390 ) M1M2_PR ;
+    - la_data_out[3] ( PIN la_data_out[3] ) ( mprj la_data_out[3] ) + USE SIGNAL
+      + ROUTED met2 ( 1284090 298860 ) ( 1285000 * )
+      NEW met2 ( 1285000 298860 ) ( * 300220 0 )
+      NEW met2 ( 688390 2380 0 ) ( * 34500 )
+      NEW met2 ( 688390 34500 ) ( 689770 * )
+      NEW met2 ( 689770 34500 ) ( * 127670 )
+      NEW met2 ( 1284090 127670 ) ( * 298860 )
+      NEW met1 ( 689770 127670 ) ( 1284090 * )
+      NEW met1 ( 689770 127670 ) M1M2_PR
+      NEW met1 ( 1284090 127670 ) M1M2_PR ;
+    - la_data_out[40] ( PIN la_data_out[40] ) ( mprj la_data_out[40] ) + USE SIGNAL
+      + ROUTED met1 ( 1344350 46070 ) ( 1383450 * )
+      NEW met2 ( 1344350 2380 0 ) ( * 46070 )
+      NEW met2 ( 1383450 46070 ) ( * 289170 )
+      NEW li1 ( 1389890 285430 ) ( * 289170 )
+      NEW met1 ( 1389890 285430 ) ( 1428530 * )
+      NEW met2 ( 1428530 285430 ) ( * 299540 )
+      NEW met2 ( 1428530 299540 ) ( 1429400 * )
+      NEW met2 ( 1429400 299540 ) ( * 300220 0 )
+      NEW met1 ( 1383450 289170 ) ( 1389890 * )
+      NEW met1 ( 1383450 289170 ) M1M2_PR
+      NEW met1 ( 1344350 46070 ) M1M2_PR
+      NEW met1 ( 1383450 46070 ) M1M2_PR
+      NEW li1 ( 1389890 289170 ) L1M1_PR_MR
+      NEW li1 ( 1389890 285430 ) L1M1_PR_MR
+      NEW met1 ( 1428530 285430 ) M1M2_PR ;
+    - la_data_out[41] ( PIN la_data_out[41] ) ( mprj la_data_out[41] ) + USE SIGNAL
+      + ROUTED met2 ( 1362290 2380 0 ) ( * 33150 )
+      NEW met1 ( 1362290 33150 ) ( 1428990 * )
+      NEW met2 ( 1428990 298860 ) ( 1433300 * )
+      NEW met2 ( 1433300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1428990 33150 ) ( * 298860 )
+      NEW met1 ( 1362290 33150 ) M1M2_PR
+      NEW met1 ( 1428990 33150 ) M1M2_PR ;
+    - la_data_out[42] ( PIN la_data_out[42] ) ( mprj la_data_out[42] ) + USE SIGNAL
+      + ROUTED met2 ( 1435890 298860 ) ( 1437200 * )
+      NEW met2 ( 1437200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1380230 2380 0 ) ( * 45730 )
+      NEW met2 ( 1435890 45730 ) ( * 298860 )
+      NEW met1 ( 1380230 45730 ) ( 1435890 * )
+      NEW met1 ( 1380230 45730 ) M1M2_PR
+      NEW met1 ( 1435890 45730 ) M1M2_PR ;
+    - la_data_out[43] ( PIN la_data_out[43] ) ( mprj la_data_out[43] ) + USE SIGNAL
+      + ROUTED met1 ( 1435430 299030 ) ( 1441100 * )
+      NEW met2 ( 1441100 299030 ) ( * 300220 0 )
+      NEW met2 ( 1435430 32810 ) ( * 299030 )
+      NEW met2 ( 1397710 2380 0 ) ( * 32810 )
+      NEW met1 ( 1397710 32810 ) ( 1435430 * )
+      NEW met1 ( 1435430 32810 ) M1M2_PR
+      NEW met1 ( 1435430 299030 ) M1M2_PR
+      NEW met1 ( 1441100 299030 ) M1M2_PR
+      NEW met1 ( 1397710 32810 ) M1M2_PR ;
+    - la_data_out[44] ( PIN la_data_out[44] ) ( mprj la_data_out[44] ) + USE SIGNAL
+      + ROUTED met2 ( 1442790 298860 ) ( 1444900 * )
+      NEW met2 ( 1444900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1442790 27710 ) ( * 298860 )
+      NEW met2 ( 1415650 2380 0 ) ( * 27710 )
+      NEW met1 ( 1415650 27710 ) ( 1442790 * )
+      NEW met1 ( 1442790 27710 ) M1M2_PR
+      NEW met1 ( 1415650 27710 ) M1M2_PR ;
+    - la_data_out[45] ( PIN la_data_out[45] ) ( mprj la_data_out[45] ) + USE SIGNAL
+      + ROUTED met2 ( 1448770 283050 ) ( * 298860 )
+      NEW met2 ( 1448770 298860 ) ( 1448800 * )
+      NEW met2 ( 1448800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1433130 2380 0 ) ( * 3060 )
+      NEW met2 ( 1433130 3060 ) ( 1434050 * )
+      NEW met2 ( 1434050 2380 ) ( * 3060 )
+      NEW met2 ( 1434050 2380 ) ( 1434970 * )
+      NEW met1 ( 1434970 283050 ) ( 1448770 * )
+      NEW met2 ( 1434970 2380 ) ( * 283050 )
+      NEW met1 ( 1448770 283050 ) M1M2_PR
+      NEW met1 ( 1434970 283050 ) M1M2_PR ;
+    - la_data_out[46] ( PIN la_data_out[46] ) ( mprj la_data_out[46] ) + USE SIGNAL
+      + ROUTED met2 ( 1451070 2380 0 ) ( * 3060 )
+      NEW met2 ( 1450150 3060 ) ( 1451070 * )
+      NEW met2 ( 1450150 2380 ) ( * 3060 )
+      NEW met2 ( 1449230 2380 ) ( 1450150 * )
+      NEW met1 ( 1449230 289170 ) ( 1452910 * )
+      NEW met2 ( 1452910 289170 ) ( * 298860 )
+      NEW met2 ( 1452800 298860 ) ( 1452910 * )
+      NEW met2 ( 1452800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1449230 2380 ) ( * 289170 )
+      NEW met1 ( 1449230 289170 ) M1M2_PR
+      NEW met1 ( 1452910 289170 ) M1M2_PR ;
+    - la_data_out[47] ( PIN la_data_out[47] ) ( mprj la_data_out[47] ) + USE SIGNAL
+      + ROUTED met2 ( 1468550 2380 0 ) ( * 20910 )
+      NEW met1 ( 1462570 20910 ) ( 1468550 * )
+      NEW met1 ( 1456590 289170 ) ( 1462570 * )
+      NEW met2 ( 1456590 289170 ) ( * 298860 )
+      NEW met2 ( 1456590 298860 ) ( 1456700 * )
+      NEW met2 ( 1456700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1462570 20910 ) ( * 289170 )
+      NEW met1 ( 1468550 20910 ) M1M2_PR
+      NEW met1 ( 1462570 20910 ) M1M2_PR
+      NEW met1 ( 1462570 289170 ) M1M2_PR
+      NEW met1 ( 1456590 289170 ) M1M2_PR ;
+    - la_data_out[48] ( PIN la_data_out[48] ) ( mprj la_data_out[48] ) + USE SIGNAL
+      + ROUTED met2 ( 1460600 298860 ) ( 1462110 * )
+      NEW met2 ( 1460600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1462110 27710 ) ( * 298860 )
+      NEW met2 ( 1486490 2380 0 ) ( * 27710 )
+      NEW met1 ( 1462110 27710 ) ( 1486490 * )
+      NEW met1 ( 1462110 27710 ) M1M2_PR
+      NEW met1 ( 1486490 27710 ) M1M2_PR ;
     - la_data_out[49] ( PIN la_data_out[49] ) ( mprj la_data_out[49] ) + USE SIGNAL
-      + ROUTED met2 ( 1503970 2380 0 ) ( * 34170 )
-      NEW met1 ( 1503970 34170 ) ( 1711890 * )
-      NEW met2 ( 1711300 298860 ) ( 1711890 * )
-      NEW met2 ( 1711300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1711890 34170 ) ( * 298860 )
-      NEW met1 ( 1503970 34170 ) M1M2_PR
-      NEW met1 ( 1711890 34170 ) M1M2_PR ;
+      + ROUTED met1 ( 1464410 285770 ) ( 1480050 * )
+      NEW met2 ( 1464410 285770 ) ( * 298860 )
+      NEW met2 ( 1464410 298860 ) ( 1464500 * )
+      NEW met2 ( 1464500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1480050 31790 ) ( * 285770 )
+      NEW met2 ( 1503970 2380 0 ) ( * 31790 )
+      NEW met1 ( 1480050 31790 ) ( 1503970 * )
+      NEW met1 ( 1480050 31790 ) M1M2_PR
+      NEW met1 ( 1480050 285770 ) M1M2_PR
+      NEW met1 ( 1464410 285770 ) M1M2_PR
+      NEW met1 ( 1503970 31790 ) M1M2_PR ;
     - la_data_out[4] ( PIN la_data_out[4] ) ( mprj la_data_out[4] ) + USE SIGNAL
-      + ROUTED met2 ( 706330 2380 0 ) ( * 17510 )
-      NEW met1 ( 706330 17510 ) ( 710470 * )
-      NEW met2 ( 710470 17510 ) ( * 168810 )
-      NEW met2 ( 1492010 298860 ) ( 1496500 * )
-      NEW met2 ( 1496500 298860 ) ( * 300220 0 )
-      NEW met1 ( 710470 168810 ) ( 1491090 * )
-      NEW met2 ( 1491090 168810 ) ( * 227700 )
-      NEW met2 ( 1491090 227700 ) ( 1492010 * )
-      NEW met2 ( 1492010 227700 ) ( * 298860 )
-      NEW met1 ( 706330 17510 ) M1M2_PR
-      NEW met1 ( 710470 17510 ) M1M2_PR
-      NEW met1 ( 710470 168810 ) M1M2_PR
-      NEW met1 ( 1491090 168810 ) M1M2_PR ;
+      + ROUTED met2 ( 706330 2380 0 ) ( * 18870 )
+      NEW li1 ( 1245450 283730 ) ( * 289170 )
+      NEW met1 ( 1245450 283730 ) ( 1288690 * )
+      NEW met2 ( 1288690 283730 ) ( * 298860 )
+      NEW met2 ( 1288690 298860 ) ( 1288800 * )
+      NEW met2 ( 1288800 298860 ) ( * 300220 0 )
+      NEW met2 ( 783150 19210 ) ( * 289170 )
+      NEW met1 ( 706330 18870 ) ( 710700 * )
+      NEW met1 ( 710700 18870 ) ( * 19210 )
+      NEW met1 ( 710700 19210 ) ( 783150 * )
+      NEW met1 ( 783150 289170 ) ( 1000500 * )
+      NEW met1 ( 1000500 288830 ) ( * 289170 )
+      NEW met1 ( 1000500 288830 ) ( 1003900 * )
+      NEW met1 ( 1003900 288830 ) ( * 289170 )
+      NEW met1 ( 1003900 289170 ) ( 1245450 * )
+      NEW met1 ( 706330 18870 ) M1M2_PR
+      NEW met1 ( 783150 19210 ) M1M2_PR
+      NEW met1 ( 783150 289170 ) M1M2_PR
+      NEW li1 ( 1245450 289170 ) L1M1_PR_MR
+      NEW li1 ( 1245450 283730 ) L1M1_PR_MR
+      NEW met1 ( 1288690 283730 ) M1M2_PR ;
     - la_data_out[50] ( PIN la_data_out[50] ) ( mprj la_data_out[50] ) + USE SIGNAL
-      + ROUTED met2 ( 1521910 2380 0 ) ( * 30430 )
-      NEW met1 ( 1521910 30430 ) ( 1711430 * )
-      NEW met1 ( 1711430 288150 ) ( 1716030 * )
-      NEW met2 ( 1716030 288150 ) ( * 298860 )
-      NEW met2 ( 1716030 298860 ) ( 1716100 * )
-      NEW met2 ( 1716100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1711430 30430 ) ( * 288150 )
-      NEW met1 ( 1521910 30430 ) M1M2_PR
-      NEW met1 ( 1711430 30430 ) M1M2_PR
-      NEW met1 ( 1711430 288150 ) M1M2_PR
-      NEW met1 ( 1716030 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 1468400 298860 ) ( 1469470 * )
+      NEW met2 ( 1468400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1469470 21250 ) ( * 298860 )
+      NEW met2 ( 1521910 2380 0 ) ( * 21250 )
+      NEW met1 ( 1469470 21250 ) ( 1521910 * )
+      NEW met1 ( 1469470 21250 ) M1M2_PR
+      NEW met1 ( 1521910 21250 ) M1M2_PR ;
     - la_data_out[51] ( PIN la_data_out[51] ) ( mprj la_data_out[51] ) + USE SIGNAL
-      + ROUTED met2 ( 1539850 2380 0 ) ( * 32810 )
-      NEW met1 ( 1539850 32810 ) ( 1718330 * )
-      NEW met2 ( 1718330 298860 ) ( 1720900 * )
-      NEW met2 ( 1720900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1718330 32810 ) ( * 298860 )
-      NEW met1 ( 1539850 32810 ) M1M2_PR
-      NEW met1 ( 1718330 32810 ) M1M2_PR ;
+      + ROUTED met1 ( 1472230 289170 ) ( 1476370 * )
+      NEW met2 ( 1472230 289170 ) ( * 298860 )
+      NEW met2 ( 1472200 298860 ) ( 1472230 * )
+      NEW met2 ( 1472200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1539850 2380 0 ) ( * 29410 )
+      NEW met2 ( 1476370 29410 ) ( * 289170 )
+      NEW met1 ( 1476370 29410 ) ( 1539850 * )
+      NEW met1 ( 1476370 29410 ) M1M2_PR
+      NEW met1 ( 1476370 289170 ) M1M2_PR
+      NEW met1 ( 1472230 289170 ) M1M2_PR
+      NEW met1 ( 1539850 29410 ) M1M2_PR ;
     - la_data_out[52] ( PIN la_data_out[52] ) ( mprj la_data_out[52] ) + USE SIGNAL
-      + ROUTED met2 ( 1557330 2380 0 ) ( * 30090 )
-      NEW met2 ( 1725690 298860 ) ( 1725700 * )
-      NEW met2 ( 1725700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1725690 30090 ) ( * 298860 )
-      NEW met1 ( 1557330 30090 ) ( 1725690 * )
-      NEW met1 ( 1557330 30090 ) M1M2_PR
-      NEW met1 ( 1725690 30090 ) M1M2_PR ;
+      + ROUTED met2 ( 1475910 298860 ) ( 1476100 * )
+      NEW met2 ( 1476100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1557330 2380 0 ) ( * 29750 )
+      NEW met2 ( 1475910 29750 ) ( * 298860 )
+      NEW met1 ( 1475910 29750 ) ( 1557330 * )
+      NEW met1 ( 1475910 29750 ) M1M2_PR
+      NEW met1 ( 1557330 29750 ) M1M2_PR ;
     - la_data_out[53] ( PIN la_data_out[53] ) ( mprj la_data_out[53] ) + USE SIGNAL
-      + ROUTED met2 ( 1575270 2380 0 ) ( * 33150 )
-      NEW met2 ( 1726150 298860 ) ( 1730500 * )
-      NEW met2 ( 1730500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1726150 33150 ) ( * 298860 )
-      NEW met1 ( 1575270 33150 ) ( 1726150 * )
-      NEW met1 ( 1575270 33150 ) M1M2_PR
-      NEW met1 ( 1726150 33150 ) M1M2_PR ;
+      + ROUTED met1 ( 1480050 289170 ) ( 1483270 * )
+      NEW met2 ( 1480050 289170 ) ( * 298860 )
+      NEW met2 ( 1480050 298860 ) ( 1480100 * )
+      NEW met2 ( 1480100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1575270 2380 0 ) ( * 30090 )
+      NEW met2 ( 1483270 30090 ) ( * 289170 )
+      NEW met1 ( 1483270 30090 ) ( 1575270 * )
+      NEW met1 ( 1483270 30090 ) M1M2_PR
+      NEW met1 ( 1483270 289170 ) M1M2_PR
+      NEW met1 ( 1480050 289170 ) M1M2_PR
+      NEW met1 ( 1575270 30090 ) M1M2_PR ;
     - la_data_out[54] ( PIN la_data_out[54] ) ( mprj la_data_out[54] ) + USE SIGNAL
-      + ROUTED met2 ( 1732130 298860 ) ( 1735200 * )
-      NEW met2 ( 1735200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1732130 33830 ) ( * 298860 )
-      NEW met2 ( 1592750 2380 0 ) ( * 33830 )
-      NEW met1 ( 1592750 33830 ) ( 1732130 * )
-      NEW met1 ( 1732130 33830 ) M1M2_PR
-      NEW met1 ( 1592750 33830 ) M1M2_PR ;
+      + ROUTED met1 ( 1484190 289170 ) ( 1490170 * )
+      NEW met2 ( 1484190 289170 ) ( * 298860 )
+      NEW met2 ( 1484000 298860 ) ( 1484190 * )
+      NEW met2 ( 1484000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1592750 2380 0 ) ( * 30430 )
+      NEW met1 ( 1490170 30430 ) ( 1592750 * )
+      NEW met2 ( 1490170 30430 ) ( * 289170 )
+      NEW met1 ( 1490170 30430 ) M1M2_PR
+      NEW met1 ( 1490170 289170 ) M1M2_PR
+      NEW met1 ( 1484190 289170 ) M1M2_PR
+      NEW met1 ( 1592750 30430 ) M1M2_PR ;
     - la_data_out[55] ( PIN la_data_out[55] ) ( mprj la_data_out[55] ) + USE SIGNAL
-      + ROUTED met2 ( 1739030 298860 ) ( 1740000 * )
-      NEW met2 ( 1740000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1739030 29750 ) ( * 298860 )
-      NEW met2 ( 1610690 2380 0 ) ( * 29750 )
-      NEW met1 ( 1610690 29750 ) ( 1739030 * )
-      NEW met1 ( 1739030 29750 ) M1M2_PR
-      NEW met1 ( 1610690 29750 ) M1M2_PR ;
+      + ROUTED met2 ( 1487900 298860 ) ( 1489710 * )
+      NEW met2 ( 1487900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1610690 2380 0 ) ( * 34170 )
+      NEW met1 ( 1489710 34170 ) ( 1610690 * )
+      NEW met2 ( 1489710 34170 ) ( * 298860 )
+      NEW met1 ( 1489710 34170 ) M1M2_PR
+      NEW met1 ( 1610690 34170 ) M1M2_PR ;
     - la_data_out[56] ( PIN la_data_out[56] ) ( mprj la_data_out[56] ) + USE SIGNAL
-      + ROUTED met2 ( 1740870 298860 ) ( 1744800 * )
-      NEW met2 ( 1744800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1739490 29410 ) ( * 227700 )
-      NEW met2 ( 1739490 227700 ) ( 1740870 * )
-      NEW met2 ( 1740870 227700 ) ( * 298860 )
-      NEW met2 ( 1628170 2380 0 ) ( * 29410 )
-      NEW met1 ( 1628170 29410 ) ( 1739490 * )
-      NEW met1 ( 1739490 29410 ) M1M2_PR
-      NEW met1 ( 1628170 29410 ) M1M2_PR ;
+      + ROUTED met1 ( 1492010 285770 ) ( 1496610 * )
+      NEW met2 ( 1492010 285770 ) ( * 298860 )
+      NEW met2 ( 1491800 298860 ) ( 1492010 * )
+      NEW met2 ( 1491800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1628170 2380 0 ) ( * 33830 )
+      NEW met1 ( 1496610 33830 ) ( 1628170 * )
+      NEW met2 ( 1496610 33830 ) ( * 285770 )
+      NEW met1 ( 1496610 33830 ) M1M2_PR
+      NEW met1 ( 1496610 285770 ) M1M2_PR
+      NEW met1 ( 1492010 285770 ) M1M2_PR
+      NEW met1 ( 1628170 33830 ) M1M2_PR ;
     - la_data_out[57] ( PIN la_data_out[57] ) ( mprj la_data_out[57] ) + USE SIGNAL
-      + ROUTED met2 ( 1646110 2380 0 ) ( * 29070 )
-      NEW met2 ( 1745930 298860 ) ( 1749600 * )
-      NEW met2 ( 1749600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1745930 29070 ) ( * 298860 )
-      NEW met1 ( 1646110 29070 ) ( 1745930 * )
-      NEW met1 ( 1646110 29070 ) M1M2_PR
-      NEW met1 ( 1745930 29070 ) M1M2_PR ;
+      + ROUTED met2 ( 1646110 2380 0 ) ( * 33490 )
+      NEW met2 ( 1495700 298860 ) ( 1497070 * )
+      NEW met2 ( 1495700 298860 ) ( * 300220 0 )
+      NEW met1 ( 1497070 33490 ) ( 1646110 * )
+      NEW met2 ( 1497070 33490 ) ( * 298860 )
+      NEW met1 ( 1646110 33490 ) M1M2_PR
+      NEW met1 ( 1497070 33490 ) M1M2_PR ;
     - la_data_out[58] ( PIN la_data_out[58] ) ( mprj la_data_out[58] ) + USE SIGNAL
-      + ROUTED met2 ( 1663590 2380 0 ) ( * 28730 )
-      NEW met2 ( 1752830 298860 ) ( 1754300 * )
-      NEW met2 ( 1754300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1752830 28730 ) ( * 298860 )
-      NEW met1 ( 1663590 28730 ) ( 1752830 * )
-      NEW met1 ( 1663590 28730 ) M1M2_PR
-      NEW met1 ( 1752830 28730 ) M1M2_PR ;
+      + ROUTED met2 ( 1663590 2380 0 ) ( * 33150 )
+      NEW met1 ( 1499830 289170 ) ( 1503970 * )
+      NEW met2 ( 1499830 289170 ) ( * 298860 )
+      NEW met2 ( 1499600 298860 ) ( 1499830 * )
+      NEW met2 ( 1499600 298860 ) ( * 300220 0 )
+      NEW met1 ( 1503970 33150 ) ( 1663590 * )
+      NEW met2 ( 1503970 33150 ) ( * 289170 )
+      NEW met1 ( 1663590 33150 ) M1M2_PR
+      NEW met1 ( 1503970 33150 ) M1M2_PR
+      NEW met1 ( 1503970 289170 ) M1M2_PR
+      NEW met1 ( 1499830 289170 ) M1M2_PR ;
     - la_data_out[59] ( PIN la_data_out[59] ) ( mprj la_data_out[59] ) + USE SIGNAL
-      + ROUTED met2 ( 1754670 298860 ) ( 1759100 * )
-      NEW met2 ( 1759100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1753290 28390 ) ( * 227700 )
-      NEW met2 ( 1753290 227700 ) ( 1754670 * )
-      NEW met2 ( 1754670 227700 ) ( * 298860 )
-      NEW met2 ( 1681530 2380 0 ) ( * 28390 )
-      NEW met1 ( 1681530 28390 ) ( 1753290 * )
-      NEW met1 ( 1753290 28390 ) M1M2_PR
-      NEW met1 ( 1681530 28390 ) M1M2_PR ;
+      + ROUTED met2 ( 1503500 298860 ) ( 1503510 * )
+      NEW met2 ( 1503500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1681530 2380 0 ) ( * 32810 )
+      NEW met1 ( 1503510 32810 ) ( 1681530 * )
+      NEW met2 ( 1503510 32810 ) ( * 298860 )
+      NEW met1 ( 1503510 32810 ) M1M2_PR
+      NEW met1 ( 1681530 32810 ) M1M2_PR ;
     - la_data_out[5] ( PIN la_data_out[5] ) ( mprj la_data_out[5] ) + USE SIGNAL
-      + ROUTED met2 ( 1497990 298860 ) ( 1501200 * )
-      NEW met2 ( 1501200 298860 ) ( * 300220 0 )
-      NEW met2 ( 723810 2380 0 ) ( * 66810 )
-      NEW met1 ( 723810 66810 ) ( 1497990 * )
-      NEW met2 ( 1497990 66810 ) ( * 298860 )
-      NEW met1 ( 723810 66810 ) M1M2_PR
-      NEW met1 ( 1497990 66810 ) M1M2_PR ;
+      + ROUTED li1 ( 760610 18870 ) ( * 20570 )
+      NEW met1 ( 760610 20570 ) ( 804310 * )
+      NEW met2 ( 804310 20570 ) ( * 120530 )
+      NEW met2 ( 723810 2380 0 ) ( * 18870 )
+      NEW met1 ( 723810 18870 ) ( 760610 * )
+      NEW met1 ( 804310 120530 ) ( 1290530 * )
+      NEW met2 ( 1290530 298860 ) ( 1292700 * )
+      NEW met2 ( 1292700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1290530 120530 ) ( * 298860 )
+      NEW li1 ( 760610 18870 ) L1M1_PR_MR
+      NEW li1 ( 760610 20570 ) L1M1_PR_MR
+      NEW met1 ( 804310 20570 ) M1M2_PR
+      NEW met1 ( 804310 120530 ) M1M2_PR
+      NEW met1 ( 723810 18870 ) M1M2_PR
+      NEW met1 ( 1290530 120530 ) M1M2_PR ;
     - la_data_out[60] ( PIN la_data_out[60] ) ( mprj la_data_out[60] ) + USE SIGNAL
-      + ROUTED met2 ( 1759730 298860 ) ( 1763800 * )
-      NEW met2 ( 1763800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1759730 28050 ) ( * 298860 )
-      NEW met2 ( 1699470 2380 0 ) ( * 28050 )
-      NEW met1 ( 1699470 28050 ) ( 1759730 * )
-      NEW met1 ( 1759730 28050 ) M1M2_PR
-      NEW met1 ( 1699470 28050 ) M1M2_PR ;
+      + ROUTED met1 ( 1507650 289170 ) ( 1510870 * )
+      NEW met2 ( 1507650 289170 ) ( * 298860 )
+      NEW met2 ( 1507500 298860 ) ( 1507650 * )
+      NEW met2 ( 1507500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1699470 2380 0 ) ( * 32470 )
+      NEW met1 ( 1510870 32470 ) ( 1699470 * )
+      NEW met2 ( 1510870 32470 ) ( * 289170 )
+      NEW met1 ( 1510870 32470 ) M1M2_PR
+      NEW met1 ( 1510870 289170 ) M1M2_PR
+      NEW met1 ( 1507650 289170 ) M1M2_PR
+      NEW met1 ( 1699470 32470 ) M1M2_PR ;
     - la_data_out[61] ( PIN la_data_out[61] ) ( mprj la_data_out[61] ) + USE SIGNAL
-      + ROUTED met2 ( 1766630 298860 ) ( 1768600 * )
-      NEW met2 ( 1768600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1766630 33490 ) ( * 298860 )
-      NEW met2 ( 1716950 2380 0 ) ( * 33490 )
-      NEW met1 ( 1716950 33490 ) ( 1766630 * )
-      NEW met1 ( 1766630 33490 ) M1M2_PR
-      NEW met1 ( 1716950 33490 ) M1M2_PR ;
+      + ROUTED met1 ( 1511330 289510 ) ( 1517310 * )
+      NEW met2 ( 1511330 289510 ) ( * 298860 )
+      NEW met2 ( 1511330 298860 ) ( 1511400 * )
+      NEW met2 ( 1511400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1716950 2380 0 ) ( * 32130 )
+      NEW met1 ( 1517310 32130 ) ( 1716950 * )
+      NEW met2 ( 1517310 32130 ) ( * 289510 )
+      NEW met1 ( 1517310 32130 ) M1M2_PR
+      NEW met1 ( 1517310 289510 ) M1M2_PR
+      NEW met1 ( 1511330 289510 ) M1M2_PR
+      NEW met1 ( 1716950 32130 ) M1M2_PR ;
     - la_data_out[62] ( PIN la_data_out[62] ) ( mprj la_data_out[62] ) + USE SIGNAL
-      + ROUTED met2 ( 1734890 2380 0 ) ( * 32810 )
-      NEW met1 ( 1734890 32810 ) ( 1773530 * )
-      NEW met2 ( 1773400 298860 ) ( 1773530 * )
-      NEW met2 ( 1773400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1773530 32810 ) ( * 298860 )
-      NEW met1 ( 1734890 32810 ) M1M2_PR
-      NEW met1 ( 1773530 32810 ) M1M2_PR ;
+      + ROUTED met2 ( 1734890 2380 0 ) ( * 31790 )
+      NEW met1 ( 1515470 289170 ) ( 1517770 * )
+      NEW met2 ( 1515470 289170 ) ( * 298860 )
+      NEW met2 ( 1515200 298860 ) ( 1515470 * )
+      NEW met2 ( 1515200 298860 ) ( * 300220 0 )
+      NEW met1 ( 1517770 31790 ) ( 1734890 * )
+      NEW met2 ( 1517770 31790 ) ( * 289170 )
+      NEW met1 ( 1734890 31790 ) M1M2_PR
+      NEW met1 ( 1517770 31790 ) M1M2_PR
+      NEW met1 ( 1517770 289170 ) M1M2_PR
+      NEW met1 ( 1515470 289170 ) M1M2_PR ;
     - la_data_out[63] ( PIN la_data_out[63] ) ( mprj la_data_out[63] ) + USE SIGNAL
-      + ROUTED met2 ( 1752370 2380 0 ) ( * 29070 )
-      NEW met1 ( 1752370 29070 ) ( 1773990 * )
-      NEW met2 ( 1773990 298860 ) ( 1778200 * )
-      NEW met2 ( 1778200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1773990 29070 ) ( * 298860 )
-      NEW met1 ( 1752370 29070 ) M1M2_PR
-      NEW met1 ( 1773990 29070 ) M1M2_PR ;
+      + ROUTED met2 ( 1752370 2380 0 ) ( * 21250 )
+      NEW met1 ( 1519150 289170 ) ( 1524670 * )
+      NEW met2 ( 1519150 289170 ) ( * 298860 )
+      NEW met2 ( 1519100 298860 ) ( 1519150 * )
+      NEW met2 ( 1519100 298860 ) ( * 300220 0 )
+      NEW met1 ( 1524670 21250 ) ( 1752370 * )
+      NEW met2 ( 1524670 21250 ) ( * 289170 )
+      NEW met1 ( 1752370 21250 ) M1M2_PR
+      NEW met1 ( 1524670 21250 ) M1M2_PR
+      NEW met1 ( 1524670 289170 ) M1M2_PR
+      NEW met1 ( 1519150 289170 ) M1M2_PR ;
     - la_data_out[64] ( PIN la_data_out[64] ) ( mprj la_data_out[64] ) + USE SIGNAL
       + ROUTED met2 ( 1770310 2380 0 ) ( * 28050 )
-      NEW met1 ( 1770310 28050 ) ( 1780430 * )
-      NEW met2 ( 1780430 298860 ) ( 1783000 * )
-      NEW met2 ( 1783000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1780430 28050 ) ( * 298860 )
+      NEW met2 ( 1523000 298860 ) ( 1524210 * )
+      NEW met2 ( 1523000 298860 ) ( * 300220 0 )
+      NEW met1 ( 1524210 28050 ) ( 1770310 * )
+      NEW met2 ( 1524210 28050 ) ( * 298860 )
       NEW met1 ( 1770310 28050 ) M1M2_PR
-      NEW met1 ( 1780430 28050 ) M1M2_PR ;
+      NEW met1 ( 1524210 28050 ) M1M2_PR ;
     - la_data_out[65] ( PIN la_data_out[65] ) ( mprj la_data_out[65] ) + USE SIGNAL
-      + ROUTED met2 ( 1787330 298860 ) ( 1787900 * )
-      NEW met2 ( 1787900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1787330 82800 ) ( 1787790 * )
-      NEW met2 ( 1787790 2380 0 ) ( * 82800 )
-      NEW met2 ( 1787330 82800 ) ( * 298860 ) ;
+      + ROUTED met1 ( 1526970 289170 ) ( 1531110 * )
+      NEW met2 ( 1526970 289170 ) ( * 298860 )
+      NEW met2 ( 1526900 298860 ) ( 1526970 * )
+      NEW met2 ( 1526900 298860 ) ( * 300220 0 )
+      NEW met1 ( 1531110 100470 ) ( 1787790 * )
+      NEW met2 ( 1531110 100470 ) ( * 289170 )
+      NEW met2 ( 1787790 2380 0 ) ( * 100470 )
+      NEW met1 ( 1531110 100470 ) M1M2_PR
+      NEW met1 ( 1531110 289170 ) M1M2_PR
+      NEW met1 ( 1526970 289170 ) M1M2_PR
+      NEW met1 ( 1787790 100470 ) M1M2_PR ;
     - la_data_out[66] ( PIN la_data_out[66] ) ( mprj la_data_out[66] ) + USE SIGNAL
-      + ROUTED met2 ( 1805730 2380 0 ) ( * 28050 )
-      NEW met1 ( 1793770 28050 ) ( 1805730 * )
-      NEW met2 ( 1792700 298860 ) ( 1793770 * )
-      NEW met2 ( 1792700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1793770 28050 ) ( * 298860 )
-      NEW met1 ( 1805730 28050 ) M1M2_PR
-      NEW met1 ( 1793770 28050 ) M1M2_PR ;
+      + ROUTED met2 ( 1530800 298860 ) ( 1531570 * )
+      NEW met2 ( 1530800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1531570 41990 ) ( * 298860 )
+      NEW met1 ( 1531570 41990 ) ( 1805730 * )
+      NEW met2 ( 1805730 2380 0 ) ( * 41990 )
+      NEW met1 ( 1531570 41990 ) M1M2_PR
+      NEW met1 ( 1805730 41990 ) M1M2_PR ;
     - la_data_out[67] ( PIN la_data_out[67] ) ( mprj la_data_out[67] ) + USE SIGNAL
-      + ROUTED met2 ( 1823210 2380 0 ) ( * 28390 )
-      NEW met1 ( 1800670 28390 ) ( 1823210 * )
-      NEW met1 ( 1797450 288150 ) ( 1800670 * )
-      NEW met2 ( 1797450 288150 ) ( * 298860 )
-      NEW met2 ( 1797400 298860 ) ( 1797450 * )
-      NEW met2 ( 1797400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1800670 28390 ) ( * 288150 )
-      NEW met1 ( 1823210 28390 ) M1M2_PR
-      NEW met1 ( 1800670 28390 ) M1M2_PR
-      NEW met1 ( 1800670 288150 ) M1M2_PR
-      NEW met1 ( 1797450 288150 ) M1M2_PR ;
+      + ROUTED met1 ( 1534790 289170 ) ( 1538010 * )
+      NEW met2 ( 1534790 289170 ) ( * 298860 )
+      NEW met2 ( 1534700 298860 ) ( 1534790 * )
+      NEW met2 ( 1534700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1538010 42330 ) ( * 289170 )
+      NEW met2 ( 1823210 2380 0 ) ( * 42330 )
+      NEW met1 ( 1538010 42330 ) ( 1823210 * )
+      NEW met1 ( 1538010 289170 ) M1M2_PR
+      NEW met1 ( 1534790 289170 ) M1M2_PR
+      NEW met1 ( 1538010 42330 ) M1M2_PR
+      NEW met1 ( 1823210 42330 ) M1M2_PR ;
     - la_data_out[68] ( PIN la_data_out[68] ) ( mprj la_data_out[68] ) + USE SIGNAL
-      + ROUTED met2 ( 1841150 2380 0 ) ( * 33490 )
-      NEW met1 ( 1807110 33490 ) ( 1841150 * )
-      NEW met1 ( 1802050 288150 ) ( 1807110 * )
-      NEW met2 ( 1802050 288150 ) ( * 298860 )
-      NEW met2 ( 1802050 298860 ) ( 1802100 * )
-      NEW met2 ( 1802100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1807110 33490 ) ( * 288150 )
-      NEW met1 ( 1841150 33490 ) M1M2_PR
-      NEW met1 ( 1807110 33490 ) M1M2_PR
-      NEW met1 ( 1807110 288150 ) M1M2_PR
-      NEW met1 ( 1802050 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 1538470 298860 ) ( 1538600 * )
+      NEW met2 ( 1538600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1538470 42670 ) ( * 298860 )
+      NEW met2 ( 1841150 2380 0 ) ( * 42670 )
+      NEW met1 ( 1538470 42670 ) ( 1841150 * )
+      NEW met1 ( 1538470 42670 ) M1M2_PR
+      NEW met1 ( 1841150 42670 ) M1M2_PR ;
     - la_data_out[69] ( PIN la_data_out[69] ) ( mprj la_data_out[69] ) + USE SIGNAL
-      + ROUTED met2 ( 1858630 2380 0 ) ( * 32810 )
-      NEW met1 ( 1807570 32810 ) ( 1858630 * )
-      NEW met2 ( 1806900 298860 ) ( 1807570 * )
-      NEW met2 ( 1806900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1807570 32810 ) ( * 298860 )
-      NEW met1 ( 1858630 32810 ) M1M2_PR
-      NEW met1 ( 1807570 32810 ) M1M2_PR ;
+      + ROUTED met1 ( 1542610 289170 ) ( 1545370 * )
+      NEW met2 ( 1542610 289170 ) ( * 298860 )
+      NEW met2 ( 1542500 298860 ) ( 1542610 * )
+      NEW met2 ( 1542500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1545370 43010 ) ( * 289170 )
+      NEW met2 ( 1858630 2380 0 ) ( * 43010 )
+      NEW met1 ( 1545370 43010 ) ( 1858630 * )
+      NEW met1 ( 1545370 289170 ) M1M2_PR
+      NEW met1 ( 1542610 289170 ) M1M2_PR
+      NEW met1 ( 1545370 43010 ) M1M2_PR
+      NEW met1 ( 1858630 43010 ) M1M2_PR ;
     - la_data_out[6] ( PIN la_data_out[6] ) ( mprj la_data_out[6] ) + USE SIGNAL
-      + ROUTED met2 ( 741750 2380 0 ) ( * 17510 )
-      NEW met1 ( 741750 17510 ) ( 744970 * )
-      NEW met1 ( 744970 182750 ) ( 1500750 * )
-      NEW met1 ( 1500750 288150 ) ( 1505810 * )
-      NEW met2 ( 1505810 288150 ) ( * 298860 )
-      NEW met2 ( 1505810 298860 ) ( 1506000 * )
-      NEW met2 ( 1506000 298860 ) ( * 300220 0 )
-      NEW met2 ( 744970 17510 ) ( * 182750 )
-      NEW met2 ( 1500750 182750 ) ( * 288150 )
-      NEW met1 ( 741750 17510 ) M1M2_PR
-      NEW met1 ( 744970 17510 ) M1M2_PR
-      NEW met1 ( 744970 182750 ) M1M2_PR
-      NEW met1 ( 1500750 182750 ) M1M2_PR
-      NEW met1 ( 1500750 288150 ) M1M2_PR
-      NEW met1 ( 1505810 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 797410 20230 ) ( * 175950 )
+      NEW met2 ( 741750 2380 0 ) ( * 20230 )
+      NEW met1 ( 741750 20230 ) ( 797410 * )
+      NEW met2 ( 1293750 298860 ) ( 1296700 * )
+      NEW met2 ( 1296700 298860 ) ( * 300220 0 )
+      NEW met1 ( 797410 175950 ) ( 1290990 * )
+      NEW met2 ( 1290990 175950 ) ( * 227700 )
+      NEW met2 ( 1290990 227700 ) ( 1293750 * )
+      NEW met2 ( 1293750 227700 ) ( * 298860 )
+      NEW met1 ( 797410 20230 ) M1M2_PR
+      NEW met1 ( 797410 175950 ) M1M2_PR
+      NEW met1 ( 741750 20230 ) M1M2_PR
+      NEW met1 ( 1290990 175950 ) M1M2_PR ;
     - la_data_out[70] ( PIN la_data_out[70] ) ( mprj la_data_out[70] ) + USE SIGNAL
-      + ROUTED met1 ( 1811710 288150 ) ( 1814470 * )
-      NEW met2 ( 1811710 288150 ) ( * 298860 )
-      NEW met2 ( 1811700 298860 ) ( 1811710 * )
-      NEW met2 ( 1811700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1876570 2380 0 ) ( * 28730 )
-      NEW met1 ( 1814470 28730 ) ( 1876570 * )
-      NEW met2 ( 1814470 28730 ) ( * 288150 )
-      NEW met1 ( 1814470 28730 ) M1M2_PR
-      NEW met1 ( 1814470 288150 ) M1M2_PR
-      NEW met1 ( 1811710 288150 ) M1M2_PR
-      NEW met1 ( 1876570 28730 ) M1M2_PR ;
+      + ROUTED met1 ( 1546290 289170 ) ( 1551810 * )
+      NEW met2 ( 1546290 289170 ) ( * 298860 )
+      NEW met2 ( 1546290 298860 ) ( 1546400 * )
+      NEW met2 ( 1546400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1551810 43350 ) ( * 289170 )
+      NEW met1 ( 1551810 43350 ) ( 1876570 * )
+      NEW met2 ( 1876570 2380 0 ) ( * 43350 )
+      NEW met1 ( 1551810 289170 ) M1M2_PR
+      NEW met1 ( 1546290 289170 ) M1M2_PR
+      NEW met1 ( 1551810 43350 ) M1M2_PR
+      NEW met1 ( 1876570 43350 ) M1M2_PR ;
     - la_data_out[71] ( PIN la_data_out[71] ) ( mprj la_data_out[71] ) + USE SIGNAL
-      + ROUTED met1 ( 1816770 288150 ) ( 1820910 * )
-      NEW met2 ( 1816770 288150 ) ( * 298860 )
-      NEW met2 ( 1816500 298860 ) ( 1816770 * )
-      NEW met2 ( 1816500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1894510 2380 0 ) ( * 29070 )
-      NEW met1 ( 1820910 29070 ) ( 1894510 * )
-      NEW met2 ( 1820910 29070 ) ( * 288150 )
-      NEW met1 ( 1820910 29070 ) M1M2_PR
-      NEW met1 ( 1820910 288150 ) M1M2_PR
-      NEW met1 ( 1816770 288150 ) M1M2_PR
-      NEW met1 ( 1894510 29070 ) M1M2_PR ;
+      + ROUTED met2 ( 1550300 298860 ) ( 1552270 * )
+      NEW met2 ( 1550300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1552270 43690 ) ( * 298860 )
+      NEW met1 ( 1552270 43690 ) ( 1894510 * )
+      NEW met2 ( 1894510 2380 0 ) ( * 43690 )
+      NEW met1 ( 1552270 43690 ) M1M2_PR
+      NEW met1 ( 1894510 43690 ) M1M2_PR ;
     - la_data_out[72] ( PIN la_data_out[72] ) ( mprj la_data_out[72] ) + USE SIGNAL
-      + ROUTED met2 ( 1821300 298860 ) ( 1821370 * )
-      NEW met2 ( 1821300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1911990 2380 0 ) ( * 29410 )
-      NEW met1 ( 1821370 29410 ) ( 1911990 * )
-      NEW met2 ( 1821370 29410 ) ( * 298860 )
-      NEW met1 ( 1821370 29410 ) M1M2_PR
-      NEW met1 ( 1911990 29410 ) M1M2_PR ;
+      + ROUTED met1 ( 1554110 289170 ) ( 1559170 * )
+      NEW met2 ( 1554110 289170 ) ( * 298860 )
+      NEW met2 ( 1554110 298860 ) ( 1554200 * )
+      NEW met2 ( 1554200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1559170 44030 ) ( * 289170 )
+      NEW met1 ( 1559170 44030 ) ( 1911990 * )
+      NEW met2 ( 1911990 2380 0 ) ( * 44030 )
+      NEW met1 ( 1559170 289170 ) M1M2_PR
+      NEW met1 ( 1554110 289170 ) M1M2_PR
+      NEW met1 ( 1559170 44030 ) M1M2_PR
+      NEW met1 ( 1911990 44030 ) M1M2_PR ;
     - la_data_out[73] ( PIN la_data_out[73] ) ( mprj la_data_out[73] ) + USE SIGNAL
-      + ROUTED met1 ( 1825970 288150 ) ( 1828270 * )
-      NEW met2 ( 1825970 288150 ) ( * 298860 )
-      NEW met2 ( 1825970 298860 ) ( 1826000 * )
-      NEW met2 ( 1826000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1929930 2380 0 ) ( * 29750 )
-      NEW met2 ( 1828270 29750 ) ( * 288150 )
-      NEW met1 ( 1828270 29750 ) ( 1929930 * )
-      NEW met1 ( 1828270 29750 ) M1M2_PR
-      NEW met1 ( 1828270 288150 ) M1M2_PR
-      NEW met1 ( 1825970 288150 ) M1M2_PR
-      NEW met1 ( 1929930 29750 ) M1M2_PR ;
+      + ROUTED met2 ( 1558200 298860 ) ( 1558710 * )
+      NEW met2 ( 1558200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1558710 44370 ) ( * 298860 )
+      NEW met2 ( 1929930 2380 0 ) ( * 44370 )
+      NEW met1 ( 1558710 44370 ) ( 1929930 * )
+      NEW met1 ( 1558710 44370 ) M1M2_PR
+      NEW met1 ( 1929930 44370 ) M1M2_PR ;
     - la_data_out[74] ( PIN la_data_out[74] ) ( mprj la_data_out[74] ) + USE SIGNAL
-      + ROUTED met1 ( 1831030 288150 ) ( 1835170 * )
-      NEW met2 ( 1831030 288150 ) ( * 298860 )
-      NEW met2 ( 1830800 298860 ) ( 1831030 * )
-      NEW met2 ( 1830800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1947410 2380 0 ) ( * 30090 )
-      NEW met2 ( 1835170 30090 ) ( * 288150 )
-      NEW met1 ( 1835170 30090 ) ( 1947410 * )
-      NEW met1 ( 1835170 30090 ) M1M2_PR
-      NEW met1 ( 1835170 288150 ) M1M2_PR
-      NEW met1 ( 1831030 288150 ) M1M2_PR
-      NEW met1 ( 1947410 30090 ) M1M2_PR ;
+      + ROUTED met1 ( 1562390 289170 ) ( 1565610 * )
+      NEW met2 ( 1562390 289170 ) ( * 298860 )
+      NEW met2 ( 1562100 298860 ) ( 1562390 * )
+      NEW met2 ( 1562100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1565610 48110 ) ( * 289170 )
+      NEW met2 ( 1947410 2380 0 ) ( * 48110 )
+      NEW met1 ( 1565610 48110 ) ( 1947410 * )
+      NEW met1 ( 1565610 289170 ) M1M2_PR
+      NEW met1 ( 1562390 289170 ) M1M2_PR
+      NEW met1 ( 1565610 48110 ) M1M2_PR
+      NEW met1 ( 1947410 48110 ) M1M2_PR ;
     - la_data_out[75] ( PIN la_data_out[75] ) ( mprj la_data_out[75] ) + USE SIGNAL
-      + ROUTED met1 ( 1835630 283390 ) ( 1841610 * )
-      NEW met2 ( 1835630 283390 ) ( * 298860 )
-      NEW met2 ( 1835600 298860 ) ( 1835630 * )
-      NEW met2 ( 1835600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1965350 2380 0 ) ( * 30430 )
-      NEW met2 ( 1841610 30430 ) ( * 283390 )
-      NEW met1 ( 1841610 30430 ) ( 1965350 * )
-      NEW met1 ( 1841610 30430 ) M1M2_PR
-      NEW met1 ( 1841610 283390 ) M1M2_PR
-      NEW met1 ( 1835630 283390 ) M1M2_PR
-      NEW met1 ( 1965350 30430 ) M1M2_PR ;
+      + ROUTED met2 ( 1566000 298860 ) ( 1566070 * )
+      NEW met2 ( 1566000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1566070 47770 ) ( * 298860 )
+      NEW met2 ( 1965350 2380 0 ) ( * 47770 )
+      NEW met1 ( 1566070 47770 ) ( 1965350 * )
+      NEW met1 ( 1566070 47770 ) M1M2_PR
+      NEW met1 ( 1965350 47770 ) M1M2_PR ;
     - la_data_out[76] ( PIN la_data_out[76] ) ( mprj la_data_out[76] ) + USE SIGNAL
-      + ROUTED met2 ( 1840400 298860 ) ( 1842070 * )
-      NEW met2 ( 1840400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1842070 34170 ) ( * 298860 )
-      NEW met2 ( 1982830 2380 0 ) ( * 34170 )
-      NEW met1 ( 1842070 34170 ) ( 1982830 * )
-      NEW met1 ( 1842070 34170 ) M1M2_PR
-      NEW met1 ( 1982830 34170 ) M1M2_PR ;
+      + ROUTED met1 ( 1569750 289170 ) ( 1572970 * )
+      NEW met2 ( 1569750 289170 ) ( * 298860 )
+      NEW met2 ( 1569750 298860 ) ( 1569900 * )
+      NEW met2 ( 1569900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1572970 47430 ) ( * 289170 )
+      NEW met1 ( 1572970 47430 ) ( 1982830 * )
+      NEW met2 ( 1982830 2380 0 ) ( * 47430 )
+      NEW met1 ( 1572970 289170 ) M1M2_PR
+      NEW met1 ( 1569750 289170 ) M1M2_PR
+      NEW met1 ( 1572970 47430 ) M1M2_PR
+      NEW met1 ( 1982830 47430 ) M1M2_PR ;
     - la_data_out[77] ( PIN la_data_out[77] ) ( mprj la_data_out[77] ) + USE SIGNAL
-      + ROUTED met1 ( 1845290 288150 ) ( 1848970 * )
-      NEW met2 ( 1845290 288150 ) ( * 298860 )
-      NEW met2 ( 1845200 298860 ) ( 1845290 * )
-      NEW met2 ( 1845200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1848970 33830 ) ( * 288150 )
-      NEW met2 ( 2000770 2380 0 ) ( * 33830 )
-      NEW met1 ( 1848970 33830 ) ( 2000770 * )
-      NEW met1 ( 1848970 33830 ) M1M2_PR
-      NEW met1 ( 1848970 288150 ) M1M2_PR
-      NEW met1 ( 1845290 288150 ) M1M2_PR
-      NEW met1 ( 2000770 33830 ) M1M2_PR ;
+      + ROUTED met1 ( 1573890 285430 ) ( 1579410 * )
+      NEW met2 ( 1573890 285430 ) ( * 298860 )
+      NEW met2 ( 1573800 298860 ) ( 1573890 * )
+      NEW met2 ( 1573800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1579410 47090 ) ( * 285430 )
+      NEW met1 ( 1579410 47090 ) ( 2000770 * )
+      NEW met2 ( 2000770 2380 0 ) ( * 47090 )
+      NEW met1 ( 1579410 285430 ) M1M2_PR
+      NEW met1 ( 1573890 285430 ) M1M2_PR
+      NEW met1 ( 1579410 47090 ) M1M2_PR
+      NEW met1 ( 2000770 47090 ) M1M2_PR ;
     - la_data_out[78] ( PIN la_data_out[78] ) ( mprj la_data_out[78] ) + USE SIGNAL
-      + ROUTED met1 ( 1849890 288150 ) ( 1855410 * )
-      NEW met2 ( 1849890 288150 ) ( * 298860 )
-      NEW met2 ( 1849890 298860 ) ( 1849900 * )
-      NEW met2 ( 1849900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2018250 2380 0 ) ( * 16660 )
-      NEW met2 ( 2017790 16660 ) ( 2018250 * )
-      NEW met2 ( 2017790 16660 ) ( * 33490 )
-      NEW met2 ( 1855410 33490 ) ( * 288150 )
-      NEW met1 ( 1855410 33490 ) ( 2017790 * )
-      NEW met1 ( 1855410 33490 ) M1M2_PR
-      NEW met1 ( 1855410 288150 ) M1M2_PR
-      NEW met1 ( 1849890 288150 ) M1M2_PR
-      NEW met1 ( 2017790 33490 ) M1M2_PR ;
+      + ROUTED met1 ( 1577570 289170 ) ( 1579870 * )
+      NEW met2 ( 1577570 289170 ) ( * 298860 )
+      NEW met2 ( 1577570 298860 ) ( 1577700 * )
+      NEW met2 ( 1577700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1579870 46750 ) ( * 289170 )
+      NEW met2 ( 2018250 2380 0 ) ( * 46750 )
+      NEW met1 ( 1579870 46750 ) ( 2018250 * )
+      NEW met1 ( 1579870 289170 ) M1M2_PR
+      NEW met1 ( 1577570 289170 ) M1M2_PR
+      NEW met1 ( 1579870 46750 ) M1M2_PR
+      NEW met1 ( 2018250 46750 ) M1M2_PR ;
     - la_data_out[79] ( PIN la_data_out[79] ) ( mprj la_data_out[79] ) + USE SIGNAL
-      + ROUTED met2 ( 1854600 298860 ) ( 1855870 * )
-      NEW met2 ( 1854600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2036190 2380 0 ) ( * 33150 )
-      NEW met2 ( 1855870 33150 ) ( * 298860 )
-      NEW met1 ( 1855870 33150 ) ( 2036190 * )
-      NEW met1 ( 1855870 33150 ) M1M2_PR
-      NEW met1 ( 2036190 33150 ) M1M2_PR ;
+      + ROUTED met2 ( 2036190 2380 0 ) ( * 17340 )
+      NEW met2 ( 2035730 17340 ) ( 2036190 * )
+      NEW met2 ( 2035730 17340 ) ( * 46410 )
+      NEW met1 ( 1581710 289170 ) ( 1586770 * )
+      NEW met2 ( 1581710 289170 ) ( * 298860 )
+      NEW met2 ( 1581600 298860 ) ( 1581710 * )
+      NEW met2 ( 1581600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1586770 46410 ) ( * 289170 )
+      NEW met1 ( 1586770 46410 ) ( 2035730 * )
+      NEW met1 ( 2035730 46410 ) M1M2_PR
+      NEW met1 ( 1586770 289170 ) M1M2_PR
+      NEW met1 ( 1581710 289170 ) M1M2_PR
+      NEW met1 ( 1586770 46410 ) M1M2_PR ;
     - la_data_out[7] ( PIN la_data_out[7] ) ( mprj la_data_out[7] ) + USE SIGNAL
-      + ROUTED met2 ( 759230 2380 0 ) ( * 17510 )
-      NEW met1 ( 759230 17510 ) ( 765670 * )
-      NEW met2 ( 765210 276000 ) ( * 288150 )
-      NEW met2 ( 765210 276000 ) ( 765670 * )
-      NEW met2 ( 765670 17510 ) ( * 276000 )
-      NEW met1 ( 1497530 288150 ) ( * 288830 )
-      NEW met1 ( 1497530 288830 ) ( 1510870 * )
-      NEW met2 ( 1510870 288830 ) ( * 298860 )
-      NEW met2 ( 1510800 298860 ) ( 1510870 * )
-      NEW met2 ( 1510800 298860 ) ( * 300220 0 )
-      NEW met1 ( 765210 288150 ) ( 1497530 * )
-      NEW met1 ( 759230 17510 ) M1M2_PR
-      NEW met1 ( 765670 17510 ) M1M2_PR
-      NEW met1 ( 765210 288150 ) M1M2_PR
-      NEW met1 ( 1510870 288830 ) M1M2_PR ;
+      + ROUTED met2 ( 759230 2380 0 ) ( * 16490 )
+      NEW met1 ( 759230 16490 ) ( 804770 * )
+      NEW li1 ( 804770 16490 ) ( * 20570 )
+      NEW met1 ( 804770 20570 ) ( 810750 * )
+      NEW met2 ( 1297430 298860 ) ( 1300500 * )
+      NEW met2 ( 1300500 298860 ) ( * 300220 0 )
+      NEW met2 ( 810750 20570 ) ( * 134470 )
+      NEW met1 ( 810750 134470 ) ( 1297430 * )
+      NEW met2 ( 1297430 134470 ) ( * 298860 )
+      NEW met1 ( 759230 16490 ) M1M2_PR
+      NEW li1 ( 804770 16490 ) L1M1_PR_MR
+      NEW li1 ( 804770 20570 ) L1M1_PR_MR
+      NEW met1 ( 810750 20570 ) M1M2_PR
+      NEW met1 ( 810750 134470 ) M1M2_PR
+      NEW met1 ( 1297430 134470 ) M1M2_PR ;
     - la_data_out[80] ( PIN la_data_out[80] ) ( mprj la_data_out[80] ) + USE SIGNAL
-      + ROUTED met1 ( 1859550 288150 ) ( 1862770 * )
-      NEW met2 ( 1859550 288150 ) ( * 298860 )
-      NEW met2 ( 1859400 298860 ) ( 1859550 * )
-      NEW met2 ( 1859400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2054130 2380 0 ) ( * 32810 )
-      NEW met2 ( 1862770 32810 ) ( * 288150 )
-      NEW met1 ( 1862770 32810 ) ( 2054130 * )
-      NEW met1 ( 1862770 32810 ) M1M2_PR
-      NEW met1 ( 1862770 288150 ) M1M2_PR
-      NEW met1 ( 1859550 288150 ) M1M2_PR
-      NEW met1 ( 2054130 32810 ) M1M2_PR ;
+      + ROUTED met2 ( 2054130 2380 0 ) ( * 46070 )
+      NEW met2 ( 1585500 298860 ) ( 1586310 * )
+      NEW met2 ( 1585500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1586310 46070 ) ( * 298860 )
+      NEW met1 ( 1586310 46070 ) ( 2054130 * )
+      NEW met1 ( 2054130 46070 ) M1M2_PR
+      NEW met1 ( 1586310 46070 ) M1M2_PR ;
     - la_data_out[81] ( PIN la_data_out[81] ) ( mprj la_data_out[81] ) + USE SIGNAL
-      + ROUTED met1 ( 1864150 288150 ) ( 1869210 * )
-      NEW met2 ( 1864150 288150 ) ( * 298860 )
-      NEW met2 ( 1864150 298860 ) ( 1864200 * )
-      NEW met2 ( 1864200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1869210 32470 ) ( * 288150 )
-      NEW met1 ( 1869210 32470 ) ( 1966500 * )
-      NEW met1 ( 1966500 31450 ) ( * 32470 )
-      NEW met2 ( 2071610 2380 0 ) ( * 31450 )
-      NEW met1 ( 1966500 31450 ) ( 2071610 * )
-      NEW met1 ( 1869210 32470 ) M1M2_PR
-      NEW met1 ( 1869210 288150 ) M1M2_PR
-      NEW met1 ( 1864150 288150 ) M1M2_PR
-      NEW met1 ( 2071610 31450 ) M1M2_PR ;
+      + ROUTED met1 ( 1589530 289170 ) ( 1593670 * )
+      NEW met2 ( 1589530 289170 ) ( * 298860 )
+      NEW met2 ( 1589400 298860 ) ( 1589530 * )
+      NEW met2 ( 1589400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1593670 45730 ) ( * 289170 )
+      NEW met1 ( 1593670 45730 ) ( 2071610 * )
+      NEW met2 ( 2071610 2380 0 ) ( * 45730 )
+      NEW met1 ( 1593670 289170 ) M1M2_PR
+      NEW met1 ( 1589530 289170 ) M1M2_PR
+      NEW met1 ( 1593670 45730 ) M1M2_PR
+      NEW met1 ( 2071610 45730 ) M1M2_PR ;
     - la_data_out[82] ( PIN la_data_out[82] ) ( mprj la_data_out[82] ) + USE SIGNAL
-      + ROUTED met2 ( 1869000 298860 ) ( 1869670 * )
-      NEW met2 ( 1869000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1869670 28050 ) ( * 298860 )
-      NEW met1 ( 1918200 27710 ) ( * 28050 )
-      NEW met1 ( 1869670 28050 ) ( 1918200 * )
-      NEW met2 ( 2089550 2380 0 ) ( * 27710 )
-      NEW met1 ( 1918200 27710 ) ( 2089550 * )
-      NEW met1 ( 1869670 28050 ) M1M2_PR
-      NEW met1 ( 2089550 27710 ) M1M2_PR ;
+      + ROUTED met2 ( 1593200 298860 ) ( 1593210 * )
+      NEW met2 ( 1593200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1593210 45390 ) ( * 298860 )
+      NEW met1 ( 1593210 45390 ) ( 2089550 * )
+      NEW met2 ( 2089550 2380 0 ) ( * 45390 )
+      NEW met1 ( 1593210 45390 ) M1M2_PR
+      NEW met1 ( 2089550 45390 ) M1M2_PR ;
     - la_data_out[83] ( PIN la_data_out[83] ) ( mprj la_data_out[83] ) + USE SIGNAL
-      + ROUTED met1 ( 1873810 288150 ) ( 1876570 * )
-      NEW met2 ( 1873810 288150 ) ( * 298860 )
-      NEW met2 ( 1873800 298860 ) ( 1873810 * )
-      NEW met2 ( 1873800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1876570 35190 ) ( * 288150 )
-      NEW met1 ( 1876570 35190 ) ( 2107030 * )
-      NEW met2 ( 2107030 2380 0 ) ( * 35190 )
-      NEW met1 ( 1876570 288150 ) M1M2_PR
-      NEW met1 ( 1873810 288150 ) M1M2_PR
-      NEW met1 ( 1876570 35190 ) M1M2_PR
-      NEW met1 ( 2107030 35190 ) M1M2_PR ;
+      + ROUTED met1 ( 1597350 289170 ) ( 1600570 * )
+      NEW met2 ( 1597350 289170 ) ( * 298860 )
+      NEW met2 ( 1597100 298860 ) ( 1597350 * )
+      NEW met2 ( 1597100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1600570 45050 ) ( * 289170 )
+      NEW met1 ( 1600570 45050 ) ( 2107030 * )
+      NEW met2 ( 2107030 2380 0 ) ( * 45050 )
+      NEW met1 ( 1600570 289170 ) M1M2_PR
+      NEW met1 ( 1597350 289170 ) M1M2_PR
+      NEW met1 ( 1600570 45050 ) M1M2_PR
+      NEW met1 ( 2107030 45050 ) M1M2_PR ;
     - la_data_out[84] ( PIN la_data_out[84] ) ( mprj la_data_out[84] ) + USE SIGNAL
-      + ROUTED met2 ( 2124970 2380 0 ) ( * 35530 )
-      NEW met1 ( 1878870 288150 ) ( 1883010 * )
-      NEW met2 ( 1878870 288150 ) ( * 298860 )
-      NEW met2 ( 1878600 298860 ) ( 1878870 * )
-      NEW met2 ( 1878600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1883010 35530 ) ( * 288150 )
-      NEW met1 ( 1883010 35530 ) ( 2124970 * )
-      NEW met1 ( 2124970 35530 ) M1M2_PR
-      NEW met1 ( 1883010 288150 ) M1M2_PR
-      NEW met1 ( 1878870 288150 ) M1M2_PR
-      NEW met1 ( 1883010 35530 ) M1M2_PR ;
+      + ROUTED met2 ( 2124970 2380 0 ) ( * 17510 )
+      NEW met1 ( 2118530 17510 ) ( 2124970 * )
+      NEW met2 ( 2118530 17510 ) ( * 48790 )
+      NEW met1 ( 1601030 289170 ) ( 1607470 * )
+      NEW met2 ( 1601030 289170 ) ( * 298860 )
+      NEW met2 ( 1601000 298860 ) ( 1601030 * )
+      NEW met2 ( 1601000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1607470 48790 ) ( * 289170 )
+      NEW met1 ( 1607470 48790 ) ( 2118530 * )
+      NEW met1 ( 2124970 17510 ) M1M2_PR
+      NEW met1 ( 2118530 17510 ) M1M2_PR
+      NEW met1 ( 2118530 48790 ) M1M2_PR
+      NEW met1 ( 1607470 289170 ) M1M2_PR
+      NEW met1 ( 1601030 289170 ) M1M2_PR
+      NEW met1 ( 1607470 48790 ) M1M2_PR ;
     - la_data_out[85] ( PIN la_data_out[85] ) ( mprj la_data_out[85] ) + USE SIGNAL
-      + ROUTED met2 ( 2142450 2380 0 ) ( * 35870 )
-      NEW met2 ( 1883300 298860 ) ( 1883470 * )
-      NEW met2 ( 1883300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1883470 35870 ) ( * 298860 )
-      NEW met1 ( 1883470 35870 ) ( 2142450 * )
-      NEW met1 ( 2142450 35870 ) M1M2_PR
-      NEW met1 ( 1883470 35870 ) M1M2_PR ;
+      + ROUTED met2 ( 2142450 2380 0 ) ( * 49130 )
+      NEW met2 ( 1604900 298860 ) ( 1607010 * )
+      NEW met2 ( 1604900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1607010 49130 ) ( * 298860 )
+      NEW met1 ( 1607010 49130 ) ( 2142450 * )
+      NEW met1 ( 2142450 49130 ) M1M2_PR
+      NEW met1 ( 1607010 49130 ) M1M2_PR ;
     - la_data_out[86] ( PIN la_data_out[86] ) ( mprj la_data_out[86] ) + USE SIGNAL
-      + ROUTED met1 ( 1888070 288150 ) ( 1890370 * )
-      NEW met2 ( 1888070 288150 ) ( * 298860 )
-      NEW met2 ( 1888070 298860 ) ( 1888100 * )
-      NEW met2 ( 1888100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1890370 36210 ) ( * 288150 )
-      NEW met1 ( 1890370 36210 ) ( 2160390 * )
-      NEW met2 ( 2160390 2380 0 ) ( * 36210 )
-      NEW met1 ( 1890370 288150 ) M1M2_PR
-      NEW met1 ( 1888070 288150 ) M1M2_PR
-      NEW met1 ( 1890370 36210 ) M1M2_PR
-      NEW met1 ( 2160390 36210 ) M1M2_PR ;
+      + ROUTED met1 ( 1608850 289170 ) ( 1613910 * )
+      NEW met2 ( 1608850 289170 ) ( * 298860 )
+      NEW met2 ( 1608850 298860 ) ( 1608900 * )
+      NEW met2 ( 1608900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1613910 49470 ) ( * 289170 )
+      NEW met1 ( 1613910 49470 ) ( 2160390 * )
+      NEW met2 ( 2160390 2380 0 ) ( * 49470 )
+      NEW met1 ( 1613910 289170 ) M1M2_PR
+      NEW met1 ( 1608850 289170 ) M1M2_PR
+      NEW met1 ( 1613910 49470 ) M1M2_PR
+      NEW met1 ( 2160390 49470 ) M1M2_PR ;
     - la_data_out[87] ( PIN la_data_out[87] ) ( mprj la_data_out[87] ) + USE SIGNAL
-      + ROUTED met1 ( 1893130 288150 ) ( 1897270 * )
-      NEW met2 ( 1893130 288150 ) ( * 298860 )
-      NEW met2 ( 1892900 298860 ) ( 1893130 * )
-      NEW met2 ( 1892900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1897270 44030 ) ( * 288150 )
-      NEW met1 ( 1897270 44030 ) ( 2177870 * )
-      NEW met2 ( 2177870 2380 0 ) ( * 44030 )
-      NEW met1 ( 1897270 288150 ) M1M2_PR
-      NEW met1 ( 1893130 288150 ) M1M2_PR
-      NEW met1 ( 1897270 44030 ) M1M2_PR
-      NEW met1 ( 2177870 44030 ) M1M2_PR ;
+      + ROUTED met2 ( 1612800 298860 ) ( 1614370 * )
+      NEW met2 ( 1612800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2177870 2380 0 ) ( * 3060 )
+      NEW met2 ( 2176950 3060 ) ( 2177870 * )
+      NEW met2 ( 2176950 2380 ) ( * 3060 )
+      NEW met2 ( 2175570 2380 ) ( 2176950 * )
+      NEW met2 ( 1614370 49810 ) ( * 298860 )
+      NEW met1 ( 1614370 49810 ) ( 2175570 * )
+      NEW met2 ( 2175570 2380 ) ( * 49810 )
+      NEW met1 ( 1614370 49810 ) M1M2_PR
+      NEW met1 ( 2175570 49810 ) M1M2_PR ;
     - la_data_out[88] ( PIN la_data_out[88] ) ( mprj la_data_out[88] ) + USE SIGNAL
-      + ROUTED met1 ( 1897730 288150 ) ( 1904170 * )
-      NEW met2 ( 1897730 288150 ) ( * 298860 )
-      NEW met2 ( 1897600 298860 ) ( 1897730 * )
-      NEW met2 ( 1897600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1904170 44370 ) ( * 288150 )
-      NEW met1 ( 1904170 44370 ) ( 2195810 * )
-      NEW met2 ( 2195810 2380 0 ) ( * 44370 )
-      NEW met1 ( 1904170 288150 ) M1M2_PR
-      NEW met1 ( 1897730 288150 ) M1M2_PR
-      NEW met1 ( 1904170 44370 ) M1M2_PR
-      NEW met1 ( 2195810 44370 ) M1M2_PR ;
+      + ROUTED met1 ( 1616670 289170 ) ( 1620810 * )
+      NEW met2 ( 1616670 289170 ) ( * 298860 )
+      NEW met2 ( 1616670 298860 ) ( 1616700 * )
+      NEW met2 ( 1616700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1620810 50150 ) ( * 289170 )
+      NEW met1 ( 1620810 50150 ) ( 2195810 * )
+      NEW met2 ( 2195810 2380 0 ) ( * 50150 )
+      NEW met1 ( 1620810 289170 ) M1M2_PR
+      NEW met1 ( 1616670 289170 ) M1M2_PR
+      NEW met1 ( 1620810 50150 ) M1M2_PR
+      NEW met1 ( 2195810 50150 ) M1M2_PR ;
     - la_data_out[89] ( PIN la_data_out[89] ) ( mprj la_data_out[89] ) + USE SIGNAL
-      + ROUTED met2 ( 2213290 2380 0 ) ( * 48110 )
-      NEW met2 ( 1902400 298860 ) ( 1903710 * )
-      NEW met2 ( 1902400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1903710 48110 ) ( * 298860 )
-      NEW met1 ( 1903710 48110 ) ( 2213290 * )
-      NEW met1 ( 2213290 48110 ) M1M2_PR
-      NEW met1 ( 1903710 48110 ) M1M2_PR ;
+      + ROUTED met2 ( 2213290 2380 0 ) ( * 50490 )
+      NEW met2 ( 1620600 298860 ) ( 1621270 * )
+      NEW met2 ( 1620600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1621270 50490 ) ( * 298860 )
+      NEW met1 ( 1621270 50490 ) ( 2213290 * )
+      NEW met1 ( 2213290 50490 ) M1M2_PR
+      NEW met1 ( 1621270 50490 ) M1M2_PR ;
     - la_data_out[8] ( PIN la_data_out[8] ) ( mprj la_data_out[8] ) + USE SIGNAL
-      + ROUTED met2 ( 777170 2380 0 ) ( * 17510 )
-      NEW met1 ( 777170 17510 ) ( 779470 * )
-      NEW met2 ( 779470 17510 ) ( * 80750 )
-      NEW met2 ( 1511330 298860 ) ( 1515500 * )
-      NEW met2 ( 1515500 298860 ) ( * 300220 0 )
-      NEW met1 ( 779470 80750 ) ( 1511330 * )
-      NEW met2 ( 1511330 80750 ) ( * 298860 )
-      NEW met1 ( 777170 17510 ) M1M2_PR
-      NEW met1 ( 779470 17510 ) M1M2_PR
-      NEW met1 ( 779470 80750 ) M1M2_PR
-      NEW met1 ( 1511330 80750 ) M1M2_PR ;
+      + ROUTED met2 ( 777170 2380 0 ) ( * 19890 )
+      NEW met1 ( 826390 19890 ) ( * 20570 )
+      NEW met1 ( 826390 20570 ) ( 845250 * )
+      NEW met1 ( 777170 19890 ) ( 826390 * )
+      NEW met2 ( 1304330 280670 ) ( * 298860 )
+      NEW met2 ( 1304330 298860 ) ( 1304400 * )
+      NEW met2 ( 1304400 298860 ) ( * 300220 0 )
+      NEW met1 ( 845250 280670 ) ( 1304330 * )
+      NEW met2 ( 845250 20570 ) ( * 280670 )
+      NEW met1 ( 777170 19890 ) M1M2_PR
+      NEW met1 ( 845250 20570 ) M1M2_PR
+      NEW met1 ( 845250 280670 ) M1M2_PR
+      NEW met1 ( 1304330 280670 ) M1M2_PR ;
     - la_data_out[90] ( PIN la_data_out[90] ) ( mprj la_data_out[90] ) + USE SIGNAL
-      + ROUTED met2 ( 2231230 2380 0 ) ( * 47770 )
-      NEW met1 ( 1907390 288150 ) ( 1911070 * )
-      NEW met2 ( 1907390 288150 ) ( * 298860 )
-      NEW met2 ( 1907200 298860 ) ( 1907390 * )
-      NEW met2 ( 1907200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1911070 47770 ) ( * 288150 )
-      NEW met1 ( 1911070 47770 ) ( 2231230 * )
-      NEW met1 ( 2231230 47770 ) M1M2_PR
-      NEW met1 ( 1911070 288150 ) M1M2_PR
-      NEW met1 ( 1907390 288150 ) M1M2_PR
-      NEW met1 ( 1911070 47770 ) M1M2_PR ;
+      + ROUTED met2 ( 2231230 2380 0 ) ( * 3060 )
+      NEW met2 ( 2230310 3060 ) ( 2231230 * )
+      NEW met2 ( 2230310 2380 ) ( * 3060 )
+      NEW met2 ( 2228930 2380 ) ( 2230310 * )
+      NEW met2 ( 2228930 2380 ) ( * 50830 )
+      NEW met1 ( 1624490 289170 ) ( 1628170 * )
+      NEW met2 ( 1624490 289170 ) ( * 298860 )
+      NEW met2 ( 1624490 298860 ) ( 1624500 * )
+      NEW met2 ( 1624500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1628170 50830 ) ( * 289170 )
+      NEW met1 ( 1628170 50830 ) ( 2228930 * )
+      NEW met1 ( 2228930 50830 ) M1M2_PR
+      NEW met1 ( 1628170 289170 ) M1M2_PR
+      NEW met1 ( 1624490 289170 ) M1M2_PR
+      NEW met1 ( 1628170 50830 ) M1M2_PR ;
     - la_data_out[91] ( PIN la_data_out[91] ) ( mprj la_data_out[91] ) + USE SIGNAL
-      + ROUTED met2 ( 2249170 2380 0 ) ( * 47430 )
-      NEW met1 ( 1911990 288150 ) ( 1917970 * )
-      NEW met2 ( 1911990 288150 ) ( * 298860 )
-      NEW met2 ( 1911900 298860 ) ( 1911990 * )
-      NEW met2 ( 1911900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1917970 47430 ) ( * 288150 )
-      NEW met1 ( 1917970 47430 ) ( 2249170 * )
-      NEW met1 ( 2249170 47430 ) M1M2_PR
-      NEW met1 ( 1917970 288150 ) M1M2_PR
-      NEW met1 ( 1911990 288150 ) M1M2_PR
-      NEW met1 ( 1917970 47430 ) M1M2_PR ;
+      + ROUTED met1 ( 1628630 285770 ) ( 1635070 * )
+      NEW met2 ( 1628630 285770 ) ( * 298860 )
+      NEW met2 ( 2249170 2380 0 ) ( * 17510 )
+      NEW met1 ( 2242730 17510 ) ( 2249170 * )
+      NEW met2 ( 1635070 51170 ) ( * 285770 )
+      NEW met2 ( 2242730 17510 ) ( * 51170 )
+      NEW met2 ( 1628400 298860 ) ( 1628630 * )
+      NEW met2 ( 1628400 298860 ) ( * 300220 0 )
+      NEW met1 ( 1635070 51170 ) ( 2242730 * )
+      NEW met1 ( 1635070 285770 ) M1M2_PR
+      NEW met1 ( 1628630 285770 ) M1M2_PR
+      NEW met1 ( 2249170 17510 ) M1M2_PR
+      NEW met1 ( 2242730 17510 ) M1M2_PR
+      NEW met1 ( 1635070 51170 ) M1M2_PR
+      NEW met1 ( 2242730 51170 ) M1M2_PR ;
     - la_data_out[92] ( PIN la_data_out[92] ) ( mprj la_data_out[92] ) + USE SIGNAL
-      + ROUTED met2 ( 1916700 298860 ) ( 1917510 * )
-      NEW met2 ( 1916700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1917510 47090 ) ( * 298860 )
-      NEW met2 ( 2266650 2380 0 ) ( * 34500 )
-      NEW met2 ( 2266190 34500 ) ( * 47090 )
-      NEW met2 ( 2266190 34500 ) ( 2266650 * )
-      NEW met1 ( 1917510 47090 ) ( 2266190 * )
-      NEW met1 ( 1917510 47090 ) M1M2_PR
-      NEW met1 ( 2266190 47090 ) M1M2_PR ;
+      + ROUTED met1 ( 1632310 289170 ) ( 1634610 * )
+      NEW met2 ( 1632310 289170 ) ( * 298860 )
+      NEW met2 ( 1632300 298860 ) ( 1632310 * )
+      NEW met2 ( 1632300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1634610 54910 ) ( * 289170 )
+      NEW met1 ( 1634610 54910 ) ( 2266650 * )
+      NEW met2 ( 2266650 2380 0 ) ( * 54910 )
+      NEW met1 ( 1634610 289170 ) M1M2_PR
+      NEW met1 ( 1632310 289170 ) M1M2_PR
+      NEW met1 ( 1634610 54910 ) M1M2_PR
+      NEW met1 ( 2266650 54910 ) M1M2_PR ;
     - la_data_out[93] ( PIN la_data_out[93] ) ( mprj la_data_out[93] ) + USE SIGNAL
-      + ROUTED met1 ( 1921650 288150 ) ( 1924870 * )
-      NEW met2 ( 1921650 288150 ) ( * 298860 )
-      NEW met2 ( 1921500 298860 ) ( 1921650 * )
-      NEW met2 ( 1921500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1924870 46750 ) ( * 288150 )
-      NEW met1 ( 1924870 46750 ) ( 2284590 * )
-      NEW met2 ( 2284590 2380 0 ) ( * 46750 )
-      NEW met1 ( 1924870 288150 ) M1M2_PR
-      NEW met1 ( 1921650 288150 ) M1M2_PR
-      NEW met1 ( 1924870 46750 ) M1M2_PR
-      NEW met1 ( 2284590 46750 ) M1M2_PR ;
+      + ROUTED met1 ( 1636450 289170 ) ( 1641970 * )
+      NEW met2 ( 1636450 289170 ) ( * 298860 )
+      NEW met2 ( 1636300 298860 ) ( 1636450 * )
+      NEW met2 ( 1636300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1641970 54570 ) ( * 289170 )
+      NEW met1 ( 1641970 54570 ) ( 2284590 * )
+      NEW met2 ( 2284590 2380 0 ) ( * 54570 )
+      NEW met1 ( 1641970 289170 ) M1M2_PR
+      NEW met1 ( 1636450 289170 ) M1M2_PR
+      NEW met1 ( 1641970 54570 ) M1M2_PR
+      NEW met1 ( 2284590 54570 ) M1M2_PR ;
     - la_data_out[94] ( PIN la_data_out[94] ) ( mprj la_data_out[94] ) + USE SIGNAL
-      + ROUTED met1 ( 1926250 288150 ) ( 1931310 * )
-      NEW met2 ( 1926250 288150 ) ( * 298860 )
-      NEW met2 ( 1926250 298860 ) ( 1926300 * )
-      NEW met2 ( 1926300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1931310 46410 ) ( * 288150 )
-      NEW met1 ( 1931310 46410 ) ( 2302070 * )
-      NEW met2 ( 2302070 2380 0 ) ( * 46410 )
-      NEW met1 ( 1931310 288150 ) M1M2_PR
-      NEW met1 ( 1926250 288150 ) M1M2_PR
-      NEW met1 ( 1931310 46410 ) M1M2_PR
-      NEW met1 ( 2302070 46410 ) M1M2_PR ;
+      + ROUTED met2 ( 1640200 298860 ) ( 1641510 * )
+      NEW met2 ( 1640200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1641510 54230 ) ( * 298860 )
+      NEW met2 ( 2302070 2380 0 ) ( * 3060 )
+      NEW met2 ( 2301150 3060 ) ( 2302070 * )
+      NEW met2 ( 2301150 2380 ) ( * 3060 )
+      NEW met2 ( 2299770 2380 ) ( 2301150 * )
+      NEW met1 ( 1641510 54230 ) ( 2299770 * )
+      NEW met2 ( 2299770 2380 ) ( * 54230 )
+      NEW met1 ( 1641510 54230 ) M1M2_PR
+      NEW met1 ( 2299770 54230 ) M1M2_PR ;
     - la_data_out[95] ( PIN la_data_out[95] ) ( mprj la_data_out[95] ) + USE SIGNAL
-      + ROUTED met2 ( 1931100 298860 ) ( 1931770 * )
-      NEW met2 ( 1931100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1931770 46070 ) ( * 298860 )
-      NEW met2 ( 2320010 2380 0 ) ( * 46070 )
-      NEW met1 ( 1931770 46070 ) ( 2320010 * )
-      NEW met1 ( 1931770 46070 ) M1M2_PR
-      NEW met1 ( 2320010 46070 ) M1M2_PR ;
+      + ROUTED met1 ( 1644270 289170 ) ( 1648410 * )
+      NEW met2 ( 1644270 289170 ) ( * 298860 )
+      NEW met2 ( 1644100 298860 ) ( 1644270 * )
+      NEW met2 ( 1644100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1648410 53890 ) ( * 289170 )
+      NEW met2 ( 2320010 2380 0 ) ( * 53890 )
+      NEW met1 ( 1648410 53890 ) ( 2320010 * )
+      NEW met1 ( 1648410 289170 ) M1M2_PR
+      NEW met1 ( 1644270 289170 ) M1M2_PR
+      NEW met1 ( 1648410 53890 ) M1M2_PR
+      NEW met1 ( 2320010 53890 ) M1M2_PR ;
     - la_data_out[96] ( PIN la_data_out[96] ) ( mprj la_data_out[96] ) + USE SIGNAL
-      + ROUTED met1 ( 1935910 288150 ) ( 1938670 * )
-      NEW met2 ( 1935910 288150 ) ( * 298860 )
-      NEW met2 ( 1935900 298860 ) ( 1935910 * )
-      NEW met2 ( 1935900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1938670 45730 ) ( * 288150 )
-      NEW met2 ( 2337490 2380 0 ) ( * 45730 )
-      NEW met1 ( 1938670 45730 ) ( 2337490 * )
-      NEW met1 ( 1938670 288150 ) M1M2_PR
-      NEW met1 ( 1935910 288150 ) M1M2_PR
-      NEW met1 ( 1938670 45730 ) M1M2_PR
-      NEW met1 ( 2337490 45730 ) M1M2_PR ;
+      + ROUTED met2 ( 1648000 298860 ) ( 1648870 * )
+      NEW met2 ( 1648000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1648870 53550 ) ( * 298860 )
+      NEW met2 ( 2337490 2380 0 ) ( * 53550 )
+      NEW met1 ( 1648870 53550 ) ( 2337490 * )
+      NEW met1 ( 1648870 53550 ) M1M2_PR
+      NEW met1 ( 2337490 53550 ) M1M2_PR ;
     - la_data_out[97] ( PIN la_data_out[97] ) ( mprj la_data_out[97] ) + USE SIGNAL
-      + ROUTED met1 ( 1940510 288150 ) ( 1945570 * )
-      NEW met2 ( 1940510 288150 ) ( * 298860 )
-      NEW met2 ( 1940510 298860 ) ( 1940600 * )
-      NEW met2 ( 1940600 298860 ) ( * 300220 0 )
-      NEW met2 ( 1945570 45390 ) ( * 288150 )
-      NEW met1 ( 1945570 45390 ) ( 2355430 * )
-      NEW met2 ( 2355430 2380 0 ) ( * 45390 )
-      NEW met1 ( 1945570 288150 ) M1M2_PR
-      NEW met1 ( 1940510 288150 ) M1M2_PR
-      NEW met1 ( 1945570 45390 ) M1M2_PR
-      NEW met1 ( 2355430 45390 ) M1M2_PR ;
+      + ROUTED met1 ( 1652090 289170 ) ( 1655770 * )
+      NEW met2 ( 1652090 289170 ) ( * 298860 )
+      NEW met2 ( 1651900 298860 ) ( 1652090 * )
+      NEW met2 ( 1651900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1655770 53210 ) ( * 289170 )
+      NEW met2 ( 2355430 2380 0 ) ( * 3060 )
+      NEW met2 ( 2354510 3060 ) ( 2355430 * )
+      NEW met2 ( 2354510 2380 ) ( * 3060 )
+      NEW met2 ( 2353130 2380 ) ( 2354510 * )
+      NEW met1 ( 1655770 53210 ) ( 2353130 * )
+      NEW met2 ( 2353130 2380 ) ( * 53210 )
+      NEW met1 ( 1655770 289170 ) M1M2_PR
+      NEW met1 ( 1652090 289170 ) M1M2_PR
+      NEW met1 ( 1655770 53210 ) M1M2_PR
+      NEW met1 ( 2353130 53210 ) M1M2_PR ;
     - la_data_out[98] ( PIN la_data_out[98] ) ( mprj la_data_out[98] ) + USE SIGNAL
-      + ROUTED met2 ( 1945110 298860 ) ( 1945300 * )
-      NEW met2 ( 1945300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1945110 45050 ) ( * 298860 )
-      NEW met1 ( 1945110 45050 ) ( 2372910 * )
-      NEW met2 ( 2372910 2380 0 ) ( * 45050 )
-      NEW met1 ( 1945110 45050 ) M1M2_PR
-      NEW met1 ( 2372910 45050 ) M1M2_PR ;
+      + ROUTED met2 ( 1655310 298860 ) ( 1655800 * )
+      NEW met2 ( 1655800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1655310 52870 ) ( * 298860 )
+      NEW met2 ( 2372910 2380 0 ) ( * 3060 )
+      NEW met2 ( 2371990 3060 ) ( 2372910 * )
+      NEW met2 ( 2371990 2380 ) ( * 3060 )
+      NEW met2 ( 2370610 2380 ) ( 2371990 * )
+      NEW met1 ( 1655310 52870 ) ( 2370610 * )
+      NEW met2 ( 2370610 2380 ) ( * 52870 )
+      NEW met1 ( 1655310 52870 ) M1M2_PR
+      NEW met1 ( 2370610 52870 ) M1M2_PR ;
     - la_data_out[99] ( PIN la_data_out[99] ) ( mprj la_data_out[99] ) + USE SIGNAL
-      + ROUTED met1 ( 1950170 288150 ) ( 1952470 * )
-      NEW met2 ( 1950170 288150 ) ( * 298860 )
-      NEW met2 ( 1950100 298860 ) ( 1950170 * )
-      NEW met2 ( 1950100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1952470 44710 ) ( * 288150 )
-      NEW met1 ( 1952470 44710 ) ( 2390850 * )
-      NEW met2 ( 2390850 2380 0 ) ( * 44710 )
-      NEW met1 ( 1952470 288150 ) M1M2_PR
-      NEW met1 ( 1950170 288150 ) M1M2_PR
-      NEW met1 ( 1952470 44710 ) M1M2_PR
-      NEW met1 ( 2390850 44710 ) M1M2_PR ;
+      + ROUTED met1 ( 1659450 289170 ) ( 1662670 * )
+      NEW met2 ( 1659450 289170 ) ( * 298860 )
+      NEW met2 ( 1659450 298860 ) ( 1659600 * )
+      NEW met2 ( 1659600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1662670 52530 ) ( * 289170 )
+      NEW met1 ( 1662670 52530 ) ( 2390850 * )
+      NEW met2 ( 2390850 2380 0 ) ( * 52530 )
+      NEW met1 ( 1662670 289170 ) M1M2_PR
+      NEW met1 ( 1659450 289170 ) M1M2_PR
+      NEW met1 ( 1662670 52530 ) M1M2_PR
+      NEW met1 ( 2390850 52530 ) M1M2_PR ;
     - la_data_out[9] ( PIN la_data_out[9] ) ( mprj la_data_out[9] ) + USE SIGNAL
-      + ROUTED met2 ( 794650 2380 0 ) ( * 17510 )
-      NEW met1 ( 794650 17510 ) ( 800170 * )
-      NEW met2 ( 800170 17510 ) ( * 288830 )
-      NEW li1 ( 1496610 285770 ) ( * 288830 )
-      NEW met1 ( 1496610 285770 ) ( 1520070 * )
-      NEW met2 ( 1520070 285770 ) ( * 298860 )
-      NEW met2 ( 1520070 298860 ) ( 1520300 * )
-      NEW met2 ( 1520300 298860 ) ( * 300220 0 )
-      NEW met1 ( 800170 288830 ) ( 1496610 * )
-      NEW met1 ( 794650 17510 ) M1M2_PR
-      NEW met1 ( 800170 17510 ) M1M2_PR
-      NEW met1 ( 800170 288830 ) M1M2_PR
-      NEW li1 ( 1496610 288830 ) L1M1_PR_MR
-      NEW li1 ( 1496610 285770 ) L1M1_PR_MR
-      NEW met1 ( 1520070 285770 ) M1M2_PR ;
+      + ROUTED met2 ( 794650 2380 0 ) ( * 19210 )
+      NEW met1 ( 794650 19210 ) ( 852150 * )
+      NEW met2 ( 1308010 281350 ) ( * 298860 )
+      NEW met2 ( 1308010 298860 ) ( 1308300 * )
+      NEW met2 ( 1308300 298860 ) ( * 300220 0 )
+      NEW met1 ( 852150 281350 ) ( 1308010 * )
+      NEW met2 ( 852150 19210 ) ( * 281350 )
+      NEW met1 ( 794650 19210 ) M1M2_PR
+      NEW met1 ( 852150 19210 ) M1M2_PR
+      NEW met1 ( 852150 281350 ) M1M2_PR
+      NEW met1 ( 1308010 281350 ) M1M2_PR ;
     - la_oenb[0] ( PIN la_oenb[0] ) ( mprj la_oenb[0] ) + USE SIGNAL
-      + ROUTED met2 ( 2085870 298860 ) ( 2088600 * )
-      NEW met2 ( 2088600 298860 ) ( * 300220 0 )
-      NEW met2 ( 641010 2380 0 ) ( * 51510 )
-      NEW met1 ( 641010 51510 ) ( 2084030 * )
-      NEW met2 ( 2084030 51510 ) ( * 227700 )
-      NEW met2 ( 2084030 227700 ) ( 2085870 * )
-      NEW met2 ( 2085870 227700 ) ( * 298860 )
-      NEW met1 ( 641010 51510 ) M1M2_PR
-      NEW met1 ( 2084030 51510 ) M1M2_PR ;
+      + ROUTED met1 ( 1766630 299030 ) ( 1772900 * )
+      NEW met2 ( 1772900 299030 ) ( * 300220 0 )
+      NEW met2 ( 1766630 44710 ) ( * 299030 )
+      NEW met2 ( 641010 2380 0 ) ( * 44710 )
+      NEW met1 ( 641010 44710 ) ( 1766630 * )
+      NEW met1 ( 1766630 299030 ) M1M2_PR
+      NEW met1 ( 1772900 299030 ) M1M2_PR
+      NEW met1 ( 1766630 44710 ) M1M2_PR
+      NEW met1 ( 641010 44710 ) M1M2_PR ;
     - la_oenb[100] ( PIN la_oenb[100] ) ( mprj la_oenb[100] ) + USE SIGNAL
-      + ROUTED met2 ( 2414310 2380 0 ) ( * 37910 )
-      NEW met1 ( 2560590 299030 ) ( 2566300 * )
-      NEW met2 ( 2566300 299030 ) ( * 300220 0 )
-      NEW met1 ( 2414310 37910 ) ( 2560590 * )
-      NEW met2 ( 2560590 37910 ) ( * 299030 )
-      NEW met1 ( 2414310 37910 ) M1M2_PR
-      NEW met1 ( 2560590 299030 ) M1M2_PR
-      NEW met1 ( 2566300 299030 ) M1M2_PR
-      NEW met1 ( 2560590 37910 ) M1M2_PR ;
+      + ROUTED met2 ( 2414310 2380 0 ) ( * 45050 )
+      NEW met1 ( 2163150 288150 ) ( 2166370 * )
+      NEW met2 ( 2163150 288150 ) ( * 298860 )
+      NEW met2 ( 2163100 298860 ) ( 2163150 * )
+      NEW met2 ( 2163100 298860 ) ( * 300220 0 )
+      NEW met2 ( 2166370 45050 ) ( * 288150 )
+      NEW met1 ( 2166370 45050 ) ( 2414310 * )
+      NEW met1 ( 2414310 45050 ) M1M2_PR
+      NEW met1 ( 2166370 288150 ) M1M2_PR
+      NEW met1 ( 2163150 288150 ) M1M2_PR
+      NEW met1 ( 2166370 45050 ) M1M2_PR ;
     - la_oenb[101] ( PIN la_oenb[101] ) ( mprj la_oenb[101] ) + USE SIGNAL
-      + ROUTED met2 ( 2432250 2380 0 ) ( * 18530 )
-      NEW met1 ( 2432250 18530 ) ( 2567490 * )
-      NEW met2 ( 2567490 298860 ) ( 2571000 * )
-      NEW met2 ( 2571000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2567490 18530 ) ( * 298860 )
-      NEW met1 ( 2432250 18530 ) M1M2_PR
-      NEW met1 ( 2567490 18530 ) M1M2_PR ;
+      + ROUTED met2 ( 2432250 2380 0 ) ( * 17340 )
+      NEW met2 ( 2431790 17340 ) ( 2432250 * )
+      NEW met2 ( 2431790 17340 ) ( * 38590 )
+      NEW met2 ( 2167290 288150 ) ( * 298860 )
+      NEW met2 ( 2167000 298860 ) ( 2167290 * )
+      NEW met2 ( 2167000 298860 ) ( * 300220 0 )
+      NEW met1 ( 2167290 288150 ) ( 2377050 * )
+      NEW met1 ( 2377050 38590 ) ( 2431790 * )
+      NEW met2 ( 2377050 38590 ) ( * 288150 )
+      NEW met1 ( 2431790 38590 ) M1M2_PR
+      NEW met1 ( 2167290 288150 ) M1M2_PR
+      NEW met1 ( 2377050 288150 ) M1M2_PR
+      NEW met1 ( 2377050 38590 ) M1M2_PR ;
     - la_oenb[102] ( PIN la_oenb[102] ) ( mprj la_oenb[102] ) + USE SIGNAL
-      + ROUTED met2 ( 2449730 2380 0 ) ( * 18870 )
-      NEW met1 ( 2449730 18870 ) ( 2574390 * )
-      NEW met2 ( 2574390 298860 ) ( 2575800 * )
-      NEW met2 ( 2575800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2574390 18870 ) ( * 298860 )
-      NEW met1 ( 2449730 18870 ) M1M2_PR
-      NEW met1 ( 2574390 18870 ) M1M2_PR ;
+      + ROUTED met2 ( 2321850 51850 ) ( * 288830 )
+      NEW met2 ( 2170970 288830 ) ( * 298860 )
+      NEW met2 ( 2170900 298860 ) ( 2170970 * )
+      NEW met2 ( 2170900 298860 ) ( * 300220 0 )
+      NEW met1 ( 2170970 288830 ) ( 2321850 * )
+      NEW met2 ( 2449730 2380 0 ) ( * 17340 )
+      NEW met2 ( 2449730 17340 ) ( 2450190 * )
+      NEW met1 ( 2321850 51850 ) ( 2450190 * )
+      NEW met2 ( 2450190 17340 ) ( * 51850 )
+      NEW met1 ( 2321850 288830 ) M1M2_PR
+      NEW met1 ( 2321850 51850 ) M1M2_PR
+      NEW met1 ( 2170970 288830 ) M1M2_PR
+      NEW met1 ( 2450190 51850 ) M1M2_PR ;
     - la_oenb[103] ( PIN la_oenb[103] ) ( mprj la_oenb[103] ) + USE SIGNAL
-      + ROUTED met2 ( 2467670 2380 0 ) ( * 19210 )
-      NEW met1 ( 2467670 19210 ) ( 2581290 * )
-      NEW met2 ( 2580600 298860 ) ( 2581290 * )
-      NEW met2 ( 2580600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2581290 19210 ) ( * 298860 )
-      NEW met1 ( 2467670 19210 ) M1M2_PR
-      NEW met1 ( 2581290 19210 ) M1M2_PR ;
+      + ROUTED met2 ( 2174650 281350 ) ( * 298860 )
+      NEW met2 ( 2174650 298860 ) ( 2174800 * )
+      NEW met2 ( 2174800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2467670 2380 0 ) ( * 3060 )
+      NEW met2 ( 2466750 3060 ) ( 2467670 * )
+      NEW met2 ( 2466750 2380 ) ( * 3060 )
+      NEW met2 ( 2465370 2380 ) ( 2466750 * )
+      NEW met1 ( 2174650 281350 ) ( 2463530 * )
+      NEW met2 ( 2463530 82800 ) ( 2465370 * )
+      NEW met2 ( 2465370 2380 ) ( * 82800 )
+      NEW met2 ( 2463530 82800 ) ( * 281350 )
+      NEW met1 ( 2174650 281350 ) M1M2_PR
+      NEW met1 ( 2463530 281350 ) M1M2_PR ;
     - la_oenb[104] ( PIN la_oenb[104] ) ( mprj la_oenb[104] ) + USE SIGNAL
-      + ROUTED met2 ( 2485610 2380 0 ) ( * 17510 )
-      NEW met1 ( 2485610 17510 ) ( 2490670 * )
-      NEW met2 ( 2585430 286110 ) ( * 298860 )
-      NEW met2 ( 2585300 298860 ) ( 2585430 * )
-      NEW met2 ( 2585300 298860 ) ( * 300220 0 )
-      NEW met1 ( 2490670 286110 ) ( 2585430 * )
-      NEW met2 ( 2490670 17510 ) ( * 286110 )
-      NEW met1 ( 2485610 17510 ) M1M2_PR
-      NEW met1 ( 2490670 17510 ) M1M2_PR
-      NEW met1 ( 2490670 286110 ) M1M2_PR
-      NEW met1 ( 2585430 286110 ) M1M2_PR ;
+      + ROUTED met2 ( 2178700 298860 ) ( 2179710 * )
+      NEW met2 ( 2178700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2179710 39610 ) ( * 298860 )
+      NEW met1 ( 2179710 39610 ) ( 2485610 * )
+      NEW met2 ( 2485610 2380 0 ) ( * 39610 )
+      NEW met1 ( 2179710 39610 ) M1M2_PR
+      NEW met1 ( 2485610 39610 ) M1M2_PR ;
     - la_oenb[105] ( PIN la_oenb[105] ) ( mprj la_oenb[105] ) + USE SIGNAL
-      + ROUTED met2 ( 2503090 2380 0 ) ( * 34500 )
-      NEW met2 ( 2503090 34500 ) ( 2504470 * )
-      NEW met2 ( 2504470 34500 ) ( * 287130 )
-      NEW met2 ( 2590030 287130 ) ( * 298860 )
-      NEW met2 ( 2590030 298860 ) ( 2590100 * )
-      NEW met2 ( 2590100 298860 ) ( * 300220 0 )
-      NEW met1 ( 2504470 287130 ) ( 2590030 * )
-      NEW met1 ( 2504470 287130 ) M1M2_PR
-      NEW met1 ( 2590030 287130 ) M1M2_PR ;
+      + ROUTED met2 ( 2498030 82800 ) ( 2503090 * )
+      NEW met2 ( 2503090 2380 0 ) ( * 82800 )
+      NEW met2 ( 2498030 82800 ) ( * 273530 )
+      NEW met2 ( 2180630 298860 ) ( 2182700 * )
+      NEW met2 ( 2182700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2180630 273530 ) ( * 298860 )
+      NEW met1 ( 2180630 273530 ) ( 2498030 * )
+      NEW met1 ( 2498030 273530 ) M1M2_PR
+      NEW met1 ( 2180630 273530 ) M1M2_PR ;
     - la_oenb[106] ( PIN la_oenb[106] ) ( mprj la_oenb[106] ) + USE SIGNAL
-      + ROUTED met2 ( 2521030 2380 0 ) ( * 17510 )
-      NEW met1 ( 2521030 17510 ) ( 2525170 * )
-      NEW met2 ( 2594630 287470 ) ( * 298860 )
-      NEW met2 ( 2594630 298860 ) ( 2594900 * )
-      NEW met2 ( 2594900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2525170 17510 ) ( * 287470 )
-      NEW met1 ( 2525170 287470 ) ( 2594630 * )
-      NEW met1 ( 2521030 17510 ) M1M2_PR
-      NEW met1 ( 2525170 17510 ) M1M2_PR
-      NEW met1 ( 2525170 287470 ) M1M2_PR
-      NEW met1 ( 2594630 287470 ) M1M2_PR ;
+      + ROUTED met2 ( 2521030 2380 0 ) ( * 3060 )
+      NEW met2 ( 2520110 3060 ) ( 2521030 * )
+      NEW met2 ( 2520110 2380 ) ( * 3060 )
+      NEW met2 ( 2518730 2380 ) ( 2520110 * )
+      NEW met2 ( 2518730 2380 ) ( * 72250 )
+      NEW met2 ( 2186600 298860 ) ( 2187070 * )
+      NEW met2 ( 2186600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2187070 72250 ) ( * 298860 )
+      NEW met1 ( 2187070 72250 ) ( 2518730 * )
+      NEW met1 ( 2518730 72250 ) M1M2_PR
+      NEW met1 ( 2187070 72250 ) M1M2_PR ;
     - la_oenb[107] ( PIN la_oenb[107] ) ( mprj la_oenb[107] ) + USE SIGNAL
-      + ROUTED met2 ( 2599690 288150 ) ( * 298860 )
-      NEW met2 ( 2599690 298860 ) ( 2599700 * )
-      NEW met2 ( 2599700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2538510 2380 0 ) ( * 34500 )
-      NEW met2 ( 2538510 34500 ) ( 2538970 * )
-      NEW met2 ( 2538970 34500 ) ( * 288150 )
-      NEW met1 ( 2538970 288150 ) ( 2599690 * )
-      NEW met1 ( 2538970 288150 ) M1M2_PR
-      NEW met1 ( 2599690 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2538510 2380 0 ) ( * 3060 )
+      NEW met2 ( 2537590 3060 ) ( 2538510 * )
+      NEW met2 ( 2537590 2380 ) ( * 3060 )
+      NEW met2 ( 2536210 2380 ) ( 2537590 * )
+      NEW met2 ( 2335650 51510 ) ( * 289510 )
+      NEW met2 ( 2536210 2380 ) ( * 51510 )
+      NEW met2 ( 2190750 289510 ) ( * 298860 )
+      NEW met2 ( 2190500 298860 ) ( 2190750 * )
+      NEW met2 ( 2190500 298860 ) ( * 300220 0 )
+      NEW met1 ( 2190750 289510 ) ( 2335650 * )
+      NEW met1 ( 2335650 51510 ) ( 2536210 * )
+      NEW met1 ( 2335650 289510 ) M1M2_PR
+      NEW met1 ( 2335650 51510 ) M1M2_PR
+      NEW met1 ( 2536210 51510 ) M1M2_PR
+      NEW met1 ( 2190750 289510 ) M1M2_PR ;
     - la_oenb[108] ( PIN la_oenb[108] ) ( mprj la_oenb[108] ) + USE SIGNAL
-      + ROUTED met2 ( 2604290 286450 ) ( * 298860 )
-      NEW met2 ( 2604290 298860 ) ( 2604500 * )
-      NEW met2 ( 2604500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2556450 2380 0 ) ( * 17510 )
-      NEW met1 ( 2556450 17510 ) ( 2559670 * )
-      NEW met1 ( 2559670 286450 ) ( 2604290 * )
-      NEW met2 ( 2559670 17510 ) ( * 286450 )
-      NEW met1 ( 2604290 286450 ) M1M2_PR
-      NEW met1 ( 2556450 17510 ) M1M2_PR
-      NEW met1 ( 2559670 17510 ) M1M2_PR
-      NEW met1 ( 2559670 286450 ) M1M2_PR ;
+      + ROUTED met2 ( 2194430 280330 ) ( * 298860 )
+      NEW met2 ( 2194400 298860 ) ( 2194430 * )
+      NEW met2 ( 2194400 298860 ) ( * 300220 0 )
+      NEW met1 ( 2194430 280330 ) ( 2553230 * )
+      NEW met2 ( 2553230 82800 ) ( 2556450 * )
+      NEW met2 ( 2556450 2380 0 ) ( * 82800 )
+      NEW met2 ( 2553230 82800 ) ( * 280330 )
+      NEW met1 ( 2194430 280330 ) M1M2_PR
+      NEW met1 ( 2553230 280330 ) M1M2_PR ;
     - la_oenb[109] ( PIN la_oenb[109] ) ( mprj la_oenb[109] ) + USE SIGNAL
-      + ROUTED met2 ( 2609350 286790 ) ( * 298860 )
-      NEW met2 ( 2609300 298860 ) ( 2609350 * )
-      NEW met2 ( 2609300 298860 ) ( * 300220 0 )
-      NEW met2 ( 2573930 2380 0 ) ( * 14450 )
-      NEW met1 ( 2573930 14450 ) ( 2580370 * )
-      NEW met1 ( 2580370 286790 ) ( 2609350 * )
-      NEW met2 ( 2580370 14450 ) ( * 286790 )
-      NEW met1 ( 2609350 286790 ) M1M2_PR
-      NEW met1 ( 2573930 14450 ) M1M2_PR
-      NEW met1 ( 2580370 14450 ) M1M2_PR
-      NEW met1 ( 2580370 286790 ) M1M2_PR ;
+      + ROUTED met2 ( 2198300 298860 ) ( 2200410 * )
+      NEW met2 ( 2198300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2200410 79390 ) ( * 298860 )
+      NEW met1 ( 2200410 79390 ) ( 2573930 * )
+      NEW met2 ( 2573930 2380 0 ) ( * 79390 )
+      NEW met1 ( 2200410 79390 ) M1M2_PR
+      NEW met1 ( 2573930 79390 ) M1M2_PR ;
     - la_oenb[10] ( PIN la_oenb[10] ) ( mprj la_oenb[10] ) + USE SIGNAL
-      + ROUTED met2 ( 2132330 298860 ) ( 2136400 * )
-      NEW met2 ( 2136400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2132330 52190 ) ( * 298860 )
-      NEW met2 ( 818570 2380 0 ) ( * 16830 )
-      NEW met1 ( 818570 16830 ) ( 820870 * )
-      NEW met2 ( 820870 16830 ) ( * 52190 )
-      NEW met1 ( 820870 52190 ) ( 2132330 * )
-      NEW met1 ( 2132330 52190 ) M1M2_PR
-      NEW met1 ( 818570 16830 ) M1M2_PR
-      NEW met1 ( 820870 16830 ) M1M2_PR
-      NEW met1 ( 820870 52190 ) M1M2_PR ;
+      + ROUTED met2 ( 818570 2380 0 ) ( * 16490 )
+      NEW met1 ( 818570 16490 ) ( 820870 * )
+      NEW met2 ( 1808490 298860 ) ( 1811800 * )
+      NEW met2 ( 1811800 298860 ) ( * 300220 0 )
+      NEW met2 ( 820870 16490 ) ( * 51510 )
+      NEW met1 ( 820870 51510 ) ( 1808490 * )
+      NEW met2 ( 1808490 51510 ) ( * 298860 )
+      NEW met1 ( 818570 16490 ) M1M2_PR
+      NEW met1 ( 820870 16490 ) M1M2_PR
+      NEW met1 ( 820870 51510 ) M1M2_PR
+      NEW met1 ( 1808490 51510 ) M1M2_PR ;
     - la_oenb[110] ( PIN la_oenb[110] ) ( mprj la_oenb[110] ) + USE SIGNAL
-      + ROUTED met2 ( 2613950 286110 ) ( * 298860 )
-      NEW met2 ( 2613950 298860 ) ( 2614000 * )
-      NEW met2 ( 2614000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2591870 2380 0 ) ( * 17510 )
-      NEW met1 ( 2591870 17510 ) ( 2594170 * )
-      NEW met1 ( 2594170 286110 ) ( 2613950 * )
-      NEW met2 ( 2594170 17510 ) ( * 286110 )
-      NEW met1 ( 2613950 286110 ) M1M2_PR
-      NEW met1 ( 2591870 17510 ) M1M2_PR
-      NEW met1 ( 2594170 17510 ) M1M2_PR
-      NEW met1 ( 2594170 286110 ) M1M2_PR ;
+      + ROUTED met1 ( 2202250 286110 ) ( 2207770 * )
+      NEW met2 ( 2202250 286110 ) ( * 298860 )
+      NEW met2 ( 2202200 298860 ) ( 2202250 * )
+      NEW met2 ( 2202200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2591870 2380 0 ) ( * 15130 )
+      NEW met1 ( 2207310 15130 ) ( 2591870 * )
+      NEW met2 ( 2207310 58820 ) ( 2207770 * )
+      NEW met2 ( 2207310 15130 ) ( * 58820 )
+      NEW met2 ( 2207770 58820 ) ( * 286110 )
+      NEW met1 ( 2207310 15130 ) M1M2_PR
+      NEW met1 ( 2207770 286110 ) M1M2_PR
+      NEW met1 ( 2202250 286110 ) M1M2_PR
+      NEW met1 ( 2591870 15130 ) M1M2_PR ;
     - la_oenb[111] ( PIN la_oenb[111] ) ( mprj la_oenb[111] ) + USE SIGNAL
-      + ROUTED met2 ( 2609350 2380 0 ) ( * 16490 )
-      NEW met1 ( 2609350 16490 ) ( 2614870 * )
-      NEW met1 ( 2614870 288150 ) ( 2618550 * )
-      NEW met2 ( 2618550 288150 ) ( * 298860 )
-      NEW met2 ( 2618550 298860 ) ( 2618700 * )
-      NEW met2 ( 2618700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2614870 16490 ) ( * 288150 )
-      NEW met1 ( 2609350 16490 ) M1M2_PR
-      NEW met1 ( 2614870 16490 ) M1M2_PR
-      NEW met1 ( 2614870 288150 ) M1M2_PR
-      NEW met1 ( 2618550 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2609350 2380 0 ) ( * 15470 )
+      NEW met2 ( 2206100 298860 ) ( 2207310 * )
+      NEW met2 ( 2206100 298860 ) ( * 300220 0 )
+      NEW met1 ( 2206850 15470 ) ( 2609350 * )
+      NEW met2 ( 2206850 82800 ) ( 2207310 * )
+      NEW met2 ( 2206850 15470 ) ( * 82800 )
+      NEW met2 ( 2207310 82800 ) ( * 298860 )
+      NEW met1 ( 2609350 15470 ) M1M2_PR
+      NEW met1 ( 2206850 15470 ) M1M2_PR ;
     - la_oenb[112] ( PIN la_oenb[112] ) ( mprj la_oenb[112] ) + USE SIGNAL
-      + ROUTED met2 ( 2627290 2380 0 ) ( * 17510 )
-      NEW met1 ( 2622230 17510 ) ( 2627290 * )
-      NEW met2 ( 2622230 298860 ) ( 2623500 * )
-      NEW met2 ( 2623500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2622230 17510 ) ( * 298860 )
-      NEW met1 ( 2627290 17510 ) M1M2_PR
-      NEW met1 ( 2622230 17510 ) M1M2_PR ;
+      + ROUTED met1 ( 2210070 286110 ) ( 2214670 * )
+      NEW met2 ( 2210070 286110 ) ( * 298860 )
+      NEW met2 ( 2210000 298860 ) ( 2210070 * )
+      NEW met2 ( 2210000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2627290 2380 0 ) ( * 15810 )
+      NEW met2 ( 2214670 15810 ) ( * 286110 )
+      NEW met1 ( 2214670 15810 ) ( 2627290 * )
+      NEW met1 ( 2214670 15810 ) M1M2_PR
+      NEW met1 ( 2214670 286110 ) M1M2_PR
+      NEW met1 ( 2210070 286110 ) M1M2_PR
+      NEW met1 ( 2627290 15810 ) M1M2_PR ;
     - la_oenb[113] ( PIN la_oenb[113] ) ( mprj la_oenb[113] ) + USE SIGNAL
-      + ROUTED met2 ( 2628300 298860 ) ( 2628670 * )
-      NEW met2 ( 2628300 298860 ) ( * 300220 0 )
-      NEW met2 ( 2628670 18870 ) ( * 298860 )
-      NEW met2 ( 2645230 2380 0 ) ( * 18870 )
-      NEW met1 ( 2628670 18870 ) ( 2645230 * )
-      NEW met1 ( 2628670 18870 ) M1M2_PR
-      NEW met1 ( 2645230 18870 ) M1M2_PR ;
+      + ROUTED met2 ( 2213900 298860 ) ( 2214210 * )
+      NEW met2 ( 2213900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2214210 16150 ) ( * 298860 )
+      NEW met2 ( 2645230 2380 0 ) ( * 16150 )
+      NEW met1 ( 2214210 16150 ) ( 2645230 * )
+      NEW met1 ( 2214210 16150 ) M1M2_PR
+      NEW met1 ( 2645230 16150 ) M1M2_PR ;
     - la_oenb[114] ( PIN la_oenb[114] ) ( mprj la_oenb[114] ) + USE SIGNAL
-      + ROUTED met1 ( 2633270 288150 ) ( 2635570 * )
-      NEW met2 ( 2633270 288150 ) ( * 298860 )
-      NEW met2 ( 2633100 298860 ) ( 2633270 * )
-      NEW met2 ( 2633100 298860 ) ( * 300220 0 )
-      NEW met2 ( 2635570 17850 ) ( * 288150 )
-      NEW met2 ( 2662710 2380 0 ) ( * 17850 )
-      NEW met1 ( 2635570 17850 ) ( 2662710 * )
-      NEW met1 ( 2635570 17850 ) M1M2_PR
-      NEW met1 ( 2635570 288150 ) M1M2_PR
-      NEW met1 ( 2633270 288150 ) M1M2_PR
-      NEW met1 ( 2662710 17850 ) M1M2_PR ;
+      + ROUTED met1 ( 2217890 286110 ) ( 2221110 * )
+      NEW met2 ( 2217890 286110 ) ( * 298860 )
+      NEW met2 ( 2217800 298860 ) ( 2217890 * )
+      NEW met2 ( 2217800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2221110 16490 ) ( * 286110 )
+      NEW met2 ( 2662710 2380 0 ) ( * 16490 )
+      NEW met1 ( 2221110 16490 ) ( 2662710 * )
+      NEW met1 ( 2221110 16490 ) M1M2_PR
+      NEW met1 ( 2221110 286110 ) M1M2_PR
+      NEW met1 ( 2217890 286110 ) M1M2_PR
+      NEW met1 ( 2662710 16490 ) M1M2_PR ;
     - la_oenb[115] ( PIN la_oenb[115] ) ( mprj la_oenb[115] ) + USE SIGNAL
-      + ROUTED met1 ( 2637870 288150 ) ( 2642470 * )
-      NEW met2 ( 2637870 288150 ) ( * 298860 )
-      NEW met2 ( 2637870 298860 ) ( 2637900 * )
-      NEW met2 ( 2637900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2642470 17510 ) ( * 288150 )
-      NEW met2 ( 2680650 2380 0 ) ( * 17510 )
-      NEW met1 ( 2642470 17510 ) ( 2680650 * )
-      NEW met1 ( 2642470 17510 ) M1M2_PR
-      NEW met1 ( 2642470 288150 ) M1M2_PR
-      NEW met1 ( 2637870 288150 ) M1M2_PR
-      NEW met1 ( 2680650 17510 ) M1M2_PR ;
+      + ROUTED met2 ( 2221570 298860 ) ( 2221700 * )
+      NEW met2 ( 2221700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2221570 16830 ) ( * 298860 )
+      NEW met2 ( 2680650 2380 0 ) ( * 16830 )
+      NEW met1 ( 2221570 16830 ) ( 2680650 * )
+      NEW met1 ( 2221570 16830 ) M1M2_PR
+      NEW met1 ( 2680650 16830 ) M1M2_PR ;
     - la_oenb[116] ( PIN la_oenb[116] ) ( mprj la_oenb[116] ) + USE SIGNAL
-      + ROUTED met2 ( 2642010 298860 ) ( 2642600 * )
-      NEW met2 ( 2642600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2698130 2380 0 ) ( * 17170 )
-      NEW met2 ( 2642010 17170 ) ( * 298860 )
-      NEW met1 ( 2642010 17170 ) ( 2698130 * )
-      NEW met1 ( 2642010 17170 ) M1M2_PR
-      NEW met1 ( 2698130 17170 ) M1M2_PR ;
+      + ROUTED met1 ( 2225710 286110 ) ( 2228470 * )
+      NEW met2 ( 2225710 286110 ) ( * 298860 )
+      NEW met2 ( 2225600 298860 ) ( 2225710 * )
+      NEW met2 ( 2225600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2698130 2380 0 ) ( * 20570 )
+      NEW met2 ( 2228470 20570 ) ( * 286110 )
+      NEW met1 ( 2228470 20570 ) ( 2698130 * )
+      NEW met1 ( 2228470 20570 ) M1M2_PR
+      NEW met1 ( 2228470 286110 ) M1M2_PR
+      NEW met1 ( 2225710 286110 ) M1M2_PR
+      NEW met1 ( 2698130 20570 ) M1M2_PR ;
     - la_oenb[117] ( PIN la_oenb[117] ) ( mprj la_oenb[117] ) + USE SIGNAL
-      + ROUTED met2 ( 2716070 2380 0 ) ( * 16150 )
-      NEW met1 ( 2649370 16150 ) ( 2716070 * )
-      NEW met2 ( 2647400 298860 ) ( 2649370 * )
-      NEW met2 ( 2647400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2649370 16150 ) ( * 298860 )
-      NEW met1 ( 2716070 16150 ) M1M2_PR
-      NEW met1 ( 2649370 16150 ) M1M2_PR ;
+      + ROUTED met1 ( 2229390 286110 ) ( 2234910 * )
+      NEW met2 ( 2229390 286110 ) ( * 298860 )
+      NEW met2 ( 2229390 298860 ) ( 2229500 * )
+      NEW met2 ( 2229500 298860 ) ( * 300220 0 )
+      NEW met2 ( 2716070 2380 0 ) ( * 20230 )
+      NEW met2 ( 2234910 20230 ) ( * 286110 )
+      NEW met1 ( 2234910 20230 ) ( 2716070 * )
+      NEW met1 ( 2234910 20230 ) M1M2_PR
+      NEW met1 ( 2234910 286110 ) M1M2_PR
+      NEW met1 ( 2229390 286110 ) M1M2_PR
+      NEW met1 ( 2716070 20230 ) M1M2_PR ;
     - la_oenb[118] ( PIN la_oenb[118] ) ( mprj la_oenb[118] ) + USE SIGNAL
-      + ROUTED met2 ( 2733550 2380 0 ) ( * 16490 )
-      NEW met1 ( 2656270 16490 ) ( 2733550 * )
-      NEW met1 ( 2652130 288150 ) ( 2656270 * )
-      NEW met2 ( 2652130 288150 ) ( * 298860 )
-      NEW met2 ( 2652130 298860 ) ( 2652200 * )
-      NEW met2 ( 2652200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2656270 16490 ) ( * 288150 )
-      NEW met1 ( 2733550 16490 ) M1M2_PR
-      NEW met1 ( 2656270 16490 ) M1M2_PR
-      NEW met1 ( 2656270 288150 ) M1M2_PR
-      NEW met1 ( 2652130 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2233400 298860 ) ( 2235370 * )
+      NEW met2 ( 2233400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2733550 2380 0 ) ( * 19890 )
+      NEW met2 ( 2235370 19890 ) ( * 298860 )
+      NEW met1 ( 2235370 19890 ) ( 2733550 * )
+      NEW met1 ( 2235370 19890 ) M1M2_PR
+      NEW met1 ( 2733550 19890 ) M1M2_PR ;
     - la_oenb[119] ( PIN la_oenb[119] ) ( mprj la_oenb[119] ) + USE SIGNAL
-      + ROUTED met1 ( 2657190 288150 ) ( 2663170 * )
-      NEW met2 ( 2657190 288150 ) ( * 298860 )
-      NEW met2 ( 2657000 298860 ) ( 2657190 * )
-      NEW met2 ( 2657000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2751490 2380 0 ) ( * 16830 )
-      NEW met1 ( 2663170 16830 ) ( 2751490 * )
-      NEW met2 ( 2663170 16830 ) ( * 288150 )
-      NEW met1 ( 2663170 16830 ) M1M2_PR
-      NEW met1 ( 2663170 288150 ) M1M2_PR
-      NEW met1 ( 2657190 288150 ) M1M2_PR
-      NEW met1 ( 2751490 16830 ) M1M2_PR ;
+      + ROUTED met1 ( 2237210 286110 ) ( 2241810 * )
+      NEW met2 ( 2237210 286110 ) ( * 298860 )
+      NEW met2 ( 2237210 298860 ) ( 2237300 * )
+      NEW met2 ( 2237300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2241810 19550 ) ( * 286110 )
+      NEW met2 ( 2751490 2380 0 ) ( * 19550 )
+      NEW met1 ( 2241810 19550 ) ( 2751490 * )
+      NEW met1 ( 2241810 19550 ) M1M2_PR
+      NEW met1 ( 2241810 286110 ) M1M2_PR
+      NEW met1 ( 2237210 286110 ) M1M2_PR
+      NEW met1 ( 2751490 19550 ) M1M2_PR ;
     - la_oenb[11] ( PIN la_oenb[11] ) ( mprj la_oenb[11] ) + USE SIGNAL
-      + ROUTED met2 ( 2139230 298860 ) ( 2141200 * )
-      NEW met2 ( 2141200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2139230 52530 ) ( * 298860 )
-      NEW met2 ( 836050 2380 0 ) ( * 16830 )
+      + ROUTED met2 ( 836050 2380 0 ) ( * 16830 )
       NEW met1 ( 836050 16830 ) ( 841570 * )
-      NEW met2 ( 841570 16830 ) ( * 52530 )
-      NEW met1 ( 841570 52530 ) ( 2139230 * )
-      NEW met1 ( 2139230 52530 ) M1M2_PR
+      NEW met2 ( 1815390 298860 ) ( 1815700 * )
+      NEW met2 ( 1815700 298860 ) ( * 300220 0 )
+      NEW met2 ( 841570 16830 ) ( * 51850 )
+      NEW met1 ( 841570 51850 ) ( 1815390 * )
+      NEW met2 ( 1815390 51850 ) ( * 298860 )
       NEW met1 ( 836050 16830 ) M1M2_PR
       NEW met1 ( 841570 16830 ) M1M2_PR
-      NEW met1 ( 841570 52530 ) M1M2_PR ;
+      NEW met1 ( 841570 51850 ) M1M2_PR
+      NEW met1 ( 1815390 51850 ) M1M2_PR ;
     - la_oenb[120] ( PIN la_oenb[120] ) ( mprj la_oenb[120] ) + USE SIGNAL
-      + ROUTED met2 ( 2661800 298860 ) ( 2662710 * )
-      NEW met2 ( 2661800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2768970 2380 0 ) ( * 20570 )
-      NEW met1 ( 2662710 20570 ) ( 2768970 * )
-      NEW met2 ( 2662710 20570 ) ( * 298860 )
-      NEW met1 ( 2662710 20570 ) M1M2_PR
-      NEW met1 ( 2768970 20570 ) M1M2_PR ;
+      + ROUTED met2 ( 2241200 298860 ) ( 2242270 * )
+      NEW met2 ( 2241200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2242270 19210 ) ( * 298860 )
+      NEW met2 ( 2768970 2380 0 ) ( * 19210 )
+      NEW met1 ( 2242270 19210 ) ( 2768970 * )
+      NEW met1 ( 2242270 19210 ) M1M2_PR
+      NEW met1 ( 2768970 19210 ) M1M2_PR ;
     - la_oenb[121] ( PIN la_oenb[121] ) ( mprj la_oenb[121] ) + USE SIGNAL
-      + ROUTED met1 ( 2666390 288150 ) ( 2670070 * )
-      NEW met2 ( 2666390 288150 ) ( * 298860 )
-      NEW met2 ( 2666390 298860 ) ( 2666500 * )
-      NEW met2 ( 2666500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2786910 2380 0 ) ( * 20230 )
-      NEW met1 ( 2670070 20230 ) ( 2786910 * )
-      NEW met2 ( 2670070 20230 ) ( * 288150 )
-      NEW met1 ( 2670070 20230 ) M1M2_PR
-      NEW met1 ( 2670070 288150 ) M1M2_PR
-      NEW met1 ( 2666390 288150 ) M1M2_PR
-      NEW met1 ( 2786910 20230 ) M1M2_PR ;
+      + ROUTED met1 ( 2245030 286110 ) ( 2248710 * )
+      NEW met2 ( 2245030 286110 ) ( * 298860 )
+      NEW met2 ( 2245030 298860 ) ( 2245100 * )
+      NEW met2 ( 2245100 298860 ) ( * 300220 0 )
+      NEW met2 ( 2248710 18870 ) ( * 286110 )
+      NEW met2 ( 2786910 2380 0 ) ( * 18870 )
+      NEW met1 ( 2248710 18870 ) ( 2786910 * )
+      NEW met1 ( 2248710 18870 ) M1M2_PR
+      NEW met1 ( 2248710 286110 ) M1M2_PR
+      NEW met1 ( 2245030 286110 ) M1M2_PR
+      NEW met1 ( 2786910 18870 ) M1M2_PR ;
     - la_oenb[122] ( PIN la_oenb[122] ) ( mprj la_oenb[122] ) + USE SIGNAL
-      + ROUTED met2 ( 2804390 2380 0 ) ( * 19890 )
-      NEW met1 ( 2671450 288150 ) ( 2676510 * )
-      NEW met2 ( 2671450 288150 ) ( * 298860 )
-      NEW met2 ( 2671200 298860 ) ( 2671450 * )
-      NEW met2 ( 2671200 298860 ) ( * 300220 0 )
-      NEW met1 ( 2676510 19890 ) ( 2804390 * )
-      NEW met2 ( 2676510 19890 ) ( * 288150 )
-      NEW met1 ( 2804390 19890 ) M1M2_PR
-      NEW met1 ( 2676510 19890 ) M1M2_PR
-      NEW met1 ( 2676510 288150 ) M1M2_PR
-      NEW met1 ( 2671450 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2249000 298860 ) ( 2249170 * )
+      NEW met2 ( 2249000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2804390 2380 0 ) ( * 18530 )
+      NEW met2 ( 2249170 18530 ) ( * 298860 )
+      NEW met1 ( 2249170 18530 ) ( 2804390 * )
+      NEW met1 ( 2249170 18530 ) M1M2_PR
+      NEW met1 ( 2804390 18530 ) M1M2_PR ;
     - la_oenb[123] ( PIN la_oenb[123] ) ( mprj la_oenb[123] ) + USE SIGNAL
-      + ROUTED met2 ( 2822330 2380 0 ) ( * 19550 )
-      NEW met2 ( 2676000 298860 ) ( 2676970 * )
-      NEW met2 ( 2676000 298860 ) ( * 300220 0 )
-      NEW met1 ( 2676970 19550 ) ( 2822330 * )
-      NEW met2 ( 2676970 19550 ) ( * 298860 )
-      NEW met1 ( 2822330 19550 ) M1M2_PR
-      NEW met1 ( 2676970 19550 ) M1M2_PR ;
+      + ROUTED met1 ( 2252850 286110 ) ( 2256070 * )
+      NEW met2 ( 2252850 286110 ) ( * 298860 )
+      NEW met2 ( 2252850 298860 ) ( 2252900 * )
+      NEW met2 ( 2252900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2822330 2380 0 ) ( * 18190 )
+      NEW met2 ( 2256070 18190 ) ( * 286110 )
+      NEW met1 ( 2256070 18190 ) ( 2822330 * )
+      NEW met1 ( 2256070 18190 ) M1M2_PR
+      NEW met1 ( 2256070 286110 ) M1M2_PR
+      NEW met1 ( 2252850 286110 ) M1M2_PR
+      NEW met1 ( 2822330 18190 ) M1M2_PR ;
     - la_oenb[124] ( PIN la_oenb[124] ) ( mprj la_oenb[124] ) + USE SIGNAL
-      + ROUTED met1 ( 2680650 288150 ) ( 2683870 * )
-      NEW met2 ( 2680650 288150 ) ( * 298860 )
-      NEW met2 ( 2680650 298860 ) ( 2680800 * )
-      NEW met2 ( 2680800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2840270 2380 0 ) ( * 19210 )
-      NEW met1 ( 2683870 19210 ) ( 2840270 * )
-      NEW met2 ( 2683870 19210 ) ( * 288150 )
-      NEW met1 ( 2683870 19210 ) M1M2_PR
-      NEW met1 ( 2683870 288150 ) M1M2_PR
-      NEW met1 ( 2680650 288150 ) M1M2_PR
-      NEW met1 ( 2840270 19210 ) M1M2_PR ;
+      + ROUTED met1 ( 2256990 289170 ) ( 2262970 * )
+      NEW met2 ( 2256990 289170 ) ( * 298860 )
+      NEW met2 ( 2256800 298860 ) ( 2256990 * )
+      NEW met2 ( 2256800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2840270 2380 0 ) ( * 17850 )
+      NEW met1 ( 2262970 17850 ) ( 2840270 * )
+      NEW met2 ( 2262970 17850 ) ( * 289170 )
+      NEW met1 ( 2262970 17850 ) M1M2_PR
+      NEW met1 ( 2262970 289170 ) M1M2_PR
+      NEW met1 ( 2256990 289170 ) M1M2_PR
+      NEW met1 ( 2840270 17850 ) M1M2_PR ;
     - la_oenb[125] ( PIN la_oenb[125] ) ( mprj la_oenb[125] ) + USE SIGNAL
-      + ROUTED met1 ( 2685710 288150 ) ( 2690310 * )
-      NEW met2 ( 2685710 288150 ) ( * 298860 )
-      NEW met2 ( 2685600 298860 ) ( 2685710 * )
-      NEW met2 ( 2685600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2857750 2380 0 ) ( * 18870 )
-      NEW met1 ( 2690310 18870 ) ( 2857750 * )
-      NEW met2 ( 2690310 18870 ) ( * 288150 )
-      NEW met1 ( 2690310 18870 ) M1M2_PR
-      NEW met1 ( 2690310 288150 ) M1M2_PR
-      NEW met1 ( 2685710 288150 ) M1M2_PR
-      NEW met1 ( 2857750 18870 ) M1M2_PR ;
+      + ROUTED met1 ( 2262510 17510 ) ( 2283670 * )
+      NEW li1 ( 2283670 17510 ) ( 2291030 * )
+      NEW met2 ( 2260800 298860 ) ( 2262510 * )
+      NEW met2 ( 2260800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2857750 2380 0 ) ( * 17510 )
+      NEW met1 ( 2291030 17510 ) ( 2857750 * )
+      NEW met2 ( 2262510 17510 ) ( * 298860 )
+      NEW met1 ( 2262510 17510 ) M1M2_PR
+      NEW li1 ( 2283670 17510 ) L1M1_PR_MR
+      NEW li1 ( 2291030 17510 ) L1M1_PR_MR
+      NEW met1 ( 2857750 17510 ) M1M2_PR ;
     - la_oenb[126] ( PIN la_oenb[126] ) ( mprj la_oenb[126] ) + USE SIGNAL
-      + ROUTED met2 ( 2690400 298860 ) ( 2690770 * )
-      NEW met2 ( 2690400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2875690 2380 0 ) ( * 18530 )
-      NEW met1 ( 2690770 18530 ) ( 2875690 * )
-      NEW met2 ( 2690770 18530 ) ( * 298860 )
-      NEW met1 ( 2690770 18530 ) M1M2_PR
-      NEW met1 ( 2875690 18530 ) M1M2_PR ;
+      + ROUTED met1 ( 2264810 289170 ) ( 2269870 * )
+      NEW met2 ( 2264810 289170 ) ( * 298860 )
+      NEW met2 ( 2264700 298860 ) ( 2264810 * )
+      NEW met2 ( 2264700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2875690 2380 0 ) ( * 18700 )
+      NEW met3 ( 2269870 18700 ) ( 2875690 * )
+      NEW met2 ( 2269870 18700 ) ( * 289170 )
+      NEW met2 ( 2269870 18700 ) M2M3_PR_M
+      NEW met1 ( 2269870 289170 ) M1M2_PR
+      NEW met1 ( 2264810 289170 ) M1M2_PR
+      NEW met2 ( 2875690 18700 ) M2M3_PR_M ;
     - la_oenb[127] ( PIN la_oenb[127] ) ( mprj la_oenb[127] ) + USE SIGNAL
-      + ROUTED met1 ( 2695370 288150 ) ( 2697670 * )
-      NEW met2 ( 2695370 288150 ) ( * 298860 )
-      NEW met2 ( 2695200 298860 ) ( 2695370 * )
-      NEW met2 ( 2695200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2893170 2380 0 ) ( * 17850 )
-      NEW met2 ( 2697670 17850 ) ( * 288150 )
-      NEW met1 ( 2697670 17850 ) ( 2893170 * )
-      NEW met1 ( 2697670 17850 ) M1M2_PR
-      NEW met1 ( 2697670 288150 ) M1M2_PR
-      NEW met1 ( 2695370 288150 ) M1M2_PR
-      NEW met1 ( 2893170 17850 ) M1M2_PR ;
+      + ROUTED met2 ( 2893170 2380 0 ) ( * 18020 )
+      NEW met2 ( 2268600 298860 ) ( 2269410 * )
+      NEW met2 ( 2268600 298860 ) ( * 300220 0 )
+      NEW met3 ( 2269410 18020 ) ( 2893170 * )
+      NEW met2 ( 2269410 18020 ) ( * 298860 )
+      NEW met2 ( 2893170 18020 ) M2M3_PR_M
+      NEW met2 ( 2269410 18020 ) M2M3_PR_M ;
     - la_oenb[12] ( PIN la_oenb[12] ) ( mprj la_oenb[12] ) + USE SIGNAL
-      + ROUTED met2 ( 2145900 298860 ) ( 2146590 * )
-      NEW met2 ( 2145900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2146590 52870 ) ( * 298860 )
-      NEW met2 ( 853990 2380 0 ) ( * 52870 )
-      NEW met1 ( 853990 52870 ) ( 2146590 * )
-      NEW met1 ( 2146590 52870 ) M1M2_PR
-      NEW met1 ( 853990 52870 ) M1M2_PR ;
+      + ROUTED met2 ( 1816310 298860 ) ( 1819700 * )
+      NEW met2 ( 1819700 298860 ) ( * 300220 0 )
+      NEW met2 ( 853990 2380 0 ) ( * 52190 )
+      NEW met1 ( 853990 52190 ) ( 1815850 * )
+      NEW met2 ( 1815850 52190 ) ( * 227700 )
+      NEW met2 ( 1815850 227700 ) ( 1816310 * )
+      NEW met2 ( 1816310 227700 ) ( * 298860 )
+      NEW met1 ( 853990 52190 ) M1M2_PR
+      NEW met1 ( 1815850 52190 ) M1M2_PR ;
     - la_oenb[13] ( PIN la_oenb[13] ) ( mprj la_oenb[13] ) + USE SIGNAL
-      + ROUTED met2 ( 871470 2380 0 ) ( * 15470 )
-      NEW met1 ( 871470 15470 ) ( 876070 * )
-      NEW met1 ( 2146130 287810 ) ( 2150730 * )
-      NEW met2 ( 2150730 287810 ) ( * 298860 )
-      NEW met2 ( 2150700 298860 ) ( 2150730 * )
-      NEW met2 ( 2150700 298860 ) ( * 300220 0 )
-      NEW met2 ( 876070 15470 ) ( * 53210 )
-      NEW met2 ( 2146130 53210 ) ( * 287810 )
-      NEW met1 ( 876070 53210 ) ( 2146130 * )
-      NEW met1 ( 871470 15470 ) M1M2_PR
-      NEW met1 ( 876070 15470 ) M1M2_PR
-      NEW met1 ( 2146130 287810 ) M1M2_PR
-      NEW met1 ( 2150730 287810 ) M1M2_PR
-      NEW met1 ( 876070 53210 ) M1M2_PR
-      NEW met1 ( 2146130 53210 ) M1M2_PR ;
+      + ROUTED met2 ( 871470 2380 0 ) ( * 16830 )
+      NEW met1 ( 871470 16830 ) ( 886650 * )
+      NEW met2 ( 1822290 298860 ) ( 1823600 * )
+      NEW met2 ( 1823600 298860 ) ( * 300220 0 )
+      NEW met2 ( 886650 16830 ) ( * 273530 )
+      NEW met2 ( 1822290 273530 ) ( * 298860 )
+      NEW met1 ( 886650 273530 ) ( 1822290 * )
+      NEW met1 ( 871470 16830 ) M1M2_PR
+      NEW met1 ( 886650 16830 ) M1M2_PR
+      NEW met1 ( 886650 273530 ) M1M2_PR
+      NEW met1 ( 1822290 273530 ) M1M2_PR ;
     - la_oenb[14] ( PIN la_oenb[14] ) ( mprj la_oenb[14] ) + USE SIGNAL
-      + ROUTED met2 ( 2153030 298860 ) ( 2155500 * )
-      NEW met2 ( 2155500 298860 ) ( * 300220 0 )
-      NEW met2 ( 889410 2380 0 ) ( * 53550 )
-      NEW met2 ( 2153030 53550 ) ( * 298860 )
-      NEW met1 ( 889410 53550 ) ( 2153030 * )
-      NEW met1 ( 889410 53550 ) M1M2_PR
-      NEW met1 ( 2153030 53550 ) M1M2_PR ;
+      + ROUTED met2 ( 889410 2380 0 ) ( * 19210 )
+      NEW met1 ( 1821830 299030 ) ( 1827500 * )
+      NEW met2 ( 1827500 299030 ) ( * 300220 0 )
+      NEW met2 ( 1821830 86190 ) ( * 299030 )
+      NEW met1 ( 889410 19210 ) ( 942310 * )
+      NEW met1 ( 942310 86190 ) ( 1821830 * )
+      NEW met2 ( 942310 19210 ) ( * 86190 )
+      NEW met1 ( 889410 19210 ) M1M2_PR
+      NEW met1 ( 1821830 86190 ) M1M2_PR
+      NEW met1 ( 1821830 299030 ) M1M2_PR
+      NEW met1 ( 1827500 299030 ) M1M2_PR
+      NEW met1 ( 942310 19210 ) M1M2_PR
+      NEW met1 ( 942310 86190 ) M1M2_PR ;
     - la_oenb[15] ( PIN la_oenb[15] ) ( mprj la_oenb[15] ) + USE SIGNAL
-      + ROUTED met2 ( 907350 2380 0 ) ( * 16830 )
-      NEW met1 ( 907350 16830 ) ( 910570 * )
-      NEW met2 ( 2160300 298860 ) ( 2160390 * )
-      NEW met2 ( 2160300 298860 ) ( * 300220 0 )
-      NEW met2 ( 910570 16830 ) ( * 53890 )
-      NEW met1 ( 910570 53890 ) ( 2160390 * )
-      NEW met2 ( 2160390 53890 ) ( * 298860 )
+      + ROUTED met2 ( 1831490 280330 ) ( * 298860 )
+      NEW met2 ( 1831400 298860 ) ( 1831490 * )
+      NEW met2 ( 1831400 298860 ) ( * 300220 0 )
+      NEW met2 ( 907350 2380 0 ) ( * 16830 )
+      NEW met1 ( 907350 16830 ) ( 934950 * )
+      NEW met1 ( 934950 280330 ) ( 1831490 * )
+      NEW met2 ( 934950 16830 ) ( * 280330 )
+      NEW met1 ( 1831490 280330 ) M1M2_PR
       NEW met1 ( 907350 16830 ) M1M2_PR
-      NEW met1 ( 910570 16830 ) M1M2_PR
-      NEW met1 ( 910570 53890 ) M1M2_PR
-      NEW met1 ( 2160390 53890 ) M1M2_PR ;
+      NEW met1 ( 934950 16830 ) M1M2_PR
+      NEW met1 ( 934950 280330 ) M1M2_PR ;
     - la_oenb[16] ( PIN la_oenb[16] ) ( mprj la_oenb[16] ) + USE SIGNAL
-      + ROUTED met2 ( 924830 2380 0 ) ( * 16830 )
-      NEW met1 ( 924830 16830 ) ( 931270 * )
-      NEW met1 ( 2159930 299030 ) ( 2165100 * )
-      NEW met2 ( 2165100 299030 ) ( * 300220 0 )
-      NEW met2 ( 931270 16830 ) ( * 54230 )
-      NEW met1 ( 931270 54230 ) ( 2159930 * )
-      NEW met2 ( 2159930 54230 ) ( * 299030 )
-      NEW met1 ( 924830 16830 ) M1M2_PR
-      NEW met1 ( 931270 16830 ) M1M2_PR
-      NEW met1 ( 2159930 299030 ) M1M2_PR
-      NEW met1 ( 2165100 299030 ) M1M2_PR
-      NEW met1 ( 931270 54230 ) M1M2_PR
-      NEW met1 ( 2159930 54230 ) M1M2_PR ;
+      + ROUTED met2 ( 1835170 283050 ) ( * 298860 )
+      NEW met2 ( 1835170 298860 ) ( 1835300 * )
+      NEW met2 ( 1835300 298860 ) ( * 300220 0 )
+      NEW met2 ( 924830 2380 0 ) ( * 15810 )
+      NEW met1 ( 924830 15810 ) ( 931270 * )
+      NEW met1 ( 1804350 283050 ) ( 1835170 * )
+      NEW met2 ( 931270 15810 ) ( * 65790 )
+      NEW met1 ( 931270 65790 ) ( 1804350 * )
+      NEW met2 ( 1804350 65790 ) ( * 283050 )
+      NEW met1 ( 1835170 283050 ) M1M2_PR
+      NEW met1 ( 924830 15810 ) M1M2_PR
+      NEW met1 ( 931270 15810 ) M1M2_PR
+      NEW met1 ( 1804350 283050 ) M1M2_PR
+      NEW met1 ( 931270 65790 ) M1M2_PR
+      NEW met1 ( 1804350 65790 ) M1M2_PR ;
     - la_oenb[17] ( PIN la_oenb[17] ) ( mprj la_oenb[17] ) + USE SIGNAL
-      + ROUTED met2 ( 942770 2380 0 ) ( * 16830 )
-      NEW met1 ( 942770 16830 ) ( 945070 * )
-      NEW met2 ( 2166830 298860 ) ( 2169900 * )
-      NEW met2 ( 2169900 298860 ) ( * 300220 0 )
-      NEW met2 ( 945070 16830 ) ( * 54570 )
-      NEW met1 ( 945070 54570 ) ( 2166830 * )
-      NEW met2 ( 2166830 54570 ) ( * 298860 )
-      NEW met1 ( 942770 16830 ) M1M2_PR
-      NEW met1 ( 945070 16830 ) M1M2_PR
-      NEW met1 ( 945070 54570 ) M1M2_PR
-      NEW met1 ( 2166830 54570 ) M1M2_PR ;
+      + ROUTED met2 ( 1835630 298860 ) ( 1839200 * )
+      NEW met2 ( 1839200 298860 ) ( * 300220 0 )
+      NEW met2 ( 983250 18870 ) ( * 79390 )
+      NEW met2 ( 1835630 79390 ) ( * 298860 )
+      NEW met2 ( 942770 2380 0 ) ( * 18870 )
+      NEW met1 ( 942770 18870 ) ( 983250 * )
+      NEW met1 ( 983250 79390 ) ( 1835630 * )
+      NEW met1 ( 983250 18870 ) M1M2_PR
+      NEW met1 ( 983250 79390 ) M1M2_PR
+      NEW met1 ( 1835630 79390 ) M1M2_PR
+      NEW met1 ( 942770 18870 ) M1M2_PR ;
     - la_oenb[18] ( PIN la_oenb[18] ) ( mprj la_oenb[18] ) + USE SIGNAL
       + ROUTED met2 ( 960250 2380 0 ) ( * 16830 )
-      NEW met1 ( 960250 16830 ) ( 965770 * )
-      NEW met2 ( 965770 16830 ) ( * 54910 )
-      NEW met2 ( 2173730 298860 ) ( 2174600 * )
-      NEW met2 ( 2174600 298860 ) ( * 300220 0 )
-      NEW met1 ( 965770 54910 ) ( 2173730 * )
-      NEW met2 ( 2173730 54910 ) ( * 298860 )
+      NEW met1 ( 960250 16830 ) ( 965310 * )
+      NEW met1 ( 1838850 287470 ) ( 1842990 * )
+      NEW met2 ( 1842990 287470 ) ( * 298860 )
+      NEW met2 ( 1842990 298860 ) ( 1843100 * )
+      NEW met2 ( 1843100 298860 ) ( * 300220 0 )
+      NEW met2 ( 965310 16830 ) ( * 72250 )
+      NEW met2 ( 1838850 72250 ) ( * 287470 )
+      NEW met1 ( 965310 72250 ) ( 1838850 * )
       NEW met1 ( 960250 16830 ) M1M2_PR
-      NEW met1 ( 965770 16830 ) M1M2_PR
-      NEW met1 ( 965770 54910 ) M1M2_PR
-      NEW met1 ( 2173730 54910 ) M1M2_PR ;
+      NEW met1 ( 965310 16830 ) M1M2_PR
+      NEW met1 ( 1838850 287470 ) M1M2_PR
+      NEW met1 ( 1842990 287470 ) M1M2_PR
+      NEW met1 ( 965310 72250 ) M1M2_PR
+      NEW met1 ( 1838850 72250 ) M1M2_PR ;
     - la_oenb[19] ( PIN la_oenb[19] ) ( mprj la_oenb[19] ) + USE SIGNAL
-      + ROUTED met2 ( 978190 2380 0 ) ( * 51170 )
-      NEW met2 ( 2175570 298860 ) ( 2179400 * )
-      NEW met2 ( 2179400 298860 ) ( * 300220 0 )
-      NEW met1 ( 978190 51170 ) ( 2174190 * )
-      NEW met2 ( 2174190 51170 ) ( * 227700 )
-      NEW met2 ( 2174190 227700 ) ( 2175570 * )
-      NEW met2 ( 2175570 227700 ) ( * 298860 )
-      NEW met1 ( 978190 51170 ) M1M2_PR
-      NEW met1 ( 2174190 51170 ) M1M2_PR ;
+      + ROUTED met2 ( 1847130 285430 ) ( * 298860 )
+      NEW met2 ( 1847000 298860 ) ( 1847130 * )
+      NEW met2 ( 1847000 298860 ) ( * 300220 0 )
+      NEW met2 ( 978190 2380 0 ) ( * 34500 )
+      NEW met2 ( 978190 34500 ) ( 979110 * )
+      NEW met2 ( 979110 34500 ) ( * 92990 )
+      NEW met1 ( 979110 92990 ) ( 1783650 * )
+      NEW met1 ( 1783650 285430 ) ( 1847130 * )
+      NEW met2 ( 1783650 92990 ) ( * 285430 )
+      NEW met1 ( 979110 92990 ) M1M2_PR
+      NEW met1 ( 1847130 285430 ) M1M2_PR
+      NEW met1 ( 1783650 92990 ) M1M2_PR
+      NEW met1 ( 1783650 285430 ) M1M2_PR ;
     - la_oenb[1] ( PIN la_oenb[1] ) ( mprj la_oenb[1] ) + USE SIGNAL
-      + ROUTED met2 ( 2090930 298860 ) ( 2093400 * )
-      NEW met2 ( 2093400 298860 ) ( * 300220 0 )
-      NEW met2 ( 658950 2380 0 ) ( * 51850 )
-      NEW met1 ( 658950 51850 ) ( 2090930 * )
-      NEW met2 ( 2090930 51850 ) ( * 298860 )
-      NEW met1 ( 658950 51850 ) M1M2_PR
-      NEW met1 ( 2090930 51850 ) M1M2_PR ;
+      + ROUTED met2 ( 1569750 52530 ) ( * 285090 )
+      NEW met2 ( 658950 2380 0 ) ( * 17510 )
+      NEW met1 ( 658950 17510 ) ( 662170 * )
+      NEW met1 ( 1569750 285090 ) ( 1580100 * )
+      NEW met1 ( 1580100 285090 ) ( * 285430 )
+      NEW met2 ( 1776750 285430 ) ( * 298860 )
+      NEW met2 ( 1776750 298860 ) ( 1776800 * )
+      NEW met2 ( 1776800 298860 ) ( * 300220 0 )
+      NEW met1 ( 1580100 285430 ) ( 1776750 * )
+      NEW met2 ( 662170 17510 ) ( * 52530 )
+      NEW met1 ( 662170 52530 ) ( 1569750 * )
+      NEW met1 ( 1569750 285090 ) M1M2_PR
+      NEW met1 ( 1569750 52530 ) M1M2_PR
+      NEW met1 ( 658950 17510 ) M1M2_PR
+      NEW met1 ( 662170 17510 ) M1M2_PR
+      NEW met1 ( 1776750 285430 ) M1M2_PR
+      NEW met1 ( 662170 52530 ) M1M2_PR ;
     - la_oenb[20] ( PIN la_oenb[20] ) ( mprj la_oenb[20] ) + USE SIGNAL
-      + ROUTED met2 ( 995670 2380 0 ) ( * 16830 )
-      NEW met1 ( 995670 16830 ) ( 1000270 * )
-      NEW met2 ( 1000270 16830 ) ( * 50830 )
-      NEW met2 ( 2180630 298860 ) ( 2184100 * )
-      NEW met2 ( 2184100 298860 ) ( * 300220 0 )
-      NEW met1 ( 1000270 50830 ) ( 2180630 * )
-      NEW met2 ( 2180630 50830 ) ( * 298860 )
-      NEW met1 ( 995670 16830 ) M1M2_PR
-      NEW met1 ( 1000270 16830 ) M1M2_PR
-      NEW met1 ( 1000270 50830 ) M1M2_PR
-      NEW met1 ( 2180630 50830 ) M1M2_PR ;
+      + ROUTED met2 ( 995670 2380 0 ) ( * 18870 )
+      NEW met2 ( 1849430 298860 ) ( 1850900 * )
+      NEW met2 ( 1850900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1849430 79730 ) ( * 298860 )
+      NEW met1 ( 995670 18870 ) ( 1032010 * )
+      NEW met2 ( 1032010 18870 ) ( * 79730 )
+      NEW met1 ( 1032010 79730 ) ( 1849430 * )
+      NEW met1 ( 995670 18870 ) M1M2_PR
+      NEW met1 ( 1849430 79730 ) M1M2_PR
+      NEW met1 ( 1032010 18870 ) M1M2_PR
+      NEW met1 ( 1032010 79730 ) M1M2_PR ;
     - la_oenb[21] ( PIN la_oenb[21] ) ( mprj la_oenb[21] ) + USE SIGNAL
-      + ROUTED met2 ( 1013610 2380 0 ) ( * 17340 )
-      NEW met2 ( 1013150 17340 ) ( 1013610 * )
-      NEW met2 ( 2187990 298860 ) ( 2188900 * )
-      NEW met2 ( 2188900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1013150 17340 ) ( * 50490 )
-      NEW met1 ( 1013150 50490 ) ( 2187990 * )
-      NEW met2 ( 2187990 50490 ) ( * 298860 )
-      NEW met1 ( 1013150 50490 ) M1M2_PR
-      NEW met1 ( 2187990 50490 ) M1M2_PR ;
+      + ROUTED met2 ( 1851270 298860 ) ( 1854800 * )
+      NEW met2 ( 1854800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1849890 86530 ) ( * 227700 )
+      NEW met2 ( 1849890 227700 ) ( 1851270 * )
+      NEW met2 ( 1851270 227700 ) ( * 298860 )
+      NEW met2 ( 1013610 2380 0 ) ( * 14450 )
+      NEW met1 ( 1013610 14450 ) ( 1024650 * )
+      NEW met1 ( 1024650 86530 ) ( 1849890 * )
+      NEW met2 ( 1024650 14450 ) ( * 86530 )
+      NEW met1 ( 1849890 86530 ) M1M2_PR
+      NEW met1 ( 1013610 14450 ) M1M2_PR
+      NEW met1 ( 1024650 14450 ) M1M2_PR
+      NEW met1 ( 1024650 86530 ) M1M2_PR ;
     - la_oenb[22] ( PIN la_oenb[22] ) ( mprj la_oenb[22] ) + USE SIGNAL
-      + ROUTED met2 ( 1031090 2380 0 ) ( * 16830 )
-      NEW met1 ( 1031090 16830 ) ( 1033850 * )
-      NEW met1 ( 2187530 299030 ) ( 2193700 * )
-      NEW met2 ( 2193700 299030 ) ( * 300220 0 )
-      NEW met2 ( 1033850 16830 ) ( * 50150 )
-      NEW met1 ( 1033850 50150 ) ( 2187530 * )
-      NEW met2 ( 2187530 50150 ) ( * 299030 )
-      NEW met1 ( 1031090 16830 ) M1M2_PR
-      NEW met1 ( 1033850 16830 ) M1M2_PR
-      NEW met1 ( 2187530 299030 ) M1M2_PR
-      NEW met1 ( 2193700 299030 ) M1M2_PR
-      NEW met1 ( 1033850 50150 ) M1M2_PR
-      NEW met1 ( 2187530 50150 ) M1M2_PR ;
+      + ROUTED met2 ( 1856790 298860 ) ( 1858700 * )
+      NEW met2 ( 1858700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1856790 100130 ) ( * 298860 )
+      NEW met2 ( 1031090 2380 0 ) ( * 19210 )
+      NEW met1 ( 1031090 19210 ) ( 1141950 * )
+      NEW met1 ( 1141950 100130 ) ( 1856790 * )
+      NEW met2 ( 1141950 19210 ) ( * 100130 )
+      NEW met1 ( 1856790 100130 ) M1M2_PR
+      NEW met1 ( 1031090 19210 ) M1M2_PR
+      NEW met1 ( 1141950 19210 ) M1M2_PR
+      NEW met1 ( 1141950 100130 ) M1M2_PR ;
     - la_oenb[23] ( PIN la_oenb[23] ) ( mprj la_oenb[23] ) + USE SIGNAL
-      + ROUTED met2 ( 1049030 2380 0 ) ( * 16830 )
-      NEW met1 ( 1049030 16830 ) ( 1055010 * )
-      NEW met2 ( 1055010 16830 ) ( * 49810 )
-      NEW met2 ( 2194430 298860 ) ( 2198500 * )
-      NEW met2 ( 2198500 298860 ) ( * 300220 0 )
-      NEW met1 ( 1055010 49810 ) ( 2194430 * )
-      NEW met2 ( 2194430 49810 ) ( * 298860 )
-      NEW met1 ( 1049030 16830 ) M1M2_PR
-      NEW met1 ( 1055010 16830 ) M1M2_PR
-      NEW met1 ( 1055010 49810 ) M1M2_PR
-      NEW met1 ( 2194430 49810 ) M1M2_PR ;
+      + ROUTED met2 ( 1049030 2380 0 ) ( * 18870 )
+      NEW met1 ( 1856330 299030 ) ( 1862600 * )
+      NEW met2 ( 1862600 299030 ) ( * 300220 0 )
+      NEW met2 ( 1163570 18870 ) ( * 80410 )
+      NEW met2 ( 1856330 80410 ) ( * 299030 )
+      NEW met1 ( 1049030 18870 ) ( 1163570 * )
+      NEW met1 ( 1163570 80410 ) ( 1856330 * )
+      NEW met1 ( 1049030 18870 ) M1M2_PR
+      NEW met1 ( 1163570 18870 ) M1M2_PR
+      NEW met1 ( 1856330 299030 ) M1M2_PR
+      NEW met1 ( 1862600 299030 ) M1M2_PR
+      NEW met1 ( 1163570 80410 ) M1M2_PR
+      NEW met1 ( 1856330 80410 ) M1M2_PR ;
     - la_oenb[24] ( PIN la_oenb[24] ) ( mprj la_oenb[24] ) + USE SIGNAL
       + ROUTED met2 ( 1066970 2380 0 ) ( * 3060 )
       NEW met2 ( 1066970 3060 ) ( 1067890 * )
       NEW met2 ( 1067890 2380 ) ( * 3060 )
       NEW met2 ( 1067890 2380 ) ( 1068810 * )
-      NEW met2 ( 1068810 2380 ) ( * 49470 )
-      NEW met2 ( 2201330 298860 ) ( 2203200 * )
-      NEW met2 ( 2203200 298860 ) ( * 300220 0 )
-      NEW met1 ( 1068810 49470 ) ( 2201330 * )
-      NEW met2 ( 2201330 49470 ) ( * 298860 )
-      NEW met1 ( 1068810 49470 ) M1M2_PR
-      NEW met1 ( 2201330 49470 ) M1M2_PR ;
+      NEW met2 ( 1866450 286110 ) ( * 298860 )
+      NEW met2 ( 1866450 298860 ) ( 1866500 * )
+      NEW met2 ( 1866500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1068810 2380 ) ( * 99790 )
+      NEW met1 ( 1068810 99790 ) ( 1790550 * )
+      NEW met1 ( 1790550 286110 ) ( 1866450 * )
+      NEW met2 ( 1790550 99790 ) ( * 286110 )
+      NEW met1 ( 1068810 99790 ) M1M2_PR
+      NEW met1 ( 1866450 286110 ) M1M2_PR
+      NEW met1 ( 1790550 99790 ) M1M2_PR
+      NEW met1 ( 1790550 286110 ) M1M2_PR ;
     - la_oenb[25] ( PIN la_oenb[25] ) ( mprj la_oenb[25] ) + USE SIGNAL
-      + ROUTED met2 ( 1084450 2380 0 ) ( * 16830 )
-      NEW met1 ( 1084450 16830 ) ( 1089510 * )
-      NEW met2 ( 1089510 16830 ) ( * 49130 )
-      NEW met2 ( 2208230 49130 ) ( * 298860 )
-      NEW met2 ( 2208000 298860 ) ( 2208230 * )
-      NEW met2 ( 2208000 298860 ) ( * 300220 0 )
-      NEW met1 ( 1089510 49130 ) ( 2208230 * )
-      NEW met1 ( 1084450 16830 ) M1M2_PR
-      NEW met1 ( 1089510 16830 ) M1M2_PR
-      NEW met1 ( 1089510 49130 ) M1M2_PR
-      NEW met1 ( 2208230 49130 ) M1M2_PR ;
+      + ROUTED met2 ( 1084450 2380 0 ) ( * 19890 )
+      NEW met2 ( 1155750 19890 ) ( * 80070 )
+      NEW met1 ( 1084450 19890 ) ( 1155750 * )
+      NEW met2 ( 1870500 298860 ) ( 1870590 * )
+      NEW met2 ( 1870500 298860 ) ( * 300220 0 )
+      NEW met1 ( 1155750 80070 ) ( 1870590 * )
+      NEW met2 ( 1870590 80070 ) ( * 298860 )
+      NEW met1 ( 1084450 19890 ) M1M2_PR
+      NEW met1 ( 1155750 19890 ) M1M2_PR
+      NEW met1 ( 1155750 80070 ) M1M2_PR
+      NEW met1 ( 1870590 80070 ) M1M2_PR ;
     - la_oenb[26] ( PIN la_oenb[26] ) ( mprj la_oenb[26] ) + USE SIGNAL
-      + ROUTED met2 ( 2208690 298860 ) ( 2212800 * )
-      NEW met2 ( 2212800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2208690 58990 ) ( * 298860 )
-      NEW met2 ( 1102390 2380 0 ) ( * 58990 )
-      NEW met1 ( 1102390 58990 ) ( 2208690 * )
-      NEW met1 ( 2208690 58990 ) M1M2_PR
-      NEW met1 ( 1102390 58990 ) M1M2_PR ;
+      + ROUTED met2 ( 1102390 2380 0 ) ( * 16490 )
+      NEW met1 ( 1102390 16490 ) ( 1121710 * )
+      NEW met1 ( 1870130 299030 ) ( 1874300 * )
+      NEW met2 ( 1874300 299030 ) ( * 300220 0 )
+      NEW met2 ( 1121710 16490 ) ( * 73270 )
+      NEW met1 ( 1121710 73270 ) ( 1870130 * )
+      NEW met2 ( 1870130 73270 ) ( * 299030 )
+      NEW met1 ( 1102390 16490 ) M1M2_PR
+      NEW met1 ( 1121710 16490 ) M1M2_PR
+      NEW met1 ( 1870130 299030 ) M1M2_PR
+      NEW met1 ( 1874300 299030 ) M1M2_PR
+      NEW met1 ( 1121710 73270 ) M1M2_PR
+      NEW met1 ( 1870130 73270 ) M1M2_PR ;
     - la_oenb[27] ( PIN la_oenb[27] ) ( mprj la_oenb[27] ) + USE SIGNAL
-      + ROUTED met2 ( 2215130 298860 ) ( 2217600 * )
-      NEW met2 ( 2217600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2215130 59330 ) ( * 298860 )
+      + ROUTED met2 ( 1762950 86870 ) ( * 284750 )
       NEW met2 ( 1119870 2380 0 ) ( * 16830 )
-      NEW met1 ( 1119870 16830 ) ( 1124470 * )
-      NEW met2 ( 1124470 16830 ) ( * 59330 )
-      NEW met1 ( 1124470 59330 ) ( 2215130 * )
-      NEW met1 ( 2215130 59330 ) M1M2_PR
+      NEW met1 ( 1119870 16830 ) ( 1124010 * )
+      NEW met1 ( 1124010 86870 ) ( 1762950 * )
+      NEW met2 ( 1877030 284750 ) ( * 299540 )
+      NEW met2 ( 1877030 299540 ) ( 1878200 * )
+      NEW met2 ( 1878200 299540 ) ( * 300220 0 )
+      NEW met1 ( 1762950 284750 ) ( 1877030 * )
+      NEW met2 ( 1124010 16830 ) ( * 86870 )
+      NEW met1 ( 1762950 86870 ) M1M2_PR
+      NEW met1 ( 1762950 284750 ) M1M2_PR
       NEW met1 ( 1119870 16830 ) M1M2_PR
-      NEW met1 ( 1124470 16830 ) M1M2_PR
-      NEW met1 ( 1124470 59330 ) M1M2_PR ;
+      NEW met1 ( 1124010 16830 ) M1M2_PR
+      NEW met1 ( 1124010 86870 ) M1M2_PR
+      NEW met1 ( 1877030 284750 ) M1M2_PR ;
     - la_oenb[28] ( PIN la_oenb[28] ) ( mprj la_oenb[28] ) + USE SIGNAL
-      + ROUTED met2 ( 2222400 298860 ) ( 2222490 * )
-      NEW met2 ( 2222400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2222490 59670 ) ( * 298860 )
-      NEW met2 ( 1137810 2380 0 ) ( * 59670 )
-      NEW met1 ( 1137810 59670 ) ( 2222490 * )
-      NEW met1 ( 2222490 59670 ) M1M2_PR
-      NEW met1 ( 1137810 59670 ) M1M2_PR ;
+      + ROUTED met2 ( 1163110 20230 ) ( * 107270 )
+      NEW met2 ( 1137810 2380 0 ) ( * 10540 )
+      NEW met2 ( 1137810 10540 ) ( 1138270 * )
+      NEW met2 ( 1138270 10540 ) ( * 20230 )
+      NEW met1 ( 1138270 20230 ) ( 1163110 * )
+      NEW met1 ( 1163110 107270 ) ( 1877490 * )
+      NEW met2 ( 1877490 298860 ) ( 1882100 * )
+      NEW met2 ( 1882100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1877490 107270 ) ( * 298860 )
+      NEW met1 ( 1163110 20230 ) M1M2_PR
+      NEW met1 ( 1163110 107270 ) M1M2_PR
+      NEW met1 ( 1138270 20230 ) M1M2_PR
+      NEW met1 ( 1877490 107270 ) M1M2_PR ;
     - la_oenb[29] ( PIN la_oenb[29] ) ( mprj la_oenb[29] ) + USE SIGNAL
-      + ROUTED met2 ( 1155290 2380 0 ) ( * 16490 )
-      NEW met1 ( 1155290 16490 ) ( 1158970 * )
-      NEW met1 ( 2222030 299030 ) ( 2227200 * )
-      NEW met2 ( 2227200 299030 ) ( * 300220 0 )
-      NEW met2 ( 1158970 16490 ) ( * 60010 )
-      NEW met2 ( 2222030 60010 ) ( * 299030 )
-      NEW met1 ( 1158970 60010 ) ( 2222030 * )
-      NEW met1 ( 1155290 16490 ) M1M2_PR
-      NEW met1 ( 1158970 16490 ) M1M2_PR
-      NEW met1 ( 2222030 299030 ) M1M2_PR
-      NEW met1 ( 2227200 299030 ) M1M2_PR
-      NEW met1 ( 1158970 60010 ) M1M2_PR
-      NEW met1 ( 2222030 60010 ) M1M2_PR ;
+      + ROUTED met2 ( 1155290 2380 0 ) ( * 19550 )
+      NEW met2 ( 1245910 19550 ) ( * 81090 )
+      NEW met1 ( 1155290 19550 ) ( 1245910 * )
+      NEW met2 ( 1883930 298860 ) ( 1886000 * )
+      NEW met2 ( 1886000 298860 ) ( * 300220 0 )
+      NEW met1 ( 1245910 81090 ) ( 1883930 * )
+      NEW met2 ( 1883930 81090 ) ( * 298860 )
+      NEW met1 ( 1155290 19550 ) M1M2_PR
+      NEW met1 ( 1245910 19550 ) M1M2_PR
+      NEW met1 ( 1245910 81090 ) M1M2_PR
+      NEW met1 ( 1883930 81090 ) M1M2_PR ;
     - la_oenb[2] ( PIN la_oenb[2] ) ( mprj la_oenb[2] ) + USE SIGNAL
       + ROUTED met2 ( 676430 2380 0 ) ( * 17510 )
-      NEW met1 ( 676430 17510 ) ( 682410 * )
-      NEW met2 ( 682410 17510 ) ( * 58650 )
-      NEW met2 ( 2098200 298860 ) ( 2098290 * )
-      NEW met2 ( 2098200 298860 ) ( * 300220 0 )
-      NEW met1 ( 682410 58650 ) ( 2098290 * )
-      NEW met2 ( 2098290 58650 ) ( * 298860 )
+      NEW met1 ( 676430 17510 ) ( 682870 * )
+      NEW met2 ( 682870 17510 ) ( * 59670 )
+      NEW met2 ( 1780430 298860 ) ( 1780600 * )
+      NEW met2 ( 1780600 298860 ) ( * 300220 0 )
+      NEW met1 ( 682870 59670 ) ( 1780430 * )
+      NEW met2 ( 1780430 59670 ) ( * 298860 )
       NEW met1 ( 676430 17510 ) M1M2_PR
-      NEW met1 ( 682410 17510 ) M1M2_PR
-      NEW met1 ( 682410 58650 ) M1M2_PR
-      NEW met1 ( 2098290 58650 ) M1M2_PR ;
+      NEW met1 ( 682870 17510 ) M1M2_PR
+      NEW met1 ( 682870 59670 ) M1M2_PR
+      NEW met1 ( 1780430 59670 ) M1M2_PR ;
     - la_oenb[30] ( PIN la_oenb[30] ) ( mprj la_oenb[30] ) + USE SIGNAL
-      + ROUTED met2 ( 1173230 2380 0 ) ( * 18530 )
-      NEW met2 ( 2228930 298860 ) ( 2231800 * )
-      NEW met2 ( 2231800 298860 ) ( * 300220 0 )
-      NEW met2 ( 1245450 18530 ) ( * 155210 )
-      NEW met2 ( 2228930 155210 ) ( * 298860 )
-      NEW met1 ( 1173230 18530 ) ( 1245450 * )
-      NEW met1 ( 1245450 155210 ) ( 2228930 * )
-      NEW met1 ( 1173230 18530 ) M1M2_PR
-      NEW met1 ( 1245450 18530 ) M1M2_PR
-      NEW met1 ( 1245450 155210 ) M1M2_PR
-      NEW met1 ( 2228930 155210 ) M1M2_PR ;
+      + ROUTED met2 ( 1173230 2380 0 ) ( * 18870 )
+      NEW met1 ( 1173230 18870 ) ( 1293750 * )
+      NEW met1 ( 1293750 127670 ) ( 1884390 * )
+      NEW met2 ( 1886690 298860 ) ( 1889900 * )
+      NEW met2 ( 1889900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1293750 18870 ) ( * 127670 )
+      NEW met2 ( 1884390 127670 ) ( * 227700 )
+      NEW met2 ( 1884390 227700 ) ( 1886690 * )
+      NEW met2 ( 1886690 227700 ) ( * 298860 )
+      NEW met1 ( 1173230 18870 ) M1M2_PR
+      NEW met1 ( 1293750 18870 ) M1M2_PR
+      NEW met1 ( 1293750 127670 ) M1M2_PR
+      NEW met1 ( 1884390 127670 ) M1M2_PR ;
     - la_oenb[31] ( PIN la_oenb[31] ) ( mprj la_oenb[31] ) + USE SIGNAL
-      + ROUTED met2 ( 1190710 2380 0 ) ( * 16830 )
-      NEW met1 ( 1190710 16830 ) ( 1193010 * )
-      NEW met2 ( 2235830 289170 ) ( * 299540 )
-      NEW met2 ( 2235830 299540 ) ( 2236600 * )
-      NEW met2 ( 2236600 299540 ) ( * 300220 0 )
-      NEW met2 ( 1193010 16830 ) ( * 80070 )
-      NEW met1 ( 1970410 285770 ) ( 2008590 * )
-      NEW li1 ( 2008590 285770 ) ( * 289170 )
-      NEW met1 ( 2008590 289170 ) ( 2235830 * )
-      NEW met1 ( 1193010 80070 ) ( 1970410 * )
-      NEW met2 ( 1970410 80070 ) ( * 285770 )
-      NEW met1 ( 1190710 16830 ) M1M2_PR
-      NEW met1 ( 1193010 16830 ) M1M2_PR
-      NEW met1 ( 2235830 289170 ) M1M2_PR
-      NEW met1 ( 1193010 80070 ) M1M2_PR
-      NEW met1 ( 1970410 285770 ) M1M2_PR
-      NEW li1 ( 2008590 285770 ) L1M1_PR_MR
-      NEW li1 ( 2008590 289170 ) L1M1_PR_MR
-      NEW met1 ( 1970410 80070 ) M1M2_PR ;
+      + ROUTED met2 ( 1190710 2380 0 ) ( * 19210 )
+      NEW met1 ( 1190710 19210 ) ( 1301110 * )
+      NEW met2 ( 1890830 298860 ) ( 1893800 * )
+      NEW met2 ( 1893800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1301110 19210 ) ( * 134470 )
+      NEW met1 ( 1301110 134470 ) ( 1890830 * )
+      NEW met2 ( 1890830 134470 ) ( * 298860 )
+      NEW met1 ( 1190710 19210 ) M1M2_PR
+      NEW met1 ( 1301110 19210 ) M1M2_PR
+      NEW met1 ( 1301110 134470 ) M1M2_PR
+      NEW met1 ( 1890830 134470 ) M1M2_PR ;
     - la_oenb[32] ( PIN la_oenb[32] ) ( mprj la_oenb[32] ) + USE SIGNAL
-      + ROUTED met2 ( 2236290 298860 ) ( 2241400 * )
-      NEW met2 ( 2241400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2236290 86190 ) ( * 298860 )
-      NEW met2 ( 1208650 2380 0 ) ( * 15810 )
-      NEW met1 ( 1208650 15810 ) ( 1231650 * )
-      NEW met1 ( 1231650 86190 ) ( 2236290 * )
-      NEW met2 ( 1231650 15810 ) ( * 86190 )
-      NEW met1 ( 2236290 86190 ) M1M2_PR
-      NEW met1 ( 1208650 15810 ) M1M2_PR
-      NEW met1 ( 1231650 15810 ) M1M2_PR
-      NEW met1 ( 1231650 86190 ) M1M2_PR ;
+      + ROUTED met2 ( 1769850 87210 ) ( * 285090 )
+      NEW met2 ( 1208650 2380 0 ) ( * 16830 )
+      NEW met1 ( 1208650 16830 ) ( 1213710 * )
+      NEW met1 ( 1213710 87210 ) ( 1769850 * )
+      NEW met2 ( 1897730 285090 ) ( * 298860 )
+      NEW met2 ( 1897730 298860 ) ( 1897800 * )
+      NEW met2 ( 1897800 298860 ) ( * 300220 0 )
+      NEW met1 ( 1769850 285090 ) ( 1897730 * )
+      NEW met2 ( 1213710 16830 ) ( * 87210 )
+      NEW met1 ( 1769850 87210 ) M1M2_PR
+      NEW met1 ( 1769850 285090 ) M1M2_PR
+      NEW met1 ( 1208650 16830 ) M1M2_PR
+      NEW met1 ( 1213710 16830 ) M1M2_PR
+      NEW met1 ( 1213710 87210 ) M1M2_PR
+      NEW met1 ( 1897730 285090 ) M1M2_PR ;
     - la_oenb[33] ( PIN la_oenb[33] ) ( mprj la_oenb[33] ) + USE SIGNAL
-      + ROUTED met2 ( 2242730 298860 ) ( 2246200 * )
-      NEW met2 ( 2246200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1252350 20230 ) ( * 86530 )
-      NEW met2 ( 2242730 86530 ) ( * 298860 )
-      NEW met2 ( 1226130 2380 0 ) ( * 20230 )
-      NEW met1 ( 1226130 20230 ) ( 1252350 * )
-      NEW met1 ( 1252350 86530 ) ( 2242730 * )
-      NEW met1 ( 1252350 20230 ) M1M2_PR
-      NEW met1 ( 1252350 86530 ) M1M2_PR
-      NEW met1 ( 2242730 86530 ) M1M2_PR
-      NEW met1 ( 1226130 20230 ) M1M2_PR ;
+      + ROUTED met1 ( 1680150 284750 ) ( 1724770 * )
+      NEW li1 ( 1724770 284750 ) ( * 285770 )
+      NEW met2 ( 1901410 285770 ) ( * 298860 )
+      NEW met2 ( 1901410 298860 ) ( 1901600 * )
+      NEW met2 ( 1901600 298860 ) ( * 300220 0 )
+      NEW met1 ( 1724770 285770 ) ( 1901410 * )
+      NEW met2 ( 1226130 2380 0 ) ( * 74630 )
+      NEW met1 ( 1226130 74630 ) ( 1680150 * )
+      NEW met2 ( 1680150 74630 ) ( * 284750 )
+      NEW met1 ( 1680150 284750 ) M1M2_PR
+      NEW li1 ( 1724770 284750 ) L1M1_PR_MR
+      NEW li1 ( 1724770 285770 ) L1M1_PR_MR
+      NEW met1 ( 1901410 285770 ) M1M2_PR
+      NEW met1 ( 1226130 74630 ) M1M2_PR
+      NEW met1 ( 1680150 74630 ) M1M2_PR ;
     - la_oenb[34] ( PIN la_oenb[34] ) ( mprj la_oenb[34] ) + USE SIGNAL
-      + ROUTED met2 ( 1244070 2380 0 ) ( * 16830 )
-      NEW met1 ( 1244070 16830 ) ( 1248670 * )
-      NEW met1 ( 2232150 287810 ) ( 2251010 * )
-      NEW met2 ( 2251010 287810 ) ( * 298860 )
-      NEW met2 ( 2251000 298860 ) ( 2251010 * )
-      NEW met2 ( 2251000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1248670 16830 ) ( * 72250 )
-      NEW met2 ( 2232150 72250 ) ( * 287810 )
-      NEW met1 ( 1248670 72250 ) ( 2232150 * )
-      NEW met1 ( 1244070 16830 ) M1M2_PR
-      NEW met1 ( 1248670 16830 ) M1M2_PR
-      NEW met1 ( 2232150 287810 ) M1M2_PR
-      NEW met1 ( 2251010 287810 ) M1M2_PR
-      NEW met1 ( 1248670 72250 ) M1M2_PR
-      NEW met1 ( 2232150 72250 ) M1M2_PR ;
+      + ROUTED met2 ( 1244070 2380 0 ) ( * 16490 )
+      NEW met1 ( 1244070 16490 ) ( 1279950 * )
+      NEW met2 ( 1279950 16490 ) ( * 93670 )
+      NEW met1 ( 1279950 93670 ) ( 1904630 * )
+      NEW met2 ( 1904630 298860 ) ( 1905500 * )
+      NEW met2 ( 1905500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1904630 93670 ) ( * 298860 )
+      NEW met1 ( 1244070 16490 ) M1M2_PR
+      NEW met1 ( 1279950 16490 ) M1M2_PR
+      NEW met1 ( 1279950 93670 ) M1M2_PR
+      NEW met1 ( 1904630 93670 ) M1M2_PR ;
     - la_oenb[35] ( PIN la_oenb[35] ) ( mprj la_oenb[35] ) + USE SIGNAL
       + ROUTED met2 ( 1262010 2380 0 ) ( * 16830 )
       NEW met1 ( 1262010 16830 ) ( 1266150 * )
-      NEW met1 ( 2250090 299030 ) ( 2255800 * )
-      NEW met2 ( 2255800 299030 ) ( * 300220 0 )
-      NEW met2 ( 1266150 16830 ) ( * 189550 )
-      NEW met2 ( 2250090 189550 ) ( * 299030 )
-      NEW met1 ( 1266150 189550 ) ( 2250090 * )
+      NEW met2 ( 1266150 16830 ) ( * 67150 )
+      NEW met2 ( 1908310 298860 ) ( 1909400 * )
+      NEW met2 ( 1909400 298860 ) ( * 300220 0 )
+      NEW met1 ( 1266150 67150 ) ( 1905550 * )
+      NEW met2 ( 1905550 67150 ) ( * 227700 )
+      NEW met2 ( 1905550 227700 ) ( 1908310 * )
+      NEW met2 ( 1908310 227700 ) ( * 298860 )
       NEW met1 ( 1262010 16830 ) M1M2_PR
       NEW met1 ( 1266150 16830 ) M1M2_PR
-      NEW met1 ( 1266150 189550 ) M1M2_PR
-      NEW met1 ( 2250090 189550 ) M1M2_PR
-      NEW met1 ( 2250090 299030 ) M1M2_PR
-      NEW met1 ( 2255800 299030 ) M1M2_PR ;
+      NEW met1 ( 1266150 67150 ) M1M2_PR
+      NEW met1 ( 1905550 67150 ) M1M2_PR ;
     - la_oenb[36] ( PIN la_oenb[36] ) ( mprj la_oenb[36] ) + USE SIGNAL
-      + ROUTED met2 ( 1279490 2380 0 ) ( * 18530 )
-      NEW met1 ( 1279490 18530 ) ( 1314450 * )
-      NEW met2 ( 2260670 279990 ) ( * 298860 )
-      NEW met2 ( 2260600 298860 ) ( 2260670 * )
-      NEW met2 ( 2260600 298860 ) ( * 300220 0 )
-      NEW met1 ( 1314450 279990 ) ( 2260670 * )
-      NEW met2 ( 1314450 18530 ) ( * 279990 )
-      NEW met1 ( 1279490 18530 ) M1M2_PR
-      NEW met1 ( 1314450 18530 ) M1M2_PR
-      NEW met1 ( 1314450 279990 ) M1M2_PR
-      NEW met1 ( 2260670 279990 ) M1M2_PR ;
+      + ROUTED met2 ( 1279490 2380 0 ) ( * 16830 )
+      NEW met1 ( 1279490 16830 ) ( 1283170 * )
+      NEW met2 ( 1283170 16830 ) ( * 53890 )
+      NEW met1 ( 1723850 289510 ) ( * 290190 )
+      NEW met1 ( 1723850 290190 ) ( 1725000 * )
+      NEW met1 ( 1725000 289510 ) ( * 290190 )
+      NEW met1 ( 1624950 289510 ) ( 1723850 * )
+      NEW met2 ( 1911530 289510 ) ( * 299540 )
+      NEW met2 ( 1911530 299540 ) ( 1913300 * )
+      NEW met2 ( 1913300 299540 ) ( * 300220 0 )
+      NEW met1 ( 1725000 289510 ) ( 1911530 * )
+      NEW met1 ( 1283170 53890 ) ( 1624950 * )
+      NEW met2 ( 1624950 53890 ) ( * 289510 )
+      NEW met1 ( 1279490 16830 ) M1M2_PR
+      NEW met1 ( 1283170 16830 ) M1M2_PR
+      NEW met1 ( 1283170 53890 ) M1M2_PR
+      NEW met1 ( 1624950 289510 ) M1M2_PR
+      NEW met1 ( 1911530 289510 ) M1M2_PR
+      NEW met1 ( 1624950 53890 ) M1M2_PR ;
     - la_oenb[37] ( PIN la_oenb[37] ) ( mprj la_oenb[37] ) + USE SIGNAL
-      + ROUTED met2 ( 1297430 2380 0 ) ( * 16830 )
-      NEW met1 ( 1297430 16830 ) ( 1335150 * )
-      NEW met1 ( 1335150 196690 ) ( 2263430 * )
-      NEW met2 ( 2263430 298860 ) ( 2265400 * )
-      NEW met2 ( 2265400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1335150 16830 ) ( * 196690 )
-      NEW met2 ( 2263430 196690 ) ( * 298860 )
-      NEW met1 ( 1297430 16830 ) M1M2_PR
-      NEW met1 ( 1335150 16830 ) M1M2_PR
-      NEW met1 ( 1335150 196690 ) M1M2_PR
-      NEW met1 ( 2263430 196690 ) M1M2_PR ;
+      + ROUTED met2 ( 1297430 2380 0 ) ( * 18870 )
+      NEW met1 ( 1297430 18870 ) ( 1390810 * )
+      NEW met1 ( 1390810 87550 ) ( 1911990 * )
+      NEW met2 ( 1911990 298860 ) ( 1917200 * )
+      NEW met2 ( 1917200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1390810 18870 ) ( * 87550 )
+      NEW met2 ( 1911990 87550 ) ( * 298860 )
+      NEW met1 ( 1297430 18870 ) M1M2_PR
+      NEW met1 ( 1390810 18870 ) M1M2_PR
+      NEW met1 ( 1390810 87550 ) M1M2_PR
+      NEW met1 ( 1911990 87550 ) M1M2_PR ;
     - la_oenb[38] ( PIN la_oenb[38] ) ( mprj la_oenb[38] ) + USE SIGNAL
-      + ROUTED met2 ( 1314910 2380 0 ) ( * 18870 )
-      NEW met1 ( 1314910 18870 ) ( 1390350 * )
-      NEW met1 ( 1390350 210290 ) ( 2270790 * )
-      NEW met2 ( 2270200 298860 ) ( 2270790 * )
-      NEW met2 ( 2270200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1390350 18870 ) ( * 210290 )
-      NEW met2 ( 2270790 210290 ) ( * 298860 )
-      NEW met1 ( 1314910 18870 ) M1M2_PR
-      NEW met1 ( 1390350 18870 ) M1M2_PR
-      NEW met1 ( 1390350 210290 ) M1M2_PR
-      NEW met1 ( 2270790 210290 ) M1M2_PR ;
+      + ROUTED met2 ( 1921190 283050 ) ( * 298860 )
+      NEW met2 ( 1921190 298860 ) ( 1921200 * )
+      NEW met2 ( 1921200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1314910 2380 0 ) ( * 16830 )
+      NEW met1 ( 1314910 16830 ) ( 1317670 * )
+      NEW met1 ( 1317670 94010 ) ( 1894510 * )
+      NEW met1 ( 1894510 283050 ) ( 1921190 * )
+      NEW met2 ( 1317670 16830 ) ( * 94010 )
+      NEW met2 ( 1894510 94010 ) ( * 283050 )
+      NEW met1 ( 1921190 283050 ) M1M2_PR
+      NEW met1 ( 1314910 16830 ) M1M2_PR
+      NEW met1 ( 1317670 16830 ) M1M2_PR
+      NEW met1 ( 1317670 94010 ) M1M2_PR
+      NEW met1 ( 1894510 94010 ) M1M2_PR
+      NEW met1 ( 1894510 283050 ) M1M2_PR ;
     - la_oenb[39] ( PIN la_oenb[39] ) ( mprj la_oenb[39] ) + USE SIGNAL
-      + ROUTED met2 ( 1349410 19550 ) ( * 72590 )
-      NEW met2 ( 1332850 2380 0 ) ( * 19550 )
-      NEW met1 ( 1332850 19550 ) ( 1349410 * )
-      NEW met1 ( 2270330 288830 ) ( 2274930 * )
-      NEW met2 ( 2274930 288830 ) ( * 298860 )
-      NEW met2 ( 2274930 298860 ) ( 2275000 * )
-      NEW met2 ( 2275000 298860 ) ( * 300220 0 )
-      NEW met1 ( 1349410 72590 ) ( 2270330 * )
-      NEW met2 ( 2270330 72590 ) ( * 288830 )
-      NEW met1 ( 1349410 19550 ) M1M2_PR
-      NEW met1 ( 1349410 72590 ) M1M2_PR
-      NEW met1 ( 1332850 19550 ) M1M2_PR
-      NEW met1 ( 2270330 288830 ) M1M2_PR
-      NEW met1 ( 2274930 288830 ) M1M2_PR
-      NEW met1 ( 2270330 72590 ) M1M2_PR ;
+      + ROUTED met2 ( 1925100 298860 ) ( 1925790 * )
+      NEW met2 ( 1925100 298860 ) ( * 300220 0 )
+      NEW met2 ( 1925790 81430 ) ( * 298860 )
+      NEW met2 ( 1332850 2380 0 ) ( * 16830 )
+      NEW met1 ( 1332850 16830 ) ( 1338370 * )
+      NEW met2 ( 1338370 16830 ) ( * 81430 )
+      NEW met1 ( 1338370 81430 ) ( 1925790 * )
+      NEW met1 ( 1925790 81430 ) M1M2_PR
+      NEW met1 ( 1332850 16830 ) M1M2_PR
+      NEW met1 ( 1338370 16830 ) M1M2_PR
+      NEW met1 ( 1338370 81430 ) M1M2_PR ;
     - la_oenb[3] ( PIN la_oenb[3] ) ( mprj la_oenb[3] ) + USE SIGNAL
-      + ROUTED met2 ( 694370 2380 0 ) ( * 17170 )
-      NEW met1 ( 694370 17170 ) ( 696670 * )
-      NEW met2 ( 696670 17170 ) ( * 79390 )
-      NEW met1 ( 2094150 287470 ) ( 2102890 * )
-      NEW met2 ( 2102890 287470 ) ( * 298860 )
-      NEW met2 ( 2102890 298860 ) ( 2103000 * )
-      NEW met2 ( 2103000 298860 ) ( * 300220 0 )
-      NEW met1 ( 696670 79390 ) ( 2094150 * )
-      NEW met2 ( 2094150 79390 ) ( * 287470 )
-      NEW met1 ( 694370 17170 ) M1M2_PR
-      NEW met1 ( 696670 17170 ) M1M2_PR
-      NEW met1 ( 696670 79390 ) M1M2_PR
-      NEW met1 ( 2094150 287470 ) M1M2_PR
-      NEW met1 ( 2102890 287470 ) M1M2_PR
-      NEW met1 ( 2094150 79390 ) M1M2_PR ;
+      + ROUTED met2 ( 694370 2380 0 ) ( * 18530 )
+      NEW met1 ( 761070 18530 ) ( * 18870 )
+      NEW met1 ( 761070 18870 ) ( 776250 * )
+      NEW met2 ( 776250 18870 ) ( * 286110 )
+      NEW met1 ( 694370 18530 ) ( 761070 * )
+      NEW met2 ( 1784570 286110 ) ( * 298860 )
+      NEW met2 ( 1784500 298860 ) ( 1784570 * )
+      NEW met2 ( 1784500 298860 ) ( * 300220 0 )
+      NEW met1 ( 776250 286110 ) ( 1784570 * )
+      NEW met1 ( 694370 18530 ) M1M2_PR
+      NEW met1 ( 776250 18870 ) M1M2_PR
+      NEW met1 ( 776250 286110 ) M1M2_PR
+      NEW met1 ( 1784570 286110 ) M1M2_PR ;
     - la_oenb[40] ( PIN la_oenb[40] ) ( mprj la_oenb[40] ) + USE SIGNAL
       + ROUTED met2 ( 1350330 2380 0 ) ( * 19210 )
+      NEW met1 ( 1925330 289510 ) ( 1929010 * )
+      NEW met2 ( 1929010 289510 ) ( * 298860 )
+      NEW met2 ( 1929000 298860 ) ( 1929010 * )
+      NEW met2 ( 1929000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1925330 68170 ) ( * 289510 )
       NEW met1 ( 1350330 19210 ) ( 1411050 * )
-      NEW met2 ( 2279530 280330 ) ( * 298860 )
-      NEW met2 ( 2279530 298860 ) ( 2279700 * )
-      NEW met2 ( 2279700 298860 ) ( * 300220 0 )
-      NEW met1 ( 1411050 280330 ) ( 2279530 * )
-      NEW met2 ( 1411050 19210 ) ( * 280330 )
+      NEW met2 ( 1411050 19210 ) ( * 68170 )
+      NEW met1 ( 1411050 68170 ) ( 1925330 * )
       NEW met1 ( 1350330 19210 ) M1M2_PR
+      NEW met1 ( 1925330 289510 ) M1M2_PR
+      NEW met1 ( 1929010 289510 ) M1M2_PR
+      NEW met1 ( 1925330 68170 ) M1M2_PR
       NEW met1 ( 1411050 19210 ) M1M2_PR
-      NEW met1 ( 1411050 280330 ) M1M2_PR
-      NEW met1 ( 2279530 280330 ) M1M2_PR ;
+      NEW met1 ( 1411050 68170 ) M1M2_PR ;
     - la_oenb[41] ( PIN la_oenb[41] ) ( mprj la_oenb[41] ) + USE SIGNAL
       + ROUTED met2 ( 1368270 2380 0 ) ( * 16830 )
-      NEW met1 ( 1368270 16830 ) ( 1372410 * )
-      NEW met2 ( 1372410 16830 ) ( * 79730 )
-      NEW met2 ( 2245950 79730 ) ( * 289510 )
-      NEW met2 ( 2284130 289510 ) ( * 299540 )
-      NEW met2 ( 2284130 299540 ) ( 2284500 * )
-      NEW met2 ( 2284500 299540 ) ( * 300220 0 )
-      NEW met1 ( 2245950 289510 ) ( 2284130 * )
-      NEW met1 ( 1372410 79730 ) ( 2245950 * )
+      NEW met1 ( 1368270 16830 ) ( 1372870 * )
+      NEW met2 ( 1932690 285430 ) ( * 298860 )
+      NEW met2 ( 1932690 298860 ) ( 1932900 * )
+      NEW met2 ( 1932900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1372870 16830 ) ( * 74290 )
+      NEW met1 ( 1887150 285430 ) ( 1932690 * )
+      NEW met1 ( 1372870 74290 ) ( 1887150 * )
+      NEW met2 ( 1887150 74290 ) ( * 285430 )
       NEW met1 ( 1368270 16830 ) M1M2_PR
-      NEW met1 ( 1372410 16830 ) M1M2_PR
-      NEW met1 ( 2245950 289510 ) M1M2_PR
-      NEW met1 ( 1372410 79730 ) M1M2_PR
-      NEW met1 ( 2245950 79730 ) M1M2_PR
-      NEW met1 ( 2284130 289510 ) M1M2_PR ;
+      NEW met1 ( 1372870 16830 ) M1M2_PR
+      NEW met1 ( 1932690 285430 ) M1M2_PR
+      NEW met1 ( 1372870 74290 ) M1M2_PR
+      NEW met1 ( 1887150 285430 ) M1M2_PR
+      NEW met1 ( 1887150 74290 ) M1M2_PR ;
     - la_oenb[42] ( PIN la_oenb[42] ) ( mprj la_oenb[42] ) + USE SIGNAL
-      + ROUTED met2 ( 1385750 2380 0 ) ( * 18530 )
-      NEW met1 ( 1385750 18530 ) ( 1493850 * )
-      NEW met2 ( 2284590 298860 ) ( 2289300 * )
-      NEW met2 ( 2289300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1493850 18530 ) ( * 134470 )
-      NEW met1 ( 1493850 134470 ) ( 2284590 * )
-      NEW met2 ( 2284590 134470 ) ( * 298860 )
-      NEW met1 ( 1385750 18530 ) M1M2_PR
-      NEW met1 ( 1493850 18530 ) M1M2_PR
-      NEW met1 ( 1493850 134470 ) M1M2_PR
-      NEW met1 ( 2284590 134470 ) M1M2_PR ;
+      + ROUTED met2 ( 1385750 2380 0 ) ( * 19550 )
+      NEW met2 ( 1936830 281350 ) ( * 298860 )
+      NEW met2 ( 1936800 298860 ) ( 1936830 * )
+      NEW met2 ( 1936800 298860 ) ( * 300220 0 )
+      NEW met1 ( 1385750 19550 ) ( 1528350 * )
+      NEW met1 ( 1528350 281350 ) ( 1936830 * )
+      NEW met2 ( 1528350 19550 ) ( * 281350 )
+      NEW met1 ( 1385750 19550 ) M1M2_PR
+      NEW met1 ( 1936830 281350 ) M1M2_PR
+      NEW met1 ( 1528350 19550 ) M1M2_PR
+      NEW met1 ( 1528350 281350 ) M1M2_PR ;
     - la_oenb[43] ( PIN la_oenb[43] ) ( mprj la_oenb[43] ) + USE SIGNAL
-      + ROUTED met2 ( 1459350 19550 ) ( * 224230 )
-      NEW met2 ( 1403690 2380 0 ) ( * 19550 )
-      NEW met1 ( 1403690 19550 ) ( 1459350 * )
-      NEW met1 ( 1459350 224230 ) ( 2291030 * )
-      NEW met2 ( 2291030 298860 ) ( 2294000 * )
-      NEW met2 ( 2294000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2291030 224230 ) ( * 298860 )
-      NEW met1 ( 1459350 19550 ) M1M2_PR
-      NEW met1 ( 1459350 224230 ) M1M2_PR
-      NEW met1 ( 1403690 19550 ) M1M2_PR
-      NEW met1 ( 2291030 224230 ) M1M2_PR ;
+      + ROUTED met2 ( 1939590 298860 ) ( 1940700 * )
+      NEW met2 ( 1940700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1446010 16150 ) ( * 87890 )
+      NEW met2 ( 1939590 87890 ) ( * 298860 )
+      NEW met2 ( 1403690 2380 0 ) ( * 16150 )
+      NEW met1 ( 1403690 16150 ) ( 1446010 * )
+      NEW met1 ( 1446010 87890 ) ( 1939590 * )
+      NEW met1 ( 1446010 16150 ) M1M2_PR
+      NEW met1 ( 1446010 87890 ) M1M2_PR
+      NEW met1 ( 1939590 87890 ) M1M2_PR
+      NEW met1 ( 1403690 16150 ) M1M2_PR ;
     - la_oenb[44] ( PIN la_oenb[44] ) ( mprj la_oenb[44] ) + USE SIGNAL
-      + ROUTED met2 ( 2239050 72930 ) ( * 289170 )
-      NEW met2 ( 1421630 2380 0 ) ( * 16830 )
-      NEW met1 ( 1421630 16830 ) ( 1427610 * )
-      NEW met2 ( 2297930 289170 ) ( * 299540 )
-      NEW met2 ( 2297930 299540 ) ( 2298800 * )
-      NEW met2 ( 2298800 299540 ) ( * 300220 0 )
-      NEW met1 ( 2239050 289170 ) ( 2297930 * )
-      NEW met2 ( 1427610 16830 ) ( * 72930 )
-      NEW met1 ( 1427610 72930 ) ( 2239050 * )
-      NEW met1 ( 2239050 289170 ) M1M2_PR
-      NEW met1 ( 2239050 72930 ) M1M2_PR
-      NEW met1 ( 1421630 16830 ) M1M2_PR
-      NEW met1 ( 1427610 16830 ) M1M2_PR
-      NEW met1 ( 2297930 289170 ) M1M2_PR
-      NEW met1 ( 1427610 72930 ) M1M2_PR ;
+      + ROUTED met1 ( 1939130 299030 ) ( 1944600 * )
+      NEW met2 ( 1944600 299030 ) ( * 300220 0 )
+      NEW met2 ( 1438650 19210 ) ( * 61370 )
+      NEW met2 ( 1939130 61370 ) ( * 299030 )
+      NEW met2 ( 1421630 2380 0 ) ( * 19210 )
+      NEW met1 ( 1421630 19210 ) ( 1438650 * )
+      NEW met1 ( 1438650 61370 ) ( 1939130 * )
+      NEW met1 ( 1438650 19210 ) M1M2_PR
+      NEW met1 ( 1939130 299030 ) M1M2_PR
+      NEW met1 ( 1944600 299030 ) M1M2_PR
+      NEW met1 ( 1438650 61370 ) M1M2_PR
+      NEW met1 ( 1939130 61370 ) M1M2_PR
+      NEW met1 ( 1421630 19210 ) M1M2_PR ;
     - la_oenb[45] ( PIN la_oenb[45] ) ( mprj la_oenb[45] ) + USE SIGNAL
-      + ROUTED met2 ( 1439110 2380 0 ) ( * 15130 )
-      NEW met1 ( 1439110 15130 ) ( 1480050 * )
-      NEW met2 ( 1480050 15130 ) ( * 127670 )
-      NEW met1 ( 1480050 127670 ) ( 2298390 * )
-      NEW met2 ( 2298390 298860 ) ( 2303600 * )
-      NEW met2 ( 2303600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2298390 127670 ) ( * 298860 )
-      NEW met1 ( 1439110 15130 ) M1M2_PR
-      NEW met1 ( 1480050 15130 ) M1M2_PR
-      NEW met1 ( 1480050 127670 ) M1M2_PR
-      NEW met1 ( 2298390 127670 ) M1M2_PR ;
+      + ROUTED met2 ( 1439110 2380 0 ) ( * 16660 )
+      NEW met2 ( 1946490 298860 ) ( 1948500 * )
+      NEW met2 ( 1948500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1946490 16660 ) ( * 298860 )
+      NEW met3 ( 1439110 16660 ) ( 1946490 * )
+      NEW met2 ( 1439110 16660 ) M2M3_PR_M
+      NEW met2 ( 1946490 16660 ) M2M3_PR_M ;
     - la_oenb[46] ( PIN la_oenb[46] ) ( mprj la_oenb[46] ) + USE SIGNAL
-      + ROUTED met2 ( 1457050 2380 0 ) ( * 18870 )
-      NEW met2 ( 2304830 298860 ) ( 2308400 * )
-      NEW met2 ( 2308400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1549510 18870 ) ( * 141270 )
-      NEW met2 ( 2304830 141270 ) ( * 298860 )
-      NEW met1 ( 1457050 18870 ) ( 1549510 * )
-      NEW met1 ( 1549510 141270 ) ( 2304830 * )
-      NEW met1 ( 1457050 18870 ) M1M2_PR
-      NEW met1 ( 1549510 18870 ) M1M2_PR
-      NEW met1 ( 1549510 141270 ) M1M2_PR
-      NEW met1 ( 2304830 141270 ) M1M2_PR ;
+      + ROUTED met2 ( 1457050 2380 0 ) ( * 17340 )
+      NEW met2 ( 1949250 298860 ) ( 1952400 * )
+      NEW met2 ( 1952400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1946950 17340 ) ( * 227700 )
+      NEW met2 ( 1946950 227700 ) ( 1949250 * )
+      NEW met2 ( 1949250 227700 ) ( * 298860 )
+      NEW met3 ( 1457050 17340 ) ( 1946950 * )
+      NEW met2 ( 1457050 17340 ) M2M3_PR_M
+      NEW met2 ( 1946950 17340 ) M2M3_PR_M ;
     - la_oenb[47] ( PIN la_oenb[47] ) ( mprj la_oenb[47] ) + USE SIGNAL
-      + ROUTED met2 ( 2313110 285090 ) ( * 298860 )
-      NEW met2 ( 2313110 298860 ) ( 2313200 * )
-      NEW met2 ( 2313200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1474530 2380 0 ) ( * 81090 )
-      NEW met2 ( 2142450 81090 ) ( * 285090 )
-      NEW met1 ( 2142450 285090 ) ( 2313110 * )
-      NEW met1 ( 1474530 81090 ) ( 2142450 * )
-      NEW met1 ( 2142450 285090 ) M1M2_PR
-      NEW met1 ( 2313110 285090 ) M1M2_PR
-      NEW met1 ( 1474530 81090 ) M1M2_PR
-      NEW met1 ( 2142450 81090 ) M1M2_PR ;
+      + ROUTED met2 ( 1474530 2380 0 ) ( * 18020 )
+      NEW met2 ( 1952930 298860 ) ( 1956300 * )
+      NEW met2 ( 1956300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1952930 18020 ) ( * 298860 )
+      NEW met3 ( 1474530 18020 ) ( 1952930 * )
+      NEW met2 ( 1474530 18020 ) M2M3_PR_M
+      NEW met2 ( 1952930 18020 ) M2M3_PR_M ;
     - la_oenb[48] ( PIN la_oenb[48] ) ( mprj la_oenb[48] ) + USE SIGNAL
-      + ROUTED met2 ( 2317710 285770 ) ( * 298860 )
-      NEW met2 ( 2317710 298860 ) ( 2318000 * )
-      NEW met2 ( 2318000 298860 ) ( * 300220 0 )
-      NEW met2 ( 1492470 2380 0 ) ( * 16830 )
-      NEW met1 ( 1492470 16830 ) ( 1497070 * )
-      NEW met1 ( 1497070 86870 ) ( 2107950 * )
-      NEW met1 ( 2107950 285770 ) ( 2317710 * )
-      NEW met2 ( 1497070 16830 ) ( * 86870 )
-      NEW met2 ( 2107950 86870 ) ( * 285770 )
-      NEW met1 ( 2317710 285770 ) M1M2_PR
-      NEW met1 ( 1492470 16830 ) M1M2_PR
-      NEW met1 ( 1497070 16830 ) M1M2_PR
-      NEW met1 ( 1497070 86870 ) M1M2_PR
-      NEW met1 ( 2107950 86870 ) M1M2_PR
-      NEW met1 ( 2107950 285770 ) M1M2_PR ;
+      + ROUTED met2 ( 1960200 298860 ) ( 1960750 * )
+      NEW met2 ( 1960200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1960750 18700 ) ( * 298860 )
+      NEW met2 ( 1492470 2380 0 ) ( * 18700 )
+      NEW met3 ( 1492470 18700 ) ( 1960750 * )
+      NEW met2 ( 1960750 18700 ) M2M3_PR_M
+      NEW met2 ( 1492470 18700 ) M2M3_PR_M ;
     - la_oenb[49] ( PIN la_oenb[49] ) ( mprj la_oenb[49] ) + USE SIGNAL
-      + ROUTED met2 ( 2318630 298860 ) ( 2322700 * )
-      NEW met2 ( 2322700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1562850 19210 ) ( * 120530 )
-      NEW met2 ( 2318630 120530 ) ( * 298860 )
-      NEW met2 ( 1509950 2380 0 ) ( * 19210 )
-      NEW met1 ( 1509950 19210 ) ( 1562850 * )
-      NEW met1 ( 1562850 120530 ) ( 2318630 * )
-      NEW met1 ( 1562850 19210 ) M1M2_PR
-      NEW met1 ( 1562850 120530 ) M1M2_PR
-      NEW met1 ( 2318630 120530 ) M1M2_PR
-      NEW met1 ( 1509950 19210 ) M1M2_PR ;
+      + ROUTED li1 ( 1935450 18870 ) ( 1939130 * )
+      NEW met1 ( 1939130 18870 ) ( 1952930 * )
+      NEW li1 ( 1952930 18870 ) ( * 20910 )
+      NEW met1 ( 1952930 20910 ) ( 1960290 * )
+      NEW met1 ( 1960290 289510 ) ( 1963970 * )
+      NEW met2 ( 1963970 289510 ) ( * 298860 )
+      NEW met2 ( 1963970 298860 ) ( 1964000 * )
+      NEW met2 ( 1964000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1960290 20910 ) ( * 289510 )
+      NEW met2 ( 1509950 2380 0 ) ( * 18870 )
+      NEW met1 ( 1509950 18870 ) ( 1935450 * )
+      NEW li1 ( 1935450 18870 ) L1M1_PR_MR
+      NEW li1 ( 1939130 18870 ) L1M1_PR_MR
+      NEW li1 ( 1952930 18870 ) L1M1_PR_MR
+      NEW li1 ( 1952930 20910 ) L1M1_PR_MR
+      NEW met1 ( 1960290 20910 ) M1M2_PR
+      NEW met1 ( 1960290 289510 ) M1M2_PR
+      NEW met1 ( 1963970 289510 ) M1M2_PR
+      NEW met1 ( 1509950 18870 ) M1M2_PR ;
     - la_oenb[4] ( PIN la_oenb[4] ) ( mprj la_oenb[4] ) + USE SIGNAL
-      + ROUTED met2 ( 712310 2380 0 ) ( * 17510 )
-      NEW met1 ( 712310 17510 ) ( 717370 * )
-      NEW met1 ( 717370 203490 ) ( 2104730 * )
-      NEW met2 ( 2104730 298860 ) ( 2107800 * )
-      NEW met2 ( 2107800 298860 ) ( * 300220 0 )
-      NEW met2 ( 717370 17510 ) ( * 203490 )
-      NEW met2 ( 2104730 203490 ) ( * 298860 )
-      NEW met1 ( 712310 17510 ) M1M2_PR
-      NEW met1 ( 717370 17510 ) M1M2_PR
-      NEW met1 ( 717370 203490 ) M1M2_PR
-      NEW met1 ( 2104730 203490 ) M1M2_PR ;
+      + ROUTED met2 ( 712310 2380 0 ) ( * 17170 )
+      NEW met1 ( 712310 17170 ) ( 1788710 * )
+      NEW met2 ( 1788400 298860 ) ( 1788710 * )
+      NEW met2 ( 1788400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1788710 17170 ) ( * 298860 )
+      NEW met1 ( 712310 17170 ) M1M2_PR
+      NEW met1 ( 1788710 17170 ) M1M2_PR ;
     - la_oenb[50] ( PIN la_oenb[50] ) ( mprj la_oenb[50] ) + USE SIGNAL
-      + ROUTED met2 ( 2325530 298860 ) ( 2327400 * )
-      NEW met2 ( 2327400 298860 ) ( * 300220 0 )
-      NEW met2 ( 1569750 19550 ) ( * 168810 )
-      NEW met2 ( 2325530 168810 ) ( * 298860 )
-      NEW met2 ( 1527890 2380 0 ) ( * 19550 )
-      NEW met1 ( 1527890 19550 ) ( 1569750 * )
-      NEW met1 ( 1569750 168810 ) ( 2325530 * )
-      NEW met1 ( 1569750 19550 ) M1M2_PR
-      NEW met1 ( 1569750 168810 ) M1M2_PR
-      NEW met1 ( 2325530 168810 ) M1M2_PR
-      NEW met1 ( 1527890 19550 ) M1M2_PR ;
+      + ROUTED met2 ( 1527890 2380 0 ) ( * 19210 )
+      NEW met1 ( 1527890 19210 ) ( 1966730 * )
+      NEW met2 ( 1966730 298860 ) ( 1967900 * )
+      NEW met2 ( 1967900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1966730 19210 ) ( * 298860 )
+      NEW met1 ( 1527890 19210 ) M1M2_PR
+      NEW met1 ( 1966730 19210 ) M1M2_PR ;
     - la_oenb[51] ( PIN la_oenb[51] ) ( mprj la_oenb[51] ) + USE SIGNAL
-      + ROUTED met2 ( 1545370 2380 0 ) ( * 18530 )
-      NEW met2 ( 2332200 298860 ) ( 2332890 * )
-      NEW met2 ( 2332200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2332890 92990 ) ( * 298860 )
-      NEW met1 ( 1545370 18530 ) ( 1680610 * )
-      NEW met1 ( 1680610 92990 ) ( 2332890 * )
-      NEW met2 ( 1680610 18530 ) ( * 92990 )
-      NEW met1 ( 1545370 18530 ) M1M2_PR
-      NEW met1 ( 2332890 92990 ) M1M2_PR
-      NEW met1 ( 1680610 18530 ) M1M2_PR
-      NEW met1 ( 1680610 92990 ) M1M2_PR ;
+      + ROUTED met2 ( 1545370 2380 0 ) ( * 19550 )
+      NEW met1 ( 1545370 19550 ) ( 1967190 * )
+      NEW met2 ( 1971330 298860 ) ( 1971800 * )
+      NEW met2 ( 1971800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1967190 19550 ) ( * 227700 )
+      NEW met2 ( 1967190 227700 ) ( 1971330 * )
+      NEW met2 ( 1971330 227700 ) ( * 298860 )
+      NEW met1 ( 1545370 19550 ) M1M2_PR
+      NEW met1 ( 1967190 19550 ) M1M2_PR ;
     - la_oenb[52] ( PIN la_oenb[52] ) ( mprj la_oenb[52] ) + USE SIGNAL
-      + ROUTED met2 ( 1563310 2380 0 ) ( * 18870 )
-      NEW met1 ( 2332430 288490 ) ( 2337030 * )
-      NEW met2 ( 2337030 288490 ) ( * 298860 )
-      NEW met2 ( 2337000 298860 ) ( 2337030 * )
-      NEW met2 ( 2337000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2332430 66810 ) ( * 288490 )
-      NEW met1 ( 1563310 18870 ) ( 1618050 * )
-      NEW met2 ( 1618050 18870 ) ( * 66810 )
-      NEW met1 ( 1618050 66810 ) ( 2332430 * )
-      NEW met1 ( 1563310 18870 ) M1M2_PR
-      NEW met1 ( 2332430 288490 ) M1M2_PR
-      NEW met1 ( 2337030 288490 ) M1M2_PR
-      NEW met1 ( 2332430 66810 ) M1M2_PR
-      NEW met1 ( 1618050 18870 ) M1M2_PR
-      NEW met1 ( 1618050 66810 ) M1M2_PR ;
+      + ROUTED met2 ( 1563310 2380 0 ) ( * 19890 )
+      NEW li1 ( 1942350 19890 ) ( 1943270 * )
+      NEW li1 ( 1943270 19890 ) ( * 20230 )
+      NEW met1 ( 1563310 19890 ) ( 1942350 * )
+      NEW met1 ( 1943270 20230 ) ( 1974550 * )
+      NEW met2 ( 1974550 298860 ) ( 1975800 * )
+      NEW met2 ( 1975800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1974550 20230 ) ( * 298860 )
+      NEW met1 ( 1563310 19890 ) M1M2_PR
+      NEW li1 ( 1942350 19890 ) L1M1_PR_MR
+      NEW li1 ( 1943270 20230 ) L1M1_PR_MR
+      NEW met1 ( 1974550 20230 ) M1M2_PR ;
     - la_oenb[53] ( PIN la_oenb[53] ) ( mprj la_oenb[53] ) + USE SIGNAL
-      + ROUTED met2 ( 2339330 298860 ) ( 2341800 * )
-      NEW met2 ( 2341800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2339330 148070 ) ( * 298860 )
-      NEW met2 ( 1581250 2380 0 ) ( * 19210 )
-      NEW met1 ( 1581250 19210 ) ( 1700850 * )
-      NEW met2 ( 1700850 19210 ) ( * 148070 )
-      NEW met1 ( 1700850 148070 ) ( 2339330 * )
-      NEW met1 ( 2339330 148070 ) M1M2_PR
-      NEW met1 ( 1581250 19210 ) M1M2_PR
-      NEW met1 ( 1700850 19210 ) M1M2_PR
-      NEW met1 ( 1700850 148070 ) M1M2_PR ;
+      + ROUTED met1 ( 1942810 19890 ) ( * 20230 )
+      NEW met2 ( 1581250 2380 0 ) ( * 20230 )
+      NEW met1 ( 1581250 20230 ) ( 1942810 * )
+      NEW met1 ( 1942810 19890 ) ( 1974090 * )
+      NEW met1 ( 1974090 299030 ) ( 1979700 * )
+      NEW met2 ( 1979700 299030 ) ( * 300220 0 )
+      NEW met2 ( 1974090 19890 ) ( * 299030 )
+      NEW met1 ( 1581250 20230 ) M1M2_PR
+      NEW met1 ( 1974090 19890 ) M1M2_PR
+      NEW met1 ( 1974090 299030 ) M1M2_PR
+      NEW met1 ( 1979700 299030 ) M1M2_PR ;
     - la_oenb[54] ( PIN la_oenb[54] ) ( mprj la_oenb[54] ) + USE SIGNAL
-      + ROUTED met2 ( 2346690 280670 ) ( * 299540 )
-      NEW met2 ( 2346600 299540 ) ( 2346690 * )
-      NEW met2 ( 2346600 299540 ) ( * 300220 0 )
-      NEW met2 ( 1598730 2380 0 ) ( * 19550 )
-      NEW met1 ( 1598730 19550 ) ( 1693950 * )
-      NEW met1 ( 1693950 280670 ) ( 2346690 * )
-      NEW met2 ( 1693950 19550 ) ( * 280670 )
-      NEW met1 ( 2346690 280670 ) M1M2_PR
-      NEW met1 ( 1598730 19550 ) M1M2_PR
-      NEW met1 ( 1693950 19550 ) M1M2_PR
-      NEW met1 ( 1693950 280670 ) M1M2_PR ;
+      + ROUTED met2 ( 1598730 2380 0 ) ( * 20570 )
+      NEW met1 ( 1598730 20570 ) ( 1980530 * )
+      NEW met2 ( 1980530 298860 ) ( 1983600 * )
+      NEW met2 ( 1983600 298860 ) ( * 300220 0 )
+      NEW met2 ( 1980530 20570 ) ( * 298860 )
+      NEW met1 ( 1598730 20570 ) M1M2_PR
+      NEW met1 ( 1980530 20570 ) M1M2_PR ;
     - la_oenb[55] ( PIN la_oenb[55] ) ( mprj la_oenb[55] ) + USE SIGNAL
-      + ROUTED met2 ( 2347150 298860 ) ( 2351300 * )
-      NEW met2 ( 2351300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1638750 16830 ) ( * 272850 )
-      NEW met2 ( 2347150 272850 ) ( * 298860 )
-      NEW met2 ( 1616670 2380 0 ) ( * 16830 )
-      NEW met1 ( 1616670 16830 ) ( 1638750 * )
-      NEW met1 ( 1638750 272850 ) ( 2347150 * )
-      NEW met1 ( 1638750 16830 ) M1M2_PR
-      NEW met1 ( 1638750 272850 ) M1M2_PR
-      NEW met1 ( 2347150 272850 ) M1M2_PR
-      NEW met1 ( 1616670 16830 ) M1M2_PR ;
+      + ROUTED met2 ( 1616670 2380 0 ) ( * 16830 )
+      NEW met2 ( 1987430 15980 ) ( * 16830 )
+      NEW met2 ( 1987430 15980 ) ( 1988350 * )
+      NEW met1 ( 1616670 16830 ) ( 1987430 * )
+      NEW met2 ( 1987500 298860 ) ( 1988350 * )
+      NEW met2 ( 1987500 298860 ) ( * 300220 0 )
+      NEW met2 ( 1988350 15980 ) ( * 298860 )
+      NEW met1 ( 1616670 16830 ) M1M2_PR
+      NEW met1 ( 1987430 16830 ) M1M2_PR ;
     - la_oenb[56] ( PIN la_oenb[56] ) ( mprj la_oenb[56] ) + USE SIGNAL
       + ROUTED met2 ( 1634150 2380 0 ) ( * 16490 )
-      NEW met1 ( 1634150 16490 ) ( 1673710 * )
-      NEW met2 ( 1673710 16490 ) ( * 67150 )
-      NEW met2 ( 2353130 298860 ) ( 2356100 * )
-      NEW met2 ( 2356100 298860 ) ( * 300220 0 )
-      NEW met1 ( 1673710 67150 ) ( 2353130 * )
-      NEW met2 ( 2353130 67150 ) ( * 298860 )
+      NEW met1 ( 1634150 16490 ) ( 1987890 * )
+      NEW met1 ( 1987890 289850 ) ( 1991110 * )
+      NEW met2 ( 1991110 289850 ) ( * 298860 )
+      NEW met2 ( 1991110 298860 ) ( 1991400 * )
+      NEW met2 ( 1991400 298860 ) ( * 300220 0 )
+      NEW met2 ( 1987890 16490 ) ( * 289850 )
       NEW met1 ( 1634150 16490 ) M1M2_PR
-      NEW met1 ( 1673710 16490 ) M1M2_PR
-      NEW met1 ( 1673710 67150 ) M1M2_PR
-      NEW met1 ( 2353130 67150 ) M1M2_PR ;
+      NEW met1 ( 1987890 16490 ) M1M2_PR
+      NEW met1 ( 1987890 289850 ) M1M2_PR
+      NEW met1 ( 1991110 289850 ) M1M2_PR ;
     - la_oenb[57] ( PIN la_oenb[57] ) ( mprj la_oenb[57] ) + USE SIGNAL
-      + ROUTED met2 ( 1652090 2380 0 ) ( * 19890 )
-      NEW met1 ( 1652090 19890 ) ( 1707750 * )
-      NEW met2 ( 2360490 298860 ) ( 2360900 * )
-      NEW met2 ( 2360900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1707750 19890 ) ( * 273190 )
-      NEW met1 ( 1707750 273190 ) ( 2360490 * )
-      NEW met2 ( 2360490 273190 ) ( * 298860 )
-      NEW met1 ( 1652090 19890 ) M1M2_PR
-      NEW met1 ( 1707750 19890 ) M1M2_PR
-      NEW met1 ( 1707750 273190 ) M1M2_PR
-      NEW met1 ( 2360490 273190 ) M1M2_PR ;
+      + ROUTED met2 ( 1652090 2380 0 ) ( * 16150 )
+      NEW met1 ( 1652090 16150 ) ( 1994330 * )
+      NEW met2 ( 1994790 298860 ) ( 1995300 * )
+      NEW met2 ( 1995300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1994330 82800 ) ( 1994790 * )
+      NEW met2 ( 1994330 16150 ) ( * 82800 )
+      NEW met2 ( 1994790 82800 ) ( * 298860 )
+      NEW met1 ( 1652090 16150 ) M1M2_PR
+      NEW met1 ( 1994330 16150 ) M1M2_PR ;
     - la_oenb[58] ( PIN la_oenb[58] ) ( mprj la_oenb[58] ) + USE SIGNAL
-      + ROUTED met2 ( 1669570 2380 0 ) ( * 20570 )
-      NEW met1 ( 1669570 20570 ) ( 1681070 * )
-      NEW met1 ( 2360030 299030 ) ( 2365700 * )
-      NEW met2 ( 2365700 299030 ) ( * 300220 0 )
-      NEW met2 ( 1681070 20570 ) ( * 73610 )
-      NEW met1 ( 1681070 73610 ) ( 2360030 * )
-      NEW met2 ( 2360030 73610 ) ( * 299030 )
-      NEW met1 ( 1669570 20570 ) M1M2_PR
-      NEW met1 ( 1681070 20570 ) M1M2_PR
-      NEW met1 ( 2360030 299030 ) M1M2_PR
-      NEW met1 ( 2365700 299030 ) M1M2_PR
-      NEW met1 ( 1681070 73610 ) M1M2_PR
-      NEW met1 ( 2360030 73610 ) M1M2_PR ;
+      + ROUTED met2 ( 1669570 2380 0 ) ( * 15810 )
+      NEW met1 ( 1669570 15810 ) ( 1995710 * )
+      NEW met2 ( 1995710 298860 ) ( 1999300 * )
+      NEW met2 ( 1999300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1995710 15810 ) ( * 298860 )
+      NEW met1 ( 1669570 15810 ) M1M2_PR
+      NEW met1 ( 1995710 15810 ) M1M2_PR ;
     - la_oenb[59] ( PIN la_oenb[59] ) ( mprj la_oenb[59] ) + USE SIGNAL
-      + ROUTED met2 ( 1859550 18870 ) ( * 281350 )
-      NEW met2 ( 1687510 2380 0 ) ( * 18870 )
-      NEW met1 ( 1687510 18870 ) ( 1859550 * )
-      NEW met2 ( 2370610 281350 ) ( * 298860 )
-      NEW met2 ( 2370500 298860 ) ( 2370610 * )
-      NEW met2 ( 2370500 298860 ) ( * 300220 0 )
-      NEW met1 ( 1859550 281350 ) ( 2370610 * )
-      NEW met1 ( 1859550 18870 ) M1M2_PR
-      NEW met1 ( 1859550 281350 ) M1M2_PR
-      NEW met1 ( 1687510 18870 ) M1M2_PR
-      NEW met1 ( 2370610 281350 ) M1M2_PR ;
+      + ROUTED met2 ( 1687510 2380 0 ) ( * 15470 )
+      NEW met1 ( 1687510 15470 ) ( 2001690 * )
+      NEW met2 ( 2001690 298860 ) ( 2003200 * )
+      NEW met2 ( 2003200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2001690 15470 ) ( * 298860 )
+      NEW met1 ( 1687510 15470 ) M1M2_PR
+      NEW met1 ( 2001690 15470 ) M1M2_PR ;
     - la_oenb[5] ( PIN la_oenb[5] ) ( mprj la_oenb[5] ) + USE SIGNAL
-      + ROUTED met2 ( 2112090 298860 ) ( 2112600 * )
-      NEW met2 ( 2112600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2112090 272510 ) ( * 298860 )
-      NEW met2 ( 729790 2380 0 ) ( * 17850 )
-      NEW met1 ( 729790 17850 ) ( 755550 * )
-      NEW met2 ( 755550 17850 ) ( * 272510 )
-      NEW met1 ( 755550 272510 ) ( 2112090 * )
-      NEW met1 ( 2112090 272510 ) M1M2_PR
-      NEW met1 ( 729790 17850 ) M1M2_PR
-      NEW met1 ( 755550 17850 ) M1M2_PR
-      NEW met1 ( 755550 272510 ) M1M2_PR ;
+      + ROUTED met2 ( 803850 19550 ) ( * 287470 )
+      NEW met2 ( 729790 2380 0 ) ( * 19550 )
+      NEW met1 ( 729790 19550 ) ( 803850 * )
+      NEW met2 ( 1792390 287470 ) ( * 298860 )
+      NEW met2 ( 1792390 298860 ) ( 1792400 * )
+      NEW met2 ( 1792400 298860 ) ( * 300220 0 )
+      NEW met1 ( 803850 287470 ) ( 1792390 * )
+      NEW met1 ( 803850 19550 ) M1M2_PR
+      NEW met1 ( 803850 287470 ) M1M2_PR
+      NEW met1 ( 729790 19550 ) M1M2_PR
+      NEW met1 ( 1792390 287470 ) M1M2_PR ;
     - la_oenb[60] ( PIN la_oenb[60] ) ( mprj la_oenb[60] ) + USE SIGNAL
-      + ROUTED met2 ( 1704990 2380 0 ) ( * 18530 )
-      NEW met1 ( 1704990 18530 ) ( 1887150 * )
-      NEW met1 ( 1887150 99790 ) ( 2374290 * )
-      NEW met2 ( 2374290 298860 ) ( 2375200 * )
-      NEW met2 ( 2375200 298860 ) ( * 300220 0 )
-      NEW met2 ( 1887150 18530 ) ( * 99790 )
-      NEW met2 ( 2374290 99790 ) ( * 298860 )
-      NEW met1 ( 1704990 18530 ) M1M2_PR
-      NEW met1 ( 1887150 18530 ) M1M2_PR
-      NEW met1 ( 1887150 99790 ) M1M2_PR
-      NEW met1 ( 2374290 99790 ) M1M2_PR ;
+      + ROUTED met2 ( 1704990 2380 0 ) ( * 15130 )
+      NEW met1 ( 1704990 15130 ) ( 2002150 * )
+      NEW met2 ( 2003990 298860 ) ( 2007100 * )
+      NEW met2 ( 2007100 298860 ) ( * 300220 0 )
+      NEW met2 ( 2002150 15130 ) ( * 227700 )
+      NEW met2 ( 2002150 227700 ) ( 2003990 * )
+      NEW met2 ( 2003990 227700 ) ( * 298860 )
+      NEW met1 ( 1704990 15130 ) M1M2_PR
+      NEW met1 ( 2002150 15130 ) M1M2_PR ;
     - la_oenb[61] ( PIN la_oenb[61] ) ( mprj la_oenb[61] ) + USE SIGNAL
-      + ROUTED met2 ( 1762950 19550 ) ( * 61030 )
-      NEW met2 ( 1722930 2380 0 ) ( * 19550 )
-      NEW met1 ( 1722930 19550 ) ( 1762950 * )
-      NEW met1 ( 2373830 299030 ) ( 2379900 * )
-      NEW met2 ( 2379900 299030 ) ( * 300220 0 )
-      NEW met1 ( 1762950 61030 ) ( 2373830 * )
-      NEW met2 ( 2373830 61030 ) ( * 299030 )
-      NEW met1 ( 1762950 19550 ) M1M2_PR
-      NEW met1 ( 1762950 61030 ) M1M2_PR
-      NEW met1 ( 1722930 19550 ) M1M2_PR
-      NEW met1 ( 2373830 299030 ) M1M2_PR
-      NEW met1 ( 2379900 299030 ) M1M2_PR
-      NEW met1 ( 2373830 61030 ) M1M2_PR ;
+      + ROUTED met2 ( 1722930 2380 0 ) ( * 14790 )
+      NEW met1 ( 1722930 14790 ) ( 2008130 * )
+      NEW met2 ( 2008130 298860 ) ( 2011000 * )
+      NEW met2 ( 2011000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2008130 14790 ) ( * 298860 )
+      NEW met1 ( 1722930 14790 ) M1M2_PR
+      NEW met1 ( 2008130 14790 ) M1M2_PR ;
     - la_oenb[62] ( PIN la_oenb[62] ) ( mprj la_oenb[62] ) + USE SIGNAL
-      + ROUTED met2 ( 1740410 2380 0 ) ( * 20230 )
-      NEW met1 ( 1740410 20230 ) ( 1894050 * )
-      NEW met1 ( 1894050 106930 ) ( 2380730 * )
-      NEW met2 ( 2380730 298860 ) ( 2384700 * )
-      NEW met2 ( 2384700 298860 ) ( * 300220 0 )
-      NEW met2 ( 1894050 20230 ) ( * 106930 )
-      NEW met2 ( 2380730 106930 ) ( * 298860 )
-      NEW met1 ( 1740410 20230 ) M1M2_PR
-      NEW met1 ( 1894050 20230 ) M1M2_PR
-      NEW met1 ( 1894050 106930 ) M1M2_PR
-      NEW met1 ( 2380730 106930 ) M1M2_PR ;
+      + ROUTED met2 ( 1740410 2380 0 ) ( * 14450 )
+      NEW met2 ( 2014900 298860 ) ( 2015490 * )
+      NEW met2 ( 2014900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2015490 14450 ) ( * 298860 )
+      NEW met1 ( 1740410 14450 ) ( 2015490 * )
+      NEW met1 ( 1740410 14450 ) M1M2_PR
+      NEW met1 ( 2015490 14450 ) M1M2_PR ;
     - la_oenb[63] ( PIN la_oenb[63] ) ( mprj la_oenb[63] ) + USE SIGNAL
-      + ROUTED met2 ( 1758350 2380 0 ) ( * 19210 )
-      NEW met1 ( 1758350 19210 ) ( 1907850 * )
-      NEW met2 ( 2387630 298860 ) ( 2389500 * )
-      NEW met2 ( 2389500 298860 ) ( * 300220 0 )
-      NEW met2 ( 1907850 19210 ) ( * 273530 )
-      NEW met1 ( 1907850 273530 ) ( 2387630 * )
-      NEW met2 ( 2387630 273530 ) ( * 298860 )
-      NEW met1 ( 1758350 19210 ) M1M2_PR
-      NEW met1 ( 1907850 19210 ) M1M2_PR
-      NEW met1 ( 1907850 273530 ) M1M2_PR
-      NEW met1 ( 2387630 273530 ) M1M2_PR ;
+      + ROUTED met2 ( 1758350 2380 0 ) ( * 14110 )
+      NEW met2 ( 2015950 298860 ) ( 2018700 * )
+      NEW met2 ( 2018700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2015950 14110 ) ( * 298860 )
+      NEW met1 ( 1758350 14110 ) ( 2015950 * )
+      NEW met1 ( 1758350 14110 ) M1M2_PR
+      NEW met1 ( 2015950 14110 ) M1M2_PR ;
     - la_oenb[64] ( PIN la_oenb[64] ) ( mprj la_oenb[64] ) + USE SIGNAL
-      + ROUTED met2 ( 1776290 2380 0 ) ( * 19550 )
-      NEW met1 ( 1776290 19550 ) ( 1969950 * )
-      NEW met2 ( 2394300 298860 ) ( 2394990 * )
-      NEW met2 ( 2394300 298860 ) ( * 300220 0 )
-      NEW met2 ( 1969950 19550 ) ( * 273870 )
-      NEW met1 ( 1969950 273870 ) ( 2394990 * )
-      NEW met2 ( 2394990 273870 ) ( * 298860 )
-      NEW met1 ( 1776290 19550 ) M1M2_PR
-      NEW met1 ( 1969950 19550 ) M1M2_PR
-      NEW met1 ( 1969950 273870 ) M1M2_PR
-      NEW met1 ( 2394990 273870 ) M1M2_PR ;
+      + ROUTED met2 ( 2021930 288830 ) ( * 299540 )
+      NEW met2 ( 2021930 299540 ) ( 2022600 * )
+      NEW met2 ( 2022600 299540 ) ( * 300220 0 )
+      NEW met2 ( 1776290 2380 0 ) ( * 17510 )
+      NEW met1 ( 1776290 17510 ) ( 1779970 * )
+      NEW met1 ( 1779970 288830 ) ( 2021930 * )
+      NEW met2 ( 1779970 17510 ) ( * 288830 )
+      NEW met1 ( 2021930 288830 ) M1M2_PR
+      NEW met1 ( 1776290 17510 ) M1M2_PR
+      NEW met1 ( 1779970 17510 ) M1M2_PR
+      NEW met1 ( 1779970 288830 ) M1M2_PR ;
     - la_oenb[65] ( PIN la_oenb[65] ) ( mprj la_oenb[65] ) + USE SIGNAL
-      + ROUTED met2 ( 1793770 2380 0 ) ( * 16490 )
-      NEW met1 ( 1793770 16490 ) ( 1873350 * )
-      NEW met1 ( 2394530 288490 ) ( 2399130 * )
-      NEW met2 ( 2399130 288490 ) ( * 298860 )
-      NEW met2 ( 2399100 298860 ) ( 2399130 * )
-      NEW met2 ( 2399100 298860 ) ( * 300220 0 )
-      NEW met2 ( 1873350 16490 ) ( * 175950 )
-      NEW met1 ( 1873350 175950 ) ( 2394530 * )
-      NEW met2 ( 2394530 175950 ) ( * 288490 )
-      NEW met1 ( 1793770 16490 ) M1M2_PR
-      NEW met1 ( 1873350 16490 ) M1M2_PR
-      NEW met1 ( 2394530 288490 ) M1M2_PR
-      NEW met1 ( 2399130 288490 ) M1M2_PR
-      NEW met1 ( 1873350 175950 ) M1M2_PR
-      NEW met1 ( 2394530 175950 ) M1M2_PR ;
+      + ROUTED met2 ( 2022390 298860 ) ( 2026500 * )
+      NEW met2 ( 2026500 298860 ) ( * 300220 0 )
+      NEW met2 ( 2022390 16830 ) ( * 298860 )
+      NEW met2 ( 1793770 2380 0 ) ( * 17170 )
+      NEW met1 ( 1988350 16830 ) ( * 17170 )
+      NEW met1 ( 1793770 17170 ) ( 1988350 * )
+      NEW met1 ( 1988350 16830 ) ( 2022390 * )
+      NEW met1 ( 2022390 16830 ) M1M2_PR
+      NEW met1 ( 1793770 17170 ) M1M2_PR ;
     - la_oenb[66] ( PIN la_oenb[66] ) ( mprj la_oenb[66] ) + USE SIGNAL
-      + ROUTED met2 ( 2403730 281690 ) ( * 298860 )
-      NEW met2 ( 2403730 298860 ) ( 2403900 * )
-      NEW met2 ( 2403900 298860 ) ( * 300220 0 )
-      NEW met2 ( 1963050 19890 ) ( * 281690 )
-      NEW met2 ( 1811710 2380 0 ) ( * 19890 )
-      NEW met1 ( 1811710 19890 ) ( 1963050 * )
-      NEW met1 ( 1963050 281690 ) ( 2403730 * )
-      NEW met1 ( 1963050 19890 ) M1M2_PR
-      NEW met1 ( 1963050 281690 ) M1M2_PR
-      NEW met1 ( 2403730 281690 ) M1M2_PR
-      NEW met1 ( 1811710 19890 ) M1M2_PR ;
+      + ROUTED met1 ( 2021930 17510 ) ( * 17850 )
+      NEW met1 ( 2021930 17850 ) ( 2029290 * )
+      NEW met2 ( 2029290 298860 ) ( 2030400 * )
+      NEW met2 ( 2030400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2029290 17850 ) ( * 298860 )
+      NEW met2 ( 1811710 2380 0 ) ( * 17510 )
+      NEW met1 ( 1811710 17510 ) ( 2021930 * )
+      NEW met1 ( 2029290 17850 ) M1M2_PR
+      NEW met1 ( 1811710 17510 ) M1M2_PR ;
     - la_oenb[67] ( PIN la_oenb[67] ) ( mprj la_oenb[67] ) + USE SIGNAL
-      + ROUTED met2 ( 1829190 2380 0 ) ( * 20570 )
-      NEW met2 ( 2408600 298860 ) ( 2408790 * )
-      NEW met2 ( 2408600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2408790 113730 ) ( * 298860 )
-      NEW met1 ( 1829190 20570 ) ( 1976390 * )
-      NEW met1 ( 1976850 113730 ) ( 2408790 * )
-      NEW met2 ( 1976390 20570 ) ( * 34500 )
-      NEW met2 ( 1976390 34500 ) ( 1976850 * )
-      NEW met2 ( 1976850 34500 ) ( * 113730 )
-      NEW met1 ( 1829190 20570 ) M1M2_PR
-      NEW met1 ( 2408790 113730 ) M1M2_PR
-      NEW met1 ( 1976390 20570 ) M1M2_PR
-      NEW met1 ( 1976850 113730 ) M1M2_PR ;
+      + ROUTED met2 ( 1829190 2380 0 ) ( * 18190 )
+      NEW met1 ( 1829190 18190 ) ( 1835630 * )
+      NEW met1 ( 1835630 17850 ) ( * 18190 )
+      NEW met1 ( 2021470 17850 ) ( * 18190 )
+      NEW met1 ( 2021470 18190 ) ( 2029750 * )
+      NEW met2 ( 2032510 298860 ) ( 2034300 * )
+      NEW met2 ( 2034300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2029750 18190 ) ( * 227700 )
+      NEW met2 ( 2029750 227700 ) ( 2032510 * )
+      NEW met2 ( 2032510 227700 ) ( * 298860 )
+      NEW met1 ( 1835630 17850 ) ( 2021470 * )
+      NEW met1 ( 1829190 18190 ) M1M2_PR
+      NEW met1 ( 2029750 18190 ) M1M2_PR ;
     - la_oenb[68] ( PIN la_oenb[68] ) ( mprj la_oenb[68] ) + USE SIGNAL
-      + ROUTED met2 ( 1847130 2380 0 ) ( * 16830 )
-      NEW met1 ( 2408330 289170 ) ( 2413390 * )
-      NEW met2 ( 2413390 289170 ) ( * 298860 )
-      NEW met2 ( 2413390 298860 ) ( 2413400 * )
-      NEW met2 ( 2413400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2408330 73950 ) ( * 289170 )
-      NEW met1 ( 1847130 16830 ) ( 1880250 * )
-      NEW met2 ( 1880250 16830 ) ( * 73950 )
-      NEW met1 ( 1880250 73950 ) ( 2408330 * )
-      NEW met1 ( 1847130 16830 ) M1M2_PR
-      NEW met1 ( 2408330 289170 ) M1M2_PR
-      NEW met1 ( 2413390 289170 ) M1M2_PR
-      NEW met1 ( 2408330 73950 ) M1M2_PR
-      NEW met1 ( 1880250 16830 ) M1M2_PR
-      NEW met1 ( 1880250 73950 ) M1M2_PR ;
+      + ROUTED met2 ( 1847130 2380 0 ) ( * 18190 )
+      NEW met1 ( 2020550 18190 ) ( * 18870 )
+      NEW met1 ( 2020550 18870 ) ( 2036650 * )
+      NEW met2 ( 2036650 298860 ) ( 2038200 * )
+      NEW met2 ( 2038200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2036650 18870 ) ( * 298860 )
+      NEW met1 ( 1847130 18190 ) ( 2020550 * )
+      NEW met1 ( 1847130 18190 ) M1M2_PR
+      NEW met1 ( 2036650 18870 ) M1M2_PR ;
     - la_oenb[69] ( PIN la_oenb[69] ) ( mprj la_oenb[69] ) + USE SIGNAL
-      + ROUTED met2 ( 1864610 2380 0 ) ( * 16660 )
-      NEW met2 ( 2415230 298860 ) ( 2418200 * )
-      NEW met2 ( 2418200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2415230 16660 ) ( * 298860 )
-      NEW met3 ( 1864610 16660 ) ( 2415230 * )
-      NEW met2 ( 1864610 16660 ) M2M3_PR_M
-      NEW met2 ( 2415230 16660 ) M2M3_PR_M ;
+      + ROUTED met2 ( 1864610 2380 0 ) ( * 18530 )
+      NEW met1 ( 2036190 299030 ) ( 2042100 * )
+      NEW met2 ( 2042100 299030 ) ( * 300220 0 )
+      NEW met2 ( 2036190 19210 ) ( * 299030 )
+      NEW met1 ( 2014800 19210 ) ( 2036190 * )
+      NEW met1 ( 2014800 18530 ) ( * 19210 )
+      NEW met1 ( 1864610 18530 ) ( 2014800 * )
+      NEW met1 ( 1864610 18530 ) M1M2_PR
+      NEW met1 ( 2036190 19210 ) M1M2_PR
+      NEW met1 ( 2036190 299030 ) M1M2_PR
+      NEW met1 ( 2042100 299030 ) M1M2_PR ;
     - la_oenb[6] ( PIN la_oenb[6] ) ( mprj la_oenb[6] ) + USE SIGNAL
-      + ROUTED met1 ( 777630 16830 ) ( * 17170 )
-      NEW met1 ( 2111630 299030 ) ( 2117300 * )
-      NEW met2 ( 2117300 299030 ) ( * 300220 0 )
-      NEW met2 ( 2111630 17170 ) ( * 299030 )
-      NEW met2 ( 747730 2380 0 ) ( * 17170 )
-      NEW met1 ( 747730 17170 ) ( 777630 * )
-      NEW met1 ( 777630 16830 ) ( 807300 * )
-      NEW met1 ( 807300 16830 ) ( * 17170 )
-      NEW met1 ( 807300 17170 ) ( 2111630 * )
-      NEW met1 ( 2111630 17170 ) M1M2_PR
-      NEW met1 ( 2111630 299030 ) M1M2_PR
-      NEW met1 ( 2117300 299030 ) M1M2_PR
-      NEW met1 ( 747730 17170 ) M1M2_PR ;
+      + ROUTED met2 ( 747730 2380 0 ) ( * 17510 )
+      NEW met1 ( 1775830 17510 ) ( * 17850 )
+      NEW met1 ( 1775830 17850 ) ( 1794230 * )
+      NEW met1 ( 747730 17510 ) ( 1775830 * )
+      NEW met2 ( 1794230 298860 ) ( 1796300 * )
+      NEW met2 ( 1796300 298860 ) ( * 300220 0 )
+      NEW met2 ( 1794230 17850 ) ( * 298860 )
+      NEW met1 ( 747730 17510 ) M1M2_PR
+      NEW met1 ( 1794230 17850 ) M1M2_PR ;
     - la_oenb[70] ( PIN la_oenb[70] ) ( mprj la_oenb[70] ) + USE SIGNAL
-      + ROUTED met2 ( 2422900 298860 ) ( 2423050 * )
-      NEW met2 ( 2422900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2423050 17340 ) ( * 298860 )
-      NEW met2 ( 1882550 2380 0 ) ( * 17340 )
-      NEW met3 ( 1882550 17340 ) ( 2423050 * )
-      NEW met2 ( 2423050 17340 ) M2M3_PR_M
-      NEW met2 ( 1882550 17340 ) M2M3_PR_M ;
+      + ROUTED met2 ( 2045850 286110 ) ( * 298860 )
+      NEW met2 ( 2045850 298860 ) ( 2046000 * )
+      NEW met2 ( 2046000 298860 ) ( * 300220 0 )
+      NEW met1 ( 1883470 286110 ) ( 2045850 * )
+      NEW met2 ( 1882550 2380 0 ) ( * 34500 )
+      NEW met2 ( 1882550 34500 ) ( 1883470 * )
+      NEW met2 ( 1883470 34500 ) ( * 286110 )
+      NEW met1 ( 2045850 286110 ) M1M2_PR
+      NEW met1 ( 1883470 286110 ) M1M2_PR ;
     - la_oenb[71] ( PIN la_oenb[71] ) ( mprj la_oenb[71] ) + USE SIGNAL
-      + ROUTED met1 ( 2422590 289170 ) ( 2427650 * )
-      NEW met2 ( 2427650 289170 ) ( * 298860 )
-      NEW met2 ( 2427650 298860 ) ( 2427700 * )
-      NEW met2 ( 2427700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2422590 18020 ) ( * 289170 )
-      NEW met2 ( 1900030 2380 0 ) ( * 18020 )
-      NEW met3 ( 1900030 18020 ) ( 2422590 * )
-      NEW met2 ( 2422590 18020 ) M2M3_PR_M
-      NEW met1 ( 2422590 289170 ) M1M2_PR
-      NEW met1 ( 2427650 289170 ) M1M2_PR
-      NEW met2 ( 1900030 18020 ) M2M3_PR_M ;
+      + ROUTED met2 ( 2049990 287470 ) ( * 298860 )
+      NEW met2 ( 2049900 298860 ) ( 2049990 * )
+      NEW met2 ( 2049900 298860 ) ( * 300220 0 )
+      NEW met1 ( 1904170 287470 ) ( 2049990 * )
+      NEW met2 ( 1900030 2380 0 ) ( * 34500 )
+      NEW met2 ( 1900030 34500 ) ( 1904170 * )
+      NEW met2 ( 1904170 34500 ) ( * 287470 )
+      NEW met1 ( 2049990 287470 ) M1M2_PR
+      NEW met1 ( 1904170 287470 ) M1M2_PR ;
     - la_oenb[72] ( PIN la_oenb[72] ) ( mprj la_oenb[72] ) + USE SIGNAL
-      + ROUTED met2 ( 2429030 298860 ) ( 2432500 * )
-      NEW met2 ( 2432500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2429030 18530 ) ( * 298860 )
-      NEW met2 ( 1917970 2380 0 ) ( * 18530 )
-      NEW met1 ( 1917970 18530 ) ( 2429030 * )
-      NEW met1 ( 2429030 18530 ) M1M2_PR
-      NEW met1 ( 1917970 18530 ) M1M2_PR ;
+      + ROUTED met2 ( 2053670 288490 ) ( * 298860 )
+      NEW met2 ( 2053670 298860 ) ( 2053900 * )
+      NEW met2 ( 2053900 298860 ) ( * 300220 0 )
+      NEW met1 ( 1917970 288490 ) ( 2053670 * )
+      NEW met2 ( 1917970 2380 0 ) ( * 288490 )
+      NEW met1 ( 2053670 288490 ) M1M2_PR
+      NEW met1 ( 1917970 288490 ) M1M2_PR ;
     - la_oenb[73] ( PIN la_oenb[73] ) ( mprj la_oenb[73] ) + USE SIGNAL
-      + ROUTED met2 ( 1935910 2380 0 ) ( * 18700 )
-      NEW met2 ( 2436390 298860 ) ( 2437200 * )
-      NEW met2 ( 2437200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2436390 18700 ) ( * 298860 )
-      NEW met3 ( 1935910 18700 ) ( 2436390 * )
-      NEW met2 ( 1935910 18700 ) M2M3_PR_M
-      NEW met2 ( 2436390 18700 ) M2M3_PR_M ;
+      + ROUTED met2 ( 1935910 2380 0 ) ( * 18870 )
+      NEW met1 ( 1935910 18870 ) ( 1938670 * )
+      NEW met1 ( 1938670 289510 ) ( 1959830 * )
+      NEW met1 ( 1959830 289510 ) ( * 289850 )
+      NEW met1 ( 1959830 289850 ) ( 1964430 * )
+      NEW met1 ( 1964430 289510 ) ( * 289850 )
+      NEW met2 ( 2057810 289510 ) ( * 298860 )
+      NEW met2 ( 2057800 298860 ) ( 2057810 * )
+      NEW met2 ( 2057800 298860 ) ( * 300220 0 )
+      NEW met2 ( 1938670 18870 ) ( * 289510 )
+      NEW met1 ( 1964430 289510 ) ( 2057810 * )
+      NEW met1 ( 1935910 18870 ) M1M2_PR
+      NEW met1 ( 1938670 18870 ) M1M2_PR
+      NEW met1 ( 1938670 289510 ) M1M2_PR
+      NEW met1 ( 2057810 289510 ) M1M2_PR ;
     - la_oenb[74] ( PIN la_oenb[74] ) ( mprj la_oenb[74] ) + USE SIGNAL
       + ROUTED met2 ( 1953390 2380 0 ) ( * 18870 )
-      NEW met2 ( 2438690 298860 ) ( 2442000 * )
-      NEW met2 ( 2442000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2436850 18870 ) ( * 227700 )
-      NEW met2 ( 2436850 227700 ) ( 2438690 * )
-      NEW met2 ( 2438690 227700 ) ( * 298860 )
-      NEW met1 ( 1953390 18870 ) ( 2436850 * )
+      NEW met1 ( 1953390 18870 ) ( 1959370 * )
+      NEW met2 ( 2061490 285770 ) ( * 298860 )
+      NEW met2 ( 2061490 298860 ) ( 2061700 * )
+      NEW met2 ( 2061700 298860 ) ( * 300220 0 )
+      NEW met2 ( 1959370 18870 ) ( * 285770 )
+      NEW met1 ( 1959370 285770 ) ( 2061490 * )
       NEW met1 ( 1953390 18870 ) M1M2_PR
-      NEW met1 ( 2436850 18870 ) M1M2_PR ;
+      NEW met1 ( 1959370 18870 ) M1M2_PR
+      NEW met1 ( 1959370 285770 ) M1M2_PR
+      NEW met1 ( 2061490 285770 ) M1M2_PR ;
     - la_oenb[75] ( PIN la_oenb[75] ) ( mprj la_oenb[75] ) + USE SIGNAL
-      + ROUTED met2 ( 2442830 298860 ) ( 2446800 * )
-      NEW met2 ( 2446800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2442830 19210 ) ( * 298860 )
-      NEW met2 ( 1971330 2380 0 ) ( * 19210 )
-      NEW met1 ( 1971330 19210 ) ( 2442830 * )
-      NEW met1 ( 2442830 19210 ) M1M2_PR
-      NEW met1 ( 1971330 19210 ) M1M2_PR ;
+      + ROUTED met2 ( 1971330 2380 0 ) ( * 3060 )
+      NEW met2 ( 1971330 3060 ) ( 1972250 * )
+      NEW met2 ( 1972250 2380 ) ( * 3060 )
+      NEW met2 ( 1972250 2380 ) ( 1973170 * )
+      NEW met2 ( 2065630 285430 ) ( * 298860 )
+      NEW met2 ( 2065600 298860 ) ( 2065630 * )
+      NEW met2 ( 2065600 298860 ) ( * 300220 0 )
+      NEW met1 ( 1973170 285430 ) ( 2065630 * )
+      NEW met2 ( 1973170 2380 ) ( * 285430 )
+      NEW met1 ( 1973170 285430 ) M1M2_PR
+      NEW met1 ( 2065630 285430 ) M1M2_PR ;
     - la_oenb[76] ( PIN la_oenb[76] ) ( mprj la_oenb[76] ) + USE SIGNAL
-      + ROUTED met2 ( 1988810 2380 0 ) ( * 19550 )
-      NEW met1 ( 1988810 19550 ) ( 2449730 * )
-      NEW met2 ( 2449730 298860 ) ( 2451600 * )
-      NEW met2 ( 2451600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2449730 19550 ) ( * 298860 )
-      NEW met1 ( 1988810 19550 ) M1M2_PR
-      NEW met1 ( 2449730 19550 ) M1M2_PR ;
+      + ROUTED met2 ( 1988810 2380 0 ) ( * 17170 )
+      NEW met1 ( 1988810 17170 ) ( 1993870 * )
+      NEW met2 ( 2069310 284750 ) ( * 298860 )
+      NEW met2 ( 2069310 298860 ) ( 2069500 * )
+      NEW met2 ( 2069500 298860 ) ( * 300220 0 )
+      NEW met1 ( 1993870 284750 ) ( 2069310 * )
+      NEW met2 ( 1993870 17170 ) ( * 284750 )
+      NEW met1 ( 1988810 17170 ) M1M2_PR
+      NEW met1 ( 1993870 17170 ) M1M2_PR
+      NEW met1 ( 1993870 284750 ) M1M2_PR
+      NEW met1 ( 2069310 284750 ) M1M2_PR ;
     - la_oenb[77] ( PIN la_oenb[77] ) ( mprj la_oenb[77] ) + USE SIGNAL
-      + ROUTED met2 ( 2006750 2380 0 ) ( * 19890 )
-      NEW met1 ( 2006750 19890 ) ( 2457090 * )
-      NEW met2 ( 2456400 298860 ) ( 2457090 * )
-      NEW met2 ( 2456400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2457090 19890 ) ( * 298860 )
-      NEW met1 ( 2006750 19890 ) M1M2_PR
-      NEW met1 ( 2457090 19890 ) M1M2_PR ;
+      + ROUTED met2 ( 2073450 285090 ) ( * 298860 )
+      NEW met2 ( 2073400 298860 ) ( 2073450 * )
+      NEW met2 ( 2073400 298860 ) ( * 300220 0 )
+      NEW met1 ( 2007670 285090 ) ( 2073450 * )
+      NEW met2 ( 2006750 2380 0 ) ( * 34500 )
+      NEW met2 ( 2006750 34500 ) ( 2007670 * )
+      NEW met2 ( 2007670 34500 ) ( * 285090 )
+      NEW met1 ( 2007670 285090 ) M1M2_PR
+      NEW met1 ( 2073450 285090 ) M1M2_PR ;
     - la_oenb[78] ( PIN la_oenb[78] ) ( mprj la_oenb[78] ) + USE SIGNAL
-      + ROUTED met2 ( 2024230 2380 0 ) ( * 20230 )
-      NEW met1 ( 2024230 20230 ) ( 2457550 * )
-      NEW met2 ( 2457550 298860 ) ( 2461200 * )
-      NEW met2 ( 2461200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2457550 20230 ) ( * 298860 )
-      NEW met1 ( 2024230 20230 ) M1M2_PR
-      NEW met1 ( 2457550 20230 ) M1M2_PR ;
+      + ROUTED met2 ( 2024230 2380 0 ) ( * 17510 )
+      NEW met1 ( 2024230 17510 ) ( 2028370 * )
+      NEW met2 ( 2028370 17510 ) ( * 288830 )
+      NEW met2 ( 2077130 288830 ) ( * 298860 )
+      NEW met2 ( 2077130 298860 ) ( 2077400 * )
+      NEW met2 ( 2077400 298860 ) ( * 300220 0 )
+      NEW met1 ( 2028370 288830 ) ( 2077130 * )
+      NEW met1 ( 2024230 17510 ) M1M2_PR
+      NEW met1 ( 2028370 17510 ) M1M2_PR
+      NEW met1 ( 2028370 288830 ) M1M2_PR
+      NEW met1 ( 2077130 288830 ) M1M2_PR ;
     - la_oenb[79] ( PIN la_oenb[79] ) ( mprj la_oenb[79] ) + USE SIGNAL
-      + ROUTED met2 ( 2042170 2380 0 ) ( * 20570 )
-      NEW met1 ( 2042170 20570 ) ( 2463530 * )
-      NEW met2 ( 2463530 298860 ) ( 2466000 * )
-      NEW met2 ( 2466000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2463530 20570 ) ( * 298860 )
-      NEW met1 ( 2042170 20570 ) M1M2_PR
-      NEW met1 ( 2463530 20570 ) M1M2_PR ;
+      + ROUTED met2 ( 2042170 2380 0 ) ( * 284410 )
+      NEW met2 ( 2081270 284410 ) ( * 298860 )
+      NEW met2 ( 2081270 298860 ) ( 2081300 * )
+      NEW met2 ( 2081300 298860 ) ( * 300220 0 )
+      NEW met1 ( 2042170 284410 ) ( 2081270 * )
+      NEW met1 ( 2042170 284410 ) M1M2_PR
+      NEW met1 ( 2081270 284410 ) M1M2_PR ;
     - la_oenb[7] ( PIN la_oenb[7] ) ( mprj la_oenb[7] ) + USE SIGNAL
-      + ROUTED met2 ( 765210 2380 0 ) ( * 16490 )
-      NEW met1 ( 765210 16490 ) ( 800630 * )
-      NEW li1 ( 800630 16490 ) ( * 17510 )
-      NEW met2 ( 2119450 298860 ) ( 2122100 * )
-      NEW met2 ( 2122100 298860 ) ( * 300220 0 )
-      NEW met2 ( 2119450 17510 ) ( * 298860 )
-      NEW met1 ( 800630 17510 ) ( 2119450 * )
-      NEW met1 ( 765210 16490 ) M1M2_PR
-      NEW li1 ( 800630 16490 ) L1M1_PR_MR
-      NEW li1 ( 800630 17510 ) L1M1_PR_MR
-      NEW met1 ( 2119450 17510 ) M1M2_PR ;
+      + ROUTED met2 ( 765210 2380 0 ) ( * 16830 )
+      NEW met1 ( 765210 16830 ) ( 793730 * )
+      NEW li1 ( 793730 16830 ) ( * 17850 )
+      NEW met1 ( 1775370 17850 ) ( * 18190 )
+      NEW met1 ( 1775370 18190 ) ( 1794690 * )
+      NEW met1 ( 793730 17850 ) ( 1775370 * )
+      NEW met2 ( 1796990 298860 ) ( 1800200 * )
+      NEW met2 ( 1800200 298860 ) ( * 300220 0 )
+      NEW met2 ( 1794690 18190 ) ( * 227700 )
+      NEW met2 ( 1794690 227700 ) ( 1796990 * )
+      NEW met2 ( 1796990 227700 ) ( * 298860 )
+      NEW met1 ( 765210 16830 ) M1M2_PR
+      NEW li1 ( 793730 16830 ) L1M1_PR_MR
+      NEW li1 ( 793730 17850 ) L1M1_PR_MR
+      NEW met1 ( 1794690 18190 ) M1M2_PR ;
     - la_oenb[80] ( PIN la_oenb[80] ) ( mprj la_oenb[80] ) + USE SIGNAL
-      + ROUTED met2 ( 2059650 2380 0 ) ( * 16830 )
-      NEW met1 ( 2059650 16830 ) ( 2471350 * )
-      NEW met2 ( 2470600 298860 ) ( 2471350 * )
-      NEW met2 ( 2470600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2471350 16830 ) ( * 298860 )
-      NEW met1 ( 2059650 16830 ) M1M2_PR
-      NEW met1 ( 2471350 16830 ) M1M2_PR ;
+      + ROUTED met2 ( 2059650 2380 0 ) ( * 17510 )
+      NEW met1 ( 2059650 17510 ) ( 2062870 * )
+      NEW met2 ( 2062870 17510 ) ( * 286110 )
+      NEW met2 ( 2084490 286110 ) ( * 299540 )
+      NEW met2 ( 2084490 299540 ) ( 2085100 * )
+      NEW met2 ( 2085100 299540 ) ( * 300220 0 )
+      NEW met1 ( 2062870 286110 ) ( 2084490 * )
+      NEW met1 ( 2059650 17510 ) M1M2_PR
+      NEW met1 ( 2062870 17510 ) M1M2_PR
+      NEW met1 ( 2062870 286110 ) M1M2_PR
+      NEW met1 ( 2084490 286110 ) M1M2_PR ;
     - la_oenb[81] ( PIN la_oenb[81] ) ( mprj la_oenb[81] ) + USE SIGNAL
-      + ROUTED met2 ( 2077590 2380 0 ) ( * 16490 )
-      NEW met1 ( 2077590 16490 ) ( 2470890 * )
-      NEW met1 ( 2470890 288150 ) ( 2475030 * )
-      NEW met2 ( 2475030 288150 ) ( * 298860 )
-      NEW met2 ( 2475030 298860 ) ( 2475400 * )
-      NEW met2 ( 2475400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2470890 16490 ) ( * 288150 )
-      NEW met1 ( 2077590 16490 ) M1M2_PR
-      NEW met1 ( 2470890 16490 ) M1M2_PR
-      NEW met1 ( 2470890 288150 ) M1M2_PR
-      NEW met1 ( 2475030 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 2077590 2380 0 ) ( * 17510 )
+      NEW met1 ( 2077590 17510 ) ( 2084950 * )
+      NEW met2 ( 2084950 298860 ) ( 2089000 * )
+      NEW met2 ( 2089000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2084950 17510 ) ( * 298860 )
+      NEW met1 ( 2077590 17510 ) M1M2_PR
+      NEW met1 ( 2084950 17510 ) M1M2_PR ;
     - la_oenb[82] ( PIN la_oenb[82] ) ( mprj la_oenb[82] ) + USE SIGNAL
-      + ROUTED met2 ( 2095070 2380 0 ) ( * 16150 )
-      NEW met1 ( 2095070 16150 ) ( 2477330 * )
-      NEW met2 ( 2477330 298860 ) ( 2480200 * )
-      NEW met2 ( 2480200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2477330 16150 ) ( * 298860 )
-      NEW met1 ( 2095070 16150 ) M1M2_PR
-      NEW met1 ( 2477330 16150 ) M1M2_PR ;
+      + ROUTED met2 ( 2095070 2380 0 ) ( * 17510 )
+      NEW met1 ( 2090930 17510 ) ( 2095070 * )
+      NEW met2 ( 2090930 298860 ) ( 2092800 * )
+      NEW met2 ( 2092800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2090930 17510 ) ( * 298860 )
+      NEW met1 ( 2095070 17510 ) M1M2_PR
+      NEW met1 ( 2090930 17510 ) M1M2_PR ;
     - la_oenb[83] ( PIN la_oenb[83] ) ( mprj la_oenb[83] ) + USE SIGNAL
-      + ROUTED met2 ( 2113010 2380 0 ) ( * 17170 )
-      NEW met1 ( 2113010 17170 ) ( 2484690 * )
-      NEW met2 ( 2484690 298860 ) ( 2485000 * )
-      NEW met2 ( 2485000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2484690 17170 ) ( * 298860 )
-      NEW met1 ( 2113010 17170 ) M1M2_PR
-      NEW met1 ( 2484690 17170 ) M1M2_PR ;
+      + ROUTED met2 ( 2113010 2380 0 ) ( * 15810 )
+      NEW met1 ( 2097370 15810 ) ( 2113010 * )
+      NEW met2 ( 2096700 298860 ) ( 2097370 * )
+      NEW met2 ( 2096700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2097370 15810 ) ( * 298860 )
+      NEW met1 ( 2113010 15810 ) M1M2_PR
+      NEW met1 ( 2097370 15810 ) M1M2_PR ;
     - la_oenb[84] ( PIN la_oenb[84] ) ( mprj la_oenb[84] ) + USE SIGNAL
-      + ROUTED met2 ( 2130950 2380 0 ) ( * 17510 )
-      NEW met1 ( 2130950 17510 ) ( 2485150 * )
-      NEW met2 ( 2486070 298860 ) ( 2489800 * )
-      NEW met2 ( 2489800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2485150 17510 ) ( * 227700 )
-      NEW met2 ( 2485150 227700 ) ( 2486070 * )
-      NEW met2 ( 2486070 227700 ) ( * 298860 )
-      NEW met1 ( 2130950 17510 ) M1M2_PR
-      NEW met1 ( 2485150 17510 ) M1M2_PR ;
+      + ROUTED met2 ( 2130950 2380 0 ) ( * 17170 )
+      NEW met1 ( 2104270 17170 ) ( 2130950 * )
+      NEW met1 ( 2100590 288150 ) ( 2104270 * )
+      NEW met2 ( 2100590 288150 ) ( * 298860 )
+      NEW met2 ( 2100590 298860 ) ( 2100600 * )
+      NEW met2 ( 2100600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2104270 17170 ) ( * 288150 )
+      NEW met1 ( 2130950 17170 ) M1M2_PR
+      NEW met1 ( 2104270 17170 ) M1M2_PR
+      NEW met1 ( 2104270 288150 ) M1M2_PR
+      NEW met1 ( 2100590 288150 ) M1M2_PR ;
     - la_oenb[85] ( PIN la_oenb[85] ) ( mprj la_oenb[85] ) + USE SIGNAL
-      + ROUTED met2 ( 2148430 2380 0 ) ( * 17850 )
-      NEW met1 ( 2148430 17850 ) ( 2491130 * )
-      NEW met2 ( 2491130 298860 ) ( 2494600 * )
-      NEW met2 ( 2494600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2491130 17850 ) ( * 298860 )
-      NEW met1 ( 2148430 17850 ) M1M2_PR
-      NEW met1 ( 2491130 17850 ) M1M2_PR ;
+      + ROUTED met2 ( 2148430 2380 0 ) ( * 19550 )
+      NEW met1 ( 2110710 19550 ) ( 2148430 * )
+      NEW met1 ( 2104730 285090 ) ( 2110710 * )
+      NEW met2 ( 2104730 285090 ) ( * 298860 )
+      NEW met2 ( 2104600 298860 ) ( 2104730 * )
+      NEW met2 ( 2104600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2110710 19550 ) ( * 285090 )
+      NEW met1 ( 2148430 19550 ) M1M2_PR
+      NEW met1 ( 2110710 19550 ) M1M2_PR
+      NEW met1 ( 2110710 285090 ) M1M2_PR
+      NEW met1 ( 2104730 285090 ) M1M2_PR ;
     - la_oenb[86] ( PIN la_oenb[86] ) ( mprj la_oenb[86] ) + USE SIGNAL
-      + ROUTED met2 ( 2498490 298860 ) ( 2499300 * )
-      NEW met2 ( 2499300 298860 ) ( * 300220 0 )
-      NEW met2 ( 2498490 18190 ) ( * 298860 )
-      NEW met2 ( 2166370 2380 0 ) ( * 15810 )
-      NEW met1 ( 2166370 15810 ) ( 2187530 * )
-      NEW li1 ( 2187530 15810 ) ( * 18190 )
-      NEW met1 ( 2187530 18190 ) ( 2498490 * )
-      NEW met1 ( 2498490 18190 ) M1M2_PR
-      NEW met1 ( 2166370 15810 ) M1M2_PR
-      NEW li1 ( 2187530 15810 ) L1M1_PR_MR
-      NEW li1 ( 2187530 18190 ) L1M1_PR_MR ;
+      + ROUTED met1 ( 2108410 288150 ) ( 2111170 * )
+      NEW met2 ( 2108410 288150 ) ( * 298860 )
+      NEW met2 ( 2108410 298860 ) ( 2108500 * )
+      NEW met2 ( 2108500 298860 ) ( * 300220 0 )
+      NEW met2 ( 2166370 2380 0 ) ( * 19210 )
+      NEW met1 ( 2111170 19210 ) ( 2166370 * )
+      NEW met2 ( 2111170 19210 ) ( * 288150 )
+      NEW met1 ( 2111170 19210 ) M1M2_PR
+      NEW met1 ( 2111170 288150 ) M1M2_PR
+      NEW met1 ( 2108410 288150 ) M1M2_PR
+      NEW met1 ( 2166370 19210 ) M1M2_PR ;
     - la_oenb[87] ( PIN la_oenb[87] ) ( mprj la_oenb[87] ) + USE SIGNAL
-      + ROUTED met2 ( 2504010 287130 ) ( * 298860 )
-      NEW met2 ( 2504010 298860 ) ( 2504200 * )
-      NEW met2 ( 2504200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2183850 2380 0 ) ( * 18190 )
-      NEW met1 ( 2183850 18190 ) ( 2187070 * )
-      NEW met1 ( 2187070 287130 ) ( 2504010 * )
-      NEW met2 ( 2187070 18190 ) ( * 287130 )
-      NEW met1 ( 2504010 287130 ) M1M2_PR
-      NEW met1 ( 2183850 18190 ) M1M2_PR
-      NEW met1 ( 2187070 18190 ) M1M2_PR
-      NEW met1 ( 2187070 287130 ) M1M2_PR ;
+      + ROUTED met1 ( 2112550 288150 ) ( 2118070 * )
+      NEW met2 ( 2112550 288150 ) ( * 298860 )
+      NEW met2 ( 2112400 298860 ) ( 2112550 * )
+      NEW met2 ( 2112400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2118070 18870 ) ( * 288150 )
+      NEW met2 ( 2183850 2380 0 ) ( * 18870 )
+      NEW met1 ( 2118070 18870 ) ( 2183850 * )
+      NEW met1 ( 2118070 18870 ) M1M2_PR
+      NEW met1 ( 2118070 288150 ) M1M2_PR
+      NEW met1 ( 2112550 288150 ) M1M2_PR
+      NEW met1 ( 2183850 18870 ) M1M2_PR ;
     - la_oenb[88] ( PIN la_oenb[88] ) ( mprj la_oenb[88] ) + USE SIGNAL
-      + ROUTED met2 ( 2504930 298860 ) ( 2509000 * )
-      NEW met2 ( 2509000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2504930 15810 ) ( * 298860 )
-      NEW met2 ( 2201790 2380 0 ) ( * 15810 )
-      NEW met1 ( 2201790 15810 ) ( 2504930 * )
-      NEW met1 ( 2504930 15810 ) M1M2_PR
-      NEW met1 ( 2201790 15810 ) M1M2_PR ;
+      + ROUTED met2 ( 2116300 298860 ) ( 2117610 * )
+      NEW met2 ( 2116300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2117610 18530 ) ( * 298860 )
+      NEW met2 ( 2201790 2380 0 ) ( * 18530 )
+      NEW met1 ( 2117610 18530 ) ( 2201790 * )
+      NEW met1 ( 2117610 18530 ) M1M2_PR
+      NEW met1 ( 2201790 18530 ) M1M2_PR ;
     - la_oenb[89] ( PIN la_oenb[89] ) ( mprj la_oenb[89] ) + USE SIGNAL
-      + ROUTED met2 ( 2219270 2380 0 ) ( * 15470 )
-      NEW met1 ( 2219270 15470 ) ( 2221570 * )
-      NEW met2 ( 2513670 287470 ) ( * 298860 )
-      NEW met2 ( 2513670 298860 ) ( 2513800 * )
-      NEW met2 ( 2513800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2221570 15470 ) ( * 287470 )
-      NEW met1 ( 2221570 287470 ) ( 2513670 * )
-      NEW met1 ( 2219270 15470 ) M1M2_PR
-      NEW met1 ( 2221570 15470 ) M1M2_PR
-      NEW met1 ( 2221570 287470 ) M1M2_PR
-      NEW met1 ( 2513670 287470 ) M1M2_PR ;
+      + ROUTED met1 ( 2120370 288150 ) ( 2124970 * )
+      NEW met2 ( 2120370 288150 ) ( * 298860 )
+      NEW met2 ( 2120200 298860 ) ( 2120370 * )
+      NEW met2 ( 2120200 298860 ) ( * 300220 0 )
+      NEW met2 ( 2219270 2380 0 ) ( * 18190 )
+      NEW met2 ( 2124970 18190 ) ( * 288150 )
+      NEW met1 ( 2124970 18190 ) ( 2219270 * )
+      NEW met1 ( 2124970 18190 ) M1M2_PR
+      NEW met1 ( 2124970 288150 ) M1M2_PR
+      NEW met1 ( 2120370 288150 ) M1M2_PR
+      NEW met1 ( 2219270 18190 ) M1M2_PR ;
     - la_oenb[8] ( PIN la_oenb[8] ) ( mprj la_oenb[8] ) + USE SIGNAL
-      + ROUTED met2 ( 783150 2380 0 ) ( * 17850 )
-      NEW met2 ( 2125430 298860 ) ( 2126900 * )
-      NEW met2 ( 2126900 298860 ) ( * 300220 0 )
-      NEW met2 ( 2125430 17850 ) ( * 298860 )
-      NEW met1 ( 783150 17850 ) ( 2125430 * )
-      NEW met1 ( 783150 17850 ) M1M2_PR
-      NEW met1 ( 2125430 17850 ) M1M2_PR ;
+      + ROUTED met2 ( 783150 2380 0 ) ( * 18190 )
+      NEW met1 ( 1774910 18190 ) ( * 18530 )
+      NEW met1 ( 1774910 18530 ) ( 1801130 * )
+      NEW met1 ( 783150 18190 ) ( 1774910 * )
+      NEW met2 ( 1801130 298860 ) ( 1804000 * )
+      NEW met2 ( 1804000 298860 ) ( * 300220 0 )
+      NEW met2 ( 1801130 18530 ) ( * 298860 )
+      NEW met1 ( 783150 18190 ) M1M2_PR
+      NEW met1 ( 1801130 18530 ) M1M2_PR ;
     - la_oenb[90] ( PIN la_oenb[90] ) ( mprj la_oenb[90] ) + USE SIGNAL
-      + ROUTED met2 ( 2237210 2380 0 ) ( * 15470 )
-      NEW met2 ( 2518600 298860 ) ( 2519190 * )
-      NEW met2 ( 2518600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2519190 15470 ) ( * 298860 )
-      NEW met1 ( 2237210 15470 ) ( 2519190 * )
-      NEW met1 ( 2237210 15470 ) M1M2_PR
-      NEW met1 ( 2519190 15470 ) M1M2_PR ;
+      + ROUTED met2 ( 2124100 298860 ) ( 2124510 * )
+      NEW met2 ( 2124100 298860 ) ( * 300220 0 )
+      NEW met2 ( 2237210 2380 0 ) ( * 17850 )
+      NEW met2 ( 2124050 17850 ) ( * 34500 )
+      NEW met2 ( 2124050 34500 ) ( 2124510 * )
+      NEW met2 ( 2124510 34500 ) ( * 298860 )
+      NEW met1 ( 2124050 17850 ) ( 2237210 * )
+      NEW met1 ( 2124050 17850 ) M1M2_PR
+      NEW met1 ( 2237210 17850 ) M1M2_PR ;
     - la_oenb[91] ( PIN la_oenb[91] ) ( mprj la_oenb[91] ) + USE SIGNAL
-      + ROUTED met2 ( 2523330 287810 ) ( * 298860 )
-      NEW met2 ( 2523300 298860 ) ( 2523330 * )
-      NEW met2 ( 2523300 298860 ) ( * 300220 0 )
-      NEW met2 ( 2254690 2380 0 ) ( * 34500 )
-      NEW met2 ( 2254690 34500 ) ( 2256070 * )
-      NEW met2 ( 2256070 34500 ) ( * 287810 )
-      NEW met1 ( 2256070 287810 ) ( 2523330 * )
-      NEW met1 ( 2256070 287810 ) M1M2_PR
-      NEW met1 ( 2523330 287810 ) M1M2_PR ;
+      + ROUTED met1 ( 2128190 288150 ) ( 2131410 * )
+      NEW met2 ( 2128190 288150 ) ( * 298860 )
+      NEW met2 ( 2128000 298860 ) ( 2128190 * )
+      NEW met2 ( 2128000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2254690 2380 0 ) ( * 17850 )
+      NEW met1 ( 2242270 17850 ) ( 2254690 * )
+      NEW met1 ( 2242270 17510 ) ( * 17850 )
+      NEW met2 ( 2131410 17510 ) ( * 288150 )
+      NEW met1 ( 2131410 17510 ) ( 2242270 * )
+      NEW met1 ( 2131410 17510 ) M1M2_PR
+      NEW met1 ( 2131410 288150 ) M1M2_PR
+      NEW met1 ( 2128190 288150 ) M1M2_PR
+      NEW met1 ( 2254690 17850 ) M1M2_PR ;
     - la_oenb[92] ( PIN la_oenb[92] ) ( mprj la_oenb[92] ) + USE SIGNAL
-      + ROUTED met2 ( 2526090 298860 ) ( 2528000 * )
-      NEW met2 ( 2528000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2526090 15130 ) ( * 298860 )
-      NEW met2 ( 2272630 2380 0 ) ( * 15130 )
-      NEW met1 ( 2272630 15130 ) ( 2526090 * )
-      NEW met1 ( 2526090 15130 ) M1M2_PR
-      NEW met1 ( 2272630 15130 ) M1M2_PR ;
+      + ROUTED met2 ( 2131870 298860 ) ( 2132000 * )
+      NEW met2 ( 2132000 298860 ) ( * 300220 0 )
+      NEW met2 ( 2131870 17170 ) ( * 298860 )
+      NEW met2 ( 2272630 2380 0 ) ( * 17170 )
+      NEW met1 ( 2131870 17170 ) ( 2272630 * )
+      NEW met1 ( 2131870 17170 ) M1M2_PR
+      NEW met1 ( 2272630 17170 ) M1M2_PR ;
     - la_oenb[93] ( PIN la_oenb[93] ) ( mprj la_oenb[93] ) + USE SIGNAL
-      + ROUTED met2 ( 2532530 288830 ) ( * 298860 )
-      NEW met2 ( 2532530 298860 ) ( 2532800 * )
-      NEW met2 ( 2532800 298860 ) ( * 300220 0 )
-      NEW met1 ( 2290570 288830 ) ( 2532530 * )
-      NEW met2 ( 2290570 2380 0 ) ( * 288830 )
-      NEW met1 ( 2532530 288830 ) M1M2_PR
-      NEW met1 ( 2290570 288830 ) M1M2_PR ;
+      + ROUTED met2 ( 2136010 289170 ) ( * 298860 )
+      NEW met2 ( 2135900 298860 ) ( 2136010 * )
+      NEW met2 ( 2135900 298860 ) ( * 300220 0 )
+      NEW met2 ( 2290570 2380 0 ) ( * 17510 )
+      NEW met1 ( 2284130 17510 ) ( 2290570 * )
+      NEW li1 ( 2256530 286110 ) ( * 289170 )
+      NEW met1 ( 2256530 286110 ) ( 2284130 * )
+      NEW met1 ( 2136010 289170 ) ( 2256530 * )
+      NEW met2 ( 2284130 17510 ) ( * 286110 )
+      NEW met1 ( 2136010 289170 ) M1M2_PR
+      NEW met1 ( 2290570 17510 ) M1M2_PR
+      NEW met1 ( 2284130 17510 ) M1M2_PR
+      NEW li1 ( 2256530 289170 ) L1M1_PR_MR
+      NEW li1 ( 2256530 286110 ) L1M1_PR_MR
+      NEW met1 ( 2284130 286110 ) M1M2_PR ;
     - la_oenb[94] ( PIN la_oenb[94] ) ( mprj la_oenb[94] ) + USE SIGNAL
-      + ROUTED met2 ( 2308050 2380 0 ) ( * 14450 )
-      NEW met2 ( 2533450 298860 ) ( 2537600 * )
-      NEW met2 ( 2537600 298860 ) ( * 300220 0 )
-      NEW met2 ( 2533450 14790 ) ( * 298860 )
-      NEW met1 ( 2377050 14450 ) ( * 14790 )
-      NEW met1 ( 2308050 14450 ) ( 2377050 * )
-      NEW met1 ( 2377050 14790 ) ( 2533450 * )
-      NEW met1 ( 2308050 14450 ) M1M2_PR
-      NEW met1 ( 2533450 14790 ) M1M2_PR ;
+      + ROUTED met1 ( 2139690 288150 ) ( 2145670 * )
+      NEW met2 ( 2139690 288150 ) ( * 298860 )
+      NEW met2 ( 2139690 298860 ) ( 2139800 * )
+      NEW met2 ( 2139800 298860 ) ( * 300220 0 )
+      NEW met2 ( 2308050 2380 0 ) ( * 14110 )
+      NEW met2 ( 2145670 14110 ) ( * 288150 )
+      NEW met1 ( 2145670 14110 ) ( 2308050 * )
+      NEW met1 ( 2145670 14110 ) M1M2_PR
+      NEW met1 ( 2145670 288150 ) M1M2_PR
+      NEW met1 ( 2139690 288150 ) M1M2_PR
+      NEW met1 ( 2308050 14110 ) M1M2_PR ;
     - la_oenb[95] ( PIN la_oenb[95] ) ( mprj la_oenb[95] ) + USE SIGNAL
-      + ROUTED met2 ( 2325990 2380 0 ) ( * 14790 )
-      NEW met1 ( 2325990 14790 ) ( 2331970 * )
-      NEW met2 ( 2542190 286790 ) ( * 298860 )
-      NEW met2 ( 2542190 298860 ) ( 2542400 * )
-      NEW met2 ( 2542400 298860 ) ( * 300220 0 )
-      NEW met2 ( 2331970 14790 ) ( * 286790 )
-      NEW met1 ( 2331970 286790 ) ( 2542190 * )
-      NEW met1 ( 2325990 14790 ) M1M2_PR
-      NEW met1 ( 2331970 14790 ) M1M2_PR
-      NEW met1 ( 2331970 286790 ) M1M2_PR
-      NEW met1 ( 2542190 286790 ) M1M2_PR ;
+      + ROUTED met2 ( 2143830 288490 ) ( * 298860 )
+      NEW met2 ( 2143700 298860 ) ( 2143830 * )
+      NEW met2 ( 2143700 298860 ) ( * 300220 0 )
+      NEW met2 ( 2325990 2380 0 ) ( * 34500 )
+      NEW met2 ( 2325530 34500 ) ( 2325990 * )
+      NEW met2 ( 2325530 34500 ) ( * 288490 )
+      NEW met1 ( 2143830 288490 ) ( 2325530 * )
+      NEW met1 ( 2143830 288490 ) M1M2_PR
+      NEW met1 ( 2325530 288490 ) M1M2_PR ;
     - la_oenb[96] ( PIN la_oenb[96] ) ( mprj la_oenb[96] ) + USE SIGNAL
-      + ROUTED met2 ( 2343470 2380 0 ) ( * 14110 )
-      NEW met1 ( 2377510 14110 ) ( * 14450 )
-      NEW met1 ( 2343470 14110 ) ( 2377510 * )
-      NEW met1 ( 2377510 14450 ) ( 2546790 * )
-      NEW met2 ( 2546790 298860 ) ( 2547200 * )
-      NEW met2 ( 2547200 298860 ) ( * 300220 0 )
-      NEW met2 ( 2546790 14450 ) ( * 298860 )
-      NEW met1 ( 2343470 14110 ) M1M2_PR
-      NEW met1 ( 2546790 14450 ) M1M2_PR ;
+      + ROUTED met1 ( 2147510 288150 ) ( 2152570 * )
+      NEW met2 ( 2147510 288150 ) ( * 298860 )
+      NEW met2 ( 2147510 298860 ) ( 2147600 * )
+      NEW met2 ( 2147600 298860 ) ( * 300220 0 )
+      NEW met2 ( 2343470 2380 0 ) ( * 14450 )
+      NEW met2 ( 2152570 14450 ) ( * 288150 )
+      NEW met1 ( 2152570 14450 ) ( 2343470 * )
+      NEW met1 ( 2152570 14450 ) M1M2_PR
+      NEW met1 ( 2152570 288150 ) M1M2_PR
+      NEW met1 ( 2147510 288150 ) M1M2_PR
+      NEW met1 ( 2343470 14450 ) M1M2_PR ;
     - la_oenb[97] ( PIN la_oenb[97] ) ( mprj la_oenb[97] ) + USE SIGNAL
-      + ROUTED met2 ( 2361410 2380 0 ) ( * 14790 )
-      NEW met1 ( 2361410 14790 ) ( 2366010 * )
-      NEW met2 ( 2551850 286450 ) ( * 298860 )
-      NEW met2 ( 2551850 298860 ) ( 2552000 * )
-      NEW met2 ( 2552000 298860 ) ( * 300220 0 )
-      NEW met1 ( 2366470 286450 ) ( 2551850 * )
-      NEW met2 ( 2366010 14790 ) ( * 34500 )
-      NEW met2 ( 2366010 34500 ) ( 2366470 * )
-      NEW met2 ( 2366470 34500 ) ( * 286450 )
-      NEW met1 ( 2361410 14790 ) M1M2_PR
-      NEW met1 ( 2366010 14790 ) M1M2_PR
-      NEW met1 ( 2366470 286450 ) M1M2_PR
-      NEW met1 ( 2551850 286450 ) M1M2_PR ;
+      + ROUTED met2 ( 2151650 287810 ) ( * 298860 )
+      NEW met2 ( 2151400 298860 ) ( 2151650 * )
+      NEW met2 ( 2151400 298860 ) ( * 300220 0 )
+      NEW met1 ( 2151650 287810 ) ( 2360030 * )
+      NEW met2 ( 2360030 82800 ) ( 2361410 * )
+      NEW met2 ( 2361410 2380 0 ) ( * 82800 )
+      NEW met2 ( 2360030 82800 ) ( * 287810 )
+      NEW met1 ( 2151650 287810 ) M1M2_PR
+      NEW met1 ( 2360030 287810 ) M1M2_PR ;
     - la_oenb[98] ( PIN la_oenb[98] ) ( mprj la_oenb[98] ) + USE SIGNAL
-      + ROUTED met1 ( 2401430 13770 ) ( * 14110 )
-      NEW met2 ( 2378890 2380 0 ) ( * 14110 )
-      NEW met1 ( 2378890 14110 ) ( 2396370 * )
-      NEW met1 ( 2396370 13770 ) ( * 14110 )
-      NEW met1 ( 2396370 13770 ) ( 2401430 * )
-      NEW met1 ( 2401430 14110 ) ( 2553690 * )
-      NEW met2 ( 2553690 298860 ) ( 2556700 * )
-      NEW met2 ( 2556700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2553690 14110 ) ( * 298860 )
-      NEW met1 ( 2378890 14110 ) M1M2_PR
-      NEW met1 ( 2553690 14110 ) M1M2_PR ;
+      + ROUTED met1 ( 2155330 288150 ) ( 2159470 * )
+      NEW met2 ( 2155330 288150 ) ( * 298860 )
+      NEW met2 ( 2155330 298860 ) ( 2155400 * )
+      NEW met2 ( 2155400 298860 ) ( * 300220 0 )
+      NEW met2 ( 2159470 14790 ) ( * 288150 )
+      NEW met2 ( 2378890 2380 0 ) ( * 14790 )
+      NEW met1 ( 2159470 14790 ) ( 2378890 * )
+      NEW met1 ( 2159470 14790 ) M1M2_PR
+      NEW met1 ( 2159470 288150 ) M1M2_PR
+      NEW met1 ( 2155330 288150 ) M1M2_PR
+      NEW met1 ( 2378890 14790 ) M1M2_PR ;
     - la_oenb[99] ( PIN la_oenb[99] ) ( mprj la_oenb[99] ) + USE SIGNAL
-      + ROUTED met2 ( 2396830 2380 0 ) ( * 14110 )
-      NEW met1 ( 2396830 14110 ) ( 2400970 * )
-      NEW met2 ( 2561510 288490 ) ( * 298860 )
-      NEW met2 ( 2561500 298860 ) ( 2561510 * )
-      NEW met2 ( 2561500 298860 ) ( * 300220 0 )
-      NEW met1 ( 2400970 288490 ) ( 2561510 * )
-      NEW met2 ( 2400970 14110 ) ( * 288490 )
-      NEW met1 ( 2396830 14110 ) M1M2_PR
-      NEW met1 ( 2400970 14110 ) M1M2_PR
-      NEW met1 ( 2400970 288490 ) M1M2_PR
-      NEW met1 ( 2561510 288490 ) M1M2_PR ;
+      + ROUTED met2 ( 2159010 287470 ) ( * 298860 )
+      NEW met2 ( 2159010 298860 ) ( 2159300 * )
+      NEW met2 ( 2159300 298860 ) ( * 300220 0 )
+      NEW met2 ( 2396830 2380 0 ) ( * 3060 )
+      NEW met2 ( 2395910 3060 ) ( 2396830 * )
+      NEW met2 ( 2395910 2380 ) ( * 3060 )
+      NEW met2 ( 2394530 2380 ) ( 2395910 * )
+      NEW met1 ( 2159010 287470 ) ( 2394530 * )
+      NEW met2 ( 2394530 2380 ) ( * 287470 )
+      NEW met1 ( 2159010 287470 ) M1M2_PR
+      NEW met1 ( 2394530 287470 ) M1M2_PR ;
     - la_oenb[9] ( PIN la_oenb[9] ) ( mprj la_oenb[9] ) + USE SIGNAL
-      + ROUTED met2 ( 800630 2380 0 ) ( * 18190 )
-      NEW met2 ( 2127270 298860 ) ( 2131700 * )
-      NEW met2 ( 2131700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2125890 18190 ) ( * 227700 )
-      NEW met2 ( 2125890 227700 ) ( 2127270 * )
-      NEW met2 ( 2127270 227700 ) ( * 298860 )
-      NEW met1 ( 800630 18190 ) ( 2125890 * )
-      NEW met1 ( 800630 18190 ) M1M2_PR
-      NEW met1 ( 2125890 18190 ) M1M2_PR ;
+      + ROUTED met2 ( 800630 2380 0 ) ( * 18530 )
+      NEW li1 ( 1774450 17510 ) ( * 18530 )
+      NEW li1 ( 1774450 17510 ) ( 1780430 * )
+      NEW met1 ( 1780430 17510 ) ( 1808030 * )
+      NEW met1 ( 800630 18530 ) ( 1774450 * )
+      NEW met2 ( 1807900 298860 ) ( 1808030 * )
+      NEW met2 ( 1807900 298860 ) ( * 300220 0 )
+      NEW met2 ( 1808030 17510 ) ( * 298860 )
+      NEW met1 ( 800630 18530 ) M1M2_PR
+      NEW li1 ( 1774450 18530 ) L1M1_PR_MR
+      NEW li1 ( 1780430 17510 ) L1M1_PR_MR
+      NEW met1 ( 1808030 17510 ) M1M2_PR ;
     - user_clock2 ( PIN user_clock2 ) ( mprj user_clock2 ) + USE CLOCK
-      + ROUTED met1 ( 2699970 288150 ) ( 2704570 * )
-      NEW met2 ( 2699970 288150 ) ( * 298860 )
-      NEW met2 ( 2699970 298860 ) ( 2700000 * )
-      NEW met2 ( 2700000 298860 ) ( * 300220 0 )
-      NEW met2 ( 2899150 2380 0 ) ( * 17170 )
-      NEW met2 ( 2704570 17170 ) ( * 288150 )
-      NEW met1 ( 2704570 17170 ) ( 2899150 * )
-      NEW met1 ( 2704570 17170 ) M1M2_PR
-      NEW met1 ( 2704570 288150 ) M1M2_PR
-      NEW met1 ( 2699970 288150 ) M1M2_PR
-      NEW met1 ( 2899150 17170 ) M1M2_PR ;
+      + ROUTED met2 ( 2308050 37910 ) ( * 285090 )
+      NEW met2 ( 2899150 2380 0 ) ( * 37910 )
+      NEW met2 ( 2272630 285090 ) ( * 298860 )
+      NEW met2 ( 2272400 298860 ) ( 2272630 * )
+      NEW met2 ( 2272400 298860 ) ( * 300220 0 )
+      NEW met1 ( 2272630 285090 ) ( 2308050 * )
+      NEW met1 ( 2308050 37910 ) ( 2899150 * )
+      NEW met1 ( 2308050 285090 ) M1M2_PR
+      NEW met1 ( 2308050 37910 ) M1M2_PR
+      NEW met1 ( 2899150 37910 ) M1M2_PR
+      NEW met1 ( 2272630 285090 ) M1M2_PR ;
     - user_irq[0] ( PIN user_irq[0] ) ( mprj user_irq[0] ) + USE SIGNAL
-      + ROUTED met2 ( 2704110 298860 ) ( 2704700 * )
-      NEW met2 ( 2704700 298860 ) ( * 300220 0 )
-      NEW met2 ( 2905130 2380 0 ) ( * 17510 )
-      NEW met2 ( 2703650 17510 ) ( * 34500 )
-      NEW met2 ( 2703650 34500 ) ( 2704110 * )
-      NEW met2 ( 2704110 34500 ) ( * 298860 )
-      NEW met1 ( 2703650 17510 ) ( 2905130 * )
-      NEW met1 ( 2703650 17510 ) M1M2_PR
-      NEW met1 ( 2905130 17510 ) M1M2_PR ;
+      + ROUTED met2 ( 2905130 2380 0 ) ( * 16660 )
+      NEW met2 ( 2276300 298860 ) ( 2276770 * )
+      NEW met2 ( 2276300 298860 ) ( * 300220 0 )
+      NEW met3 ( 2276770 16660 ) ( 2905130 * )
+      NEW met2 ( 2276770 16660 ) ( * 298860 )
+      NEW met2 ( 2905130 16660 ) M2M3_PR_M
+      NEW met2 ( 2276770 16660 ) M2M3_PR_M ;
     - user_irq[1] ( PIN user_irq[1] ) ( mprj user_irq[1] ) + USE SIGNAL
-      + ROUTED met2 ( 2709500 298860 ) ( 2711470 * )
-      NEW met2 ( 2709500 298860 ) ( * 300220 0 )
-      NEW met2 ( 2911110 2380 0 ) ( * 18190 )
-      NEW met2 ( 2711470 18190 ) ( * 298860 )
-      NEW met1 ( 2711470 18190 ) ( 2911110 * )
-      NEW met1 ( 2711470 18190 ) M1M2_PR
-      NEW met1 ( 2911110 18190 ) M1M2_PR ;
+      + ROUTED met2 ( 2911110 2380 0 ) ( * 17170 )
+      NEW met1 ( 2280450 289170 ) ( 2283210 * )
+      NEW met2 ( 2280450 289170 ) ( * 298860 )
+      NEW met2 ( 2280200 298860 ) ( 2280450 * )
+      NEW met2 ( 2280200 298860 ) ( * 300220 0 )
+      NEW met1 ( 2283210 17170 ) ( 2911110 * )
+      NEW met2 ( 2283210 17170 ) ( * 289170 )
+      NEW met1 ( 2911110 17170 ) M1M2_PR
+      NEW met1 ( 2283210 17170 ) M1M2_PR
+      NEW met1 ( 2283210 289170 ) M1M2_PR
+      NEW met1 ( 2280450 289170 ) M1M2_PR ;
     - user_irq[2] ( PIN user_irq[2] ) ( mprj user_irq[2] ) + USE SIGNAL
-      + ROUTED met2 ( 2716990 286110 ) ( * 298860 )
-      NEW met2 ( 2716800 298860 ) ( 2716990 * )
-      NEW met2 ( 2716800 298860 ) ( * 300220 0 )
-      NEW met2 ( 2917090 2380 0 ) ( * 15130 )
-      NEW met1 ( 2912030 15130 ) ( 2917090 * )
-      NEW li1 ( 2912030 15130 ) ( * 286110 )
-      NEW met1 ( 2716990 286110 ) ( 2912030 * )
-      NEW met1 ( 2716990 286110 ) M1M2_PR
-      NEW met1 ( 2917090 15130 ) M1M2_PR
-      NEW li1 ( 2912030 15130 ) L1M1_PR_MR
-      NEW li1 ( 2912030 286110 ) L1M1_PR_MR ;
+      + ROUTED met2 ( 2917090 2380 0 ) ( * 17340 )
+      NEW met2 ( 2282800 298860 ) ( 2283670 * )
+      NEW met2 ( 2282800 298860 ) ( * 300220 0 )
+      NEW met3 ( 2283670 17340 ) ( 2917090 * )
+      NEW met2 ( 2283670 17340 ) ( * 298860 )
+      NEW met2 ( 2917090 17340 ) M2M3_PR_M
+      NEW met2 ( 2283670 17340 ) M2M3_PR_M ;
     - wb_clk_i ( PIN wb_clk_i ) ( mprj wb_clk_i ) + USE SIGNAL
-      + ROUTED met2 ( 2990 2380 0 ) ( * 17170 )
-      NEW met1 ( 2990 17170 ) ( 58650 * )
-      NEW met2 ( 364550 287130 ) ( * 298860 )
-      NEW met2 ( 364550 298860 ) ( 364600 * )
-      NEW met2 ( 364600 298860 ) ( * 300220 0 )
-      NEW met1 ( 58650 287130 ) ( 364550 * )
-      NEW met2 ( 58650 17170 ) ( * 287130 )
-      NEW met1 ( 2990 17170 ) M1M2_PR
-      NEW met1 ( 58650 17170 ) M1M2_PR
-      NEW met1 ( 58650 287130 ) M1M2_PR
-      NEW met1 ( 364550 287130 ) M1M2_PR ;
+      + ROUTED met2 ( 2990 2380 0 ) ( * 23970 )
+      NEW met1 ( 2990 23970 ) ( 359490 * )
+      NEW met2 ( 363630 298860 ) ( 363800 * )
+      NEW met2 ( 363800 298860 ) ( * 300220 0 )
+      NEW met2 ( 359490 23970 ) ( * 227700 )
+      NEW met2 ( 359490 227700 ) ( 363630 * )
+      NEW met2 ( 363630 227700 ) ( * 298860 )
+      NEW met1 ( 2990 23970 ) M1M2_PR
+      NEW met1 ( 359490 23970 ) M1M2_PR ;
     - wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) + USE SIGNAL
-      + ROUTED met2 ( 8510 2380 0 ) ( * 17510 )
-      NEW met1 ( 8510 17510 ) ( 71990 * )
-      NEW met2 ( 359490 298860 ) ( 362000 * )
-      NEW met2 ( 362000 298860 ) ( * 300220 0 )
-      NEW met2 ( 71990 17510 ) ( * 44710 )
-      NEW met1 ( 71990 44710 ) ( 359490 * )
-      NEW met2 ( 359490 44710 ) ( * 298860 )
-      NEW met1 ( 8510 17510 ) M1M2_PR
-      NEW met1 ( 71990 17510 ) M1M2_PR
-      NEW met1 ( 71990 44710 ) M1M2_PR
-      NEW met1 ( 359490 44710 ) M1M2_PR ;
+      + ROUTED met2 ( 8510 2380 0 ) ( * 17170 )
+      NEW met1 ( 8510 17170 ) ( 58650 * )
+      NEW li1 ( 335570 287470 ) ( * 289510 )
+      NEW met1 ( 335570 289510 ) ( 361790 * )
+      NEW met2 ( 361790 289510 ) ( * 299540 )
+      NEW met2 ( 361790 299540 ) ( 362000 * )
+      NEW met2 ( 362000 299540 ) ( * 300220 0 )
+      NEW met1 ( 58650 287470 ) ( 335570 * )
+      NEW met2 ( 58650 17170 ) ( * 287470 )
+      NEW met1 ( 8510 17170 ) M1M2_PR
+      NEW met1 ( 58650 17170 ) M1M2_PR
+      NEW met1 ( 58650 287470 ) M1M2_PR
+      NEW li1 ( 335570 287470 ) L1M1_PR_MR
+      NEW li1 ( 335570 289510 ) L1M1_PR_MR
+      NEW met1 ( 361790 289510 ) M1M2_PR ;
     - wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) + USE SIGNAL
-      + ROUTED met2 ( 14490 2380 0 ) ( * 24310 )
-      NEW met1 ( 14490 24310 ) ( 365930 * )
-      NEW met2 ( 365930 298860 ) ( 369400 * )
-      NEW met2 ( 369400 298860 ) ( * 300220 0 )
-      NEW met2 ( 365930 24310 ) ( * 298860 )
-      NEW met1 ( 14490 24310 ) M1M2_PR
-      NEW met1 ( 365930 24310 ) M1M2_PR ;
-    - wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) + USE SIGNAL
-      + ROUTED met2 ( 374210 288830 ) ( * 298860 )
-      NEW met2 ( 374200 298860 ) ( 374210 * )
-      NEW met2 ( 374200 298860 ) ( * 300220 0 )
-      NEW met2 ( 38410 2380 0 ) ( * 24650 )
-      NEW met1 ( 38410 24650 ) ( 272550 * )
-      NEW met1 ( 272550 288830 ) ( 374210 * )
-      NEW met2 ( 272550 24650 ) ( * 288830 )
-      NEW met1 ( 374210 288830 ) M1M2_PR
-      NEW met1 ( 38410 24650 ) M1M2_PR
-      NEW met1 ( 272550 24650 ) M1M2_PR
-      NEW met1 ( 272550 288830 ) M1M2_PR ;
-    - wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) + USE SIGNAL
-      + ROUTED met2 ( 239430 2380 0 ) ( * 25670 )
-      NEW met2 ( 421590 298860 ) ( 421900 * )
-      NEW met2 ( 421900 298860 ) ( * 300220 0 )
-      NEW met1 ( 239430 25670 ) ( 421590 * )
-      NEW met2 ( 421590 25670 ) ( * 298860 )
-      NEW met1 ( 239430 25670 ) M1M2_PR
-      NEW met1 ( 421590 25670 ) M1M2_PR ;
-    - wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) + USE SIGNAL
-      + ROUTED met2 ( 256910 2380 0 ) ( * 31790 )
-      NEW met1 ( 256910 31790 ) ( 355350 * )
-      NEW met2 ( 426650 285770 ) ( * 298860 )
-      NEW met2 ( 426650 298860 ) ( 426700 * )
-      NEW met2 ( 426700 298860 ) ( * 300220 0 )
-      NEW met1 ( 355350 285770 ) ( 426650 * )
-      NEW met2 ( 355350 31790 ) ( * 285770 )
-      NEW met1 ( 256910 31790 ) M1M2_PR
+      + ROUTED met2 ( 14490 2380 0 ) ( * 31790 )
+      NEW met1 ( 14490 31790 ) ( 355350 * )
+      NEW met1 ( 355350 287470 ) ( 367310 * )
+      NEW met2 ( 367310 287470 ) ( * 298860 )
+      NEW met2 ( 367310 298860 ) ( 367600 * )
+      NEW met2 ( 367600 298860 ) ( * 300220 0 )
+      NEW met2 ( 355350 31790 ) ( * 287470 )
+      NEW met1 ( 14490 31790 ) M1M2_PR
       NEW met1 ( 355350 31790 ) M1M2_PR
-      NEW met1 ( 355350 285770 ) M1M2_PR
-      NEW met1 ( 426650 285770 ) M1M2_PR ;
+      NEW met1 ( 355350 287470 ) M1M2_PR
+      NEW met1 ( 367310 287470 ) M1M2_PR ;
+    - wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) + USE SIGNAL
+      + ROUTED met2 ( 38410 2380 0 ) ( * 24310 )
+      NEW met1 ( 38410 24310 ) ( 348450 * )
+      NEW met1 ( 348450 284410 ) ( 371450 * )
+      NEW met2 ( 371450 284410 ) ( * 298860 )
+      NEW met2 ( 371450 298860 ) ( 371500 * )
+      NEW met2 ( 371500 298860 ) ( * 300220 0 )
+      NEW met2 ( 348450 24310 ) ( * 284410 )
+      NEW met1 ( 38410 24310 ) M1M2_PR
+      NEW met1 ( 348450 24310 ) M1M2_PR
+      NEW met1 ( 348450 284410 ) M1M2_PR
+      NEW met1 ( 371450 284410 ) M1M2_PR ;
+    - wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) + USE SIGNAL
+      + ROUTED met2 ( 410550 285770 ) ( * 298860 )
+      NEW met2 ( 410550 298860 ) ( 410600 * )
+      NEW met2 ( 410600 298860 ) ( * 300220 0 )
+      NEW met1 ( 341550 285770 ) ( 410550 * )
+      NEW met2 ( 239430 2380 0 ) ( * 38250 )
+      NEW met1 ( 239430 38250 ) ( 341550 * )
+      NEW met2 ( 341550 38250 ) ( * 285770 )
+      NEW met1 ( 410550 285770 ) M1M2_PR
+      NEW met1 ( 341550 285770 ) M1M2_PR
+      NEW met1 ( 239430 38250 ) M1M2_PR
+      NEW met1 ( 341550 38250 ) M1M2_PR ;
+    - wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) + USE SIGNAL
+      + ROUTED met2 ( 414230 289510 ) ( * 299540 )
+      NEW met2 ( 414230 299540 ) ( 414600 * )
+      NEW met2 ( 414600 299540 ) ( * 300220 0 )
+      NEW met1 ( 327750 285090 ) ( 362250 * )
+      NEW li1 ( 362250 285090 ) ( * 289510 )
+      NEW met1 ( 362250 289510 ) ( 414230 * )
+      NEW met2 ( 256910 2380 0 ) ( * 44710 )
+      NEW met1 ( 256910 44710 ) ( 327750 * )
+      NEW met2 ( 327750 44710 ) ( * 285090 )
+      NEW met1 ( 414230 289510 ) M1M2_PR
+      NEW met1 ( 327750 285090 ) M1M2_PR
+      NEW li1 ( 362250 285090 ) L1M1_PR_MR
+      NEW li1 ( 362250 289510 ) L1M1_PR_MR
+      NEW met1 ( 256910 44710 ) M1M2_PR
+      NEW met1 ( 327750 44710 ) M1M2_PR ;
     - wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) + USE SIGNAL
-      + ROUTED met2 ( 274850 2380 0 ) ( * 26010 )
-      NEW met2 ( 428030 298860 ) ( 431500 * )
-      NEW met2 ( 431500 298860 ) ( * 300220 0 )
-      NEW met1 ( 274850 26010 ) ( 428030 * )
-      NEW met2 ( 428030 26010 ) ( * 298860 )
-      NEW met1 ( 274850 26010 ) M1M2_PR
-      NEW met1 ( 428030 26010 ) M1M2_PR ;
+      + ROUTED met2 ( 414690 298860 ) ( 418500 * )
+      NEW met2 ( 418500 298860 ) ( * 300220 0 )
+      NEW met2 ( 414690 51850 ) ( * 298860 )
+      NEW met2 ( 274850 2380 0 ) ( * 51850 )
+      NEW met1 ( 274850 51850 ) ( 414690 * )
+      NEW met1 ( 414690 51850 ) M1M2_PR
+      NEW met1 ( 274850 51850 ) M1M2_PR ;
     - wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) + USE SIGNAL
       + ROUTED met2 ( 292330 2380 0 ) ( * 16830 )
       NEW met1 ( 292330 16830 ) ( 296470 * )
-      NEW met2 ( 296470 16830 ) ( * 72250 )
-      NEW met2 ( 436310 289170 ) ( * 298860 )
-      NEW met2 ( 436300 298860 ) ( 436310 * )
-      NEW met2 ( 436300 298860 ) ( * 300220 0 )
-      NEW met1 ( 348450 289170 ) ( 436310 * )
-      NEW met1 ( 296470 72250 ) ( 348450 * )
-      NEW met2 ( 348450 72250 ) ( * 289170 )
+      NEW met2 ( 420670 281690 ) ( * 283220 )
+      NEW met2 ( 296470 16830 ) ( * 281690 )
+      NEW met1 ( 296470 281690 ) ( 420670 * )
+      NEW met2 ( 421130 283220 ) ( * 298860 )
+      NEW met2 ( 421130 298860 ) ( 422400 * )
+      NEW met2 ( 422400 298860 ) ( * 300220 0 )
+      NEW met2 ( 420670 283220 ) ( 421130 * )
       NEW met1 ( 292330 16830 ) M1M2_PR
       NEW met1 ( 296470 16830 ) M1M2_PR
-      NEW met1 ( 296470 72250 ) M1M2_PR
-      NEW met1 ( 348450 289170 ) M1M2_PR
-      NEW met1 ( 436310 289170 ) M1M2_PR
-      NEW met1 ( 348450 72250 ) M1M2_PR ;
+      NEW met1 ( 296470 281690 ) M1M2_PR
+      NEW met1 ( 420670 281690 ) M1M2_PR ;
     - wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) + USE SIGNAL
-      + ROUTED met2 ( 310270 2380 0 ) ( * 17340 )
-      NEW met2 ( 309350 17340 ) ( 310270 * )
-      NEW met2 ( 309350 17340 ) ( * 79390 )
-      NEW met1 ( 435390 299030 ) ( 441100 * )
-      NEW met2 ( 441100 299030 ) ( * 300220 0 )
-      NEW met1 ( 309350 79390 ) ( 435390 * )
-      NEW met2 ( 435390 79390 ) ( * 299030 )
-      NEW met1 ( 309350 79390 ) M1M2_PR
-      NEW met1 ( 435390 299030 ) M1M2_PR
-      NEW met1 ( 441100 299030 ) M1M2_PR
-      NEW met1 ( 435390 79390 ) M1M2_PR ;
+      + ROUTED met2 ( 310270 2380 0 ) ( * 289170 )
+      NEW met2 ( 426190 289170 ) ( * 298860 )
+      NEW met2 ( 426190 298860 ) ( 426200 * )
+      NEW met2 ( 426200 298860 ) ( * 300220 0 )
+      NEW met1 ( 310270 289170 ) ( 426190 * )
+      NEW met1 ( 310270 289170 ) M1M2_PR
+      NEW met1 ( 426190 289170 ) M1M2_PR ;
     - wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) + USE SIGNAL
       + ROUTED met2 ( 327750 2380 0 ) ( * 16830 )
       NEW met1 ( 327750 16830 ) ( 330970 * )
-      NEW met1 ( 330970 106930 ) ( 441830 * )
-      NEW met2 ( 441830 298860 ) ( 445900 * )
-      NEW met2 ( 445900 298860 ) ( * 300220 0 )
-      NEW met2 ( 330970 16830 ) ( * 106930 )
-      NEW met2 ( 441830 106930 ) ( * 298860 )
+      NEW met2 ( 428490 298860 ) ( 430100 * )
+      NEW met2 ( 430100 298860 ) ( * 300220 0 )
+      NEW met2 ( 330970 16830 ) ( * 237830 )
+      NEW met1 ( 330970 237830 ) ( 428490 * )
+      NEW met2 ( 428490 237830 ) ( * 298860 )
       NEW met1 ( 327750 16830 ) M1M2_PR
       NEW met1 ( 330970 16830 ) M1M2_PR
-      NEW met1 ( 330970 106930 ) M1M2_PR
-      NEW met1 ( 441830 106930 ) M1M2_PR ;
+      NEW met1 ( 330970 237830 ) M1M2_PR
+      NEW met1 ( 428490 237830 ) M1M2_PR ;
     - wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) + USE SIGNAL
-      + ROUTED met2 ( 448730 298860 ) ( 450600 * )
-      NEW met2 ( 450600 298860 ) ( * 300220 0 )
-      NEW met2 ( 345690 2380 0 ) ( * 38590 )
-      NEW met2 ( 448730 38590 ) ( * 298860 )
-      NEW met1 ( 345690 38590 ) ( 448730 * )
-      NEW met1 ( 345690 38590 ) M1M2_PR
-      NEW met1 ( 448730 38590 ) M1M2_PR ;
+      + ROUTED met2 ( 345690 2380 0 ) ( * 26010 )
+      NEW met1 ( 345690 26010 ) ( 428030 * )
+      NEW met1 ( 428030 299030 ) ( 434000 * )
+      NEW met2 ( 434000 299030 ) ( * 300220 0 )
+      NEW met2 ( 428030 26010 ) ( * 299030 )
+      NEW met1 ( 345690 26010 ) M1M2_PR
+      NEW met1 ( 428030 26010 ) M1M2_PR
+      NEW met1 ( 428030 299030 ) M1M2_PR
+      NEW met1 ( 434000 299030 ) M1M2_PR ;
     - wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) + USE SIGNAL
-      + ROUTED met2 ( 363170 2380 0 ) ( * 32470 )
-      NEW met1 ( 445050 287470 ) ( 455630 * )
-      NEW met2 ( 455630 287470 ) ( * 298860 )
-      NEW met2 ( 455400 298860 ) ( 455630 * )
-      NEW met2 ( 455400 298860 ) ( * 300220 0 )
-      NEW met1 ( 363170 32470 ) ( 445050 * )
-      NEW met2 ( 445050 32470 ) ( * 287470 )
-      NEW met1 ( 363170 32470 ) M1M2_PR
-      NEW met1 ( 445050 287470 ) M1M2_PR
-      NEW met1 ( 455630 287470 ) M1M2_PR
-      NEW met1 ( 445050 32470 ) M1M2_PR ;
+      + ROUTED met2 ( 363170 2380 0 ) ( * 26350 )
+      NEW met1 ( 363170 26350 ) ( 434930 * )
+      NEW met2 ( 434930 298860 ) ( 437900 * )
+      NEW met2 ( 437900 298860 ) ( * 300220 0 )
+      NEW met2 ( 434930 26350 ) ( * 298860 )
+      NEW met1 ( 363170 26350 ) M1M2_PR
+      NEW met1 ( 434930 26350 ) M1M2_PR ;
     - wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) + USE SIGNAL
-      + ROUTED met2 ( 381110 2380 0 ) ( * 27030 )
-      NEW met1 ( 438150 288490 ) ( 460230 * )
-      NEW met2 ( 460230 288490 ) ( * 298860 )
-      NEW met2 ( 460100 298860 ) ( 460230 * )
-      NEW met2 ( 460100 298860 ) ( * 300220 0 )
-      NEW met1 ( 381110 27030 ) ( 438150 * )
-      NEW met2 ( 438150 27030 ) ( * 288490 )
-      NEW met1 ( 381110 27030 ) M1M2_PR
-      NEW met1 ( 438150 288490 ) M1M2_PR
-      NEW met1 ( 460230 288490 ) M1M2_PR
-      NEW met1 ( 438150 27030 ) M1M2_PR ;
+      + ROUTED met2 ( 381110 2380 0 ) ( * 20910 )
+      NEW met1 ( 381110 20910 ) ( 417450 * )
+      NEW met2 ( 417450 20910 ) ( * 288830 )
+      NEW met2 ( 441830 288830 ) ( * 298860 )
+      NEW met2 ( 441800 298860 ) ( 441830 * )
+      NEW met2 ( 441800 298860 ) ( * 300220 0 )
+      NEW met1 ( 417450 288830 ) ( 441830 * )
+      NEW met1 ( 381110 20910 ) M1M2_PR
+      NEW met1 ( 417450 20910 ) M1M2_PR
+      NEW met1 ( 417450 288830 ) M1M2_PR
+      NEW met1 ( 441830 288830 ) M1M2_PR ;
     - wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) + USE SIGNAL
-      + ROUTED met2 ( 398590 2380 0 ) ( * 26690 )
-      NEW met1 ( 451950 284070 ) ( 464830 * )
-      NEW met2 ( 464830 284070 ) ( * 298860 )
-      NEW met2 ( 464830 298860 ) ( 464900 * )
-      NEW met2 ( 464900 298860 ) ( * 300220 0 )
-      NEW met2 ( 451490 26690 ) ( * 34500 )
-      NEW met2 ( 451490 34500 ) ( 451950 * )
-      NEW met2 ( 451950 34500 ) ( * 284070 )
-      NEW met1 ( 398590 26690 ) ( 451490 * )
-      NEW met1 ( 398590 26690 ) M1M2_PR
-      NEW met1 ( 451490 26690 ) M1M2_PR
-      NEW met1 ( 451950 284070 ) M1M2_PR
-      NEW met1 ( 464830 284070 ) M1M2_PR ;
+      + ROUTED met2 ( 398590 2380 0 ) ( * 25670 )
+      NEW met1 ( 398590 25670 ) ( 442290 * )
+      NEW met2 ( 442290 298860 ) ( 445700 * )
+      NEW met2 ( 445700 298860 ) ( * 300220 0 )
+      NEW met2 ( 442290 25670 ) ( * 298860 )
+      NEW met1 ( 398590 25670 ) M1M2_PR
+      NEW met1 ( 442290 25670 ) M1M2_PR ;
     - wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) + USE SIGNAL
-      + ROUTED met2 ( 378810 281010 ) ( * 298860 )
-      NEW met2 ( 378810 298860 ) ( 379000 * )
-      NEW met2 ( 379000 298860 ) ( * 300220 0 )
-      NEW met2 ( 93150 20570 ) ( * 281010 )
-      NEW met2 ( 61870 2380 0 ) ( * 20570 )
-      NEW met1 ( 61870 20570 ) ( 93150 * )
-      NEW met1 ( 93150 281010 ) ( 378810 * )
-      NEW met1 ( 93150 20570 ) M1M2_PR
-      NEW met1 ( 93150 281010 ) M1M2_PR
-      NEW met1 ( 378810 281010 ) M1M2_PR
-      NEW met1 ( 61870 20570 ) M1M2_PR ;
+      + ROUTED met2 ( 375130 280330 ) ( * 298860 )
+      NEW met2 ( 375130 298860 ) ( 375400 * )
+      NEW met2 ( 375400 298860 ) ( * 300220 0 )
+      NEW met2 ( 61870 2380 0 ) ( * 15470 )
+      NEW met1 ( 61870 15470 ) ( 72450 * )
+      NEW met1 ( 72450 280330 ) ( 375130 * )
+      NEW met2 ( 72450 15470 ) ( * 280330 )
+      NEW met1 ( 375130 280330 ) M1M2_PR
+      NEW met1 ( 61870 15470 ) M1M2_PR
+      NEW met1 ( 72450 15470 ) M1M2_PR
+      NEW met1 ( 72450 280330 ) M1M2_PR ;
     - wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) + USE SIGNAL
-      + ROUTED met2 ( 469700 298860 ) ( 469890 * )
-      NEW met2 ( 469700 298860 ) ( * 300220 0 )
-      NEW met2 ( 469890 120530 ) ( * 298860 )
-      NEW met1 ( 420670 120530 ) ( 469890 * )
-      NEW met1 ( 416530 16830 ) ( 420670 * )
-      NEW met2 ( 416530 2380 0 ) ( * 16830 )
-      NEW met2 ( 420670 16830 ) ( * 120530 )
-      NEW met1 ( 420670 120530 ) M1M2_PR
-      NEW met1 ( 469890 120530 ) M1M2_PR
-      NEW met1 ( 416530 16830 ) M1M2_PR
-      NEW met1 ( 420670 16830 ) M1M2_PR ;
+      + ROUTED met2 ( 416530 2380 0 ) ( * 31790 )
+      NEW met1 ( 416530 31790 ) ( 449190 * )
+      NEW met2 ( 449190 298860 ) ( 449600 * )
+      NEW met2 ( 449600 298860 ) ( * 300220 0 )
+      NEW met2 ( 449190 31790 ) ( * 298860 )
+      NEW met1 ( 416530 31790 ) M1M2_PR
+      NEW met1 ( 449190 31790 ) M1M2_PR ;
     - wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) + USE SIGNAL
-      + ROUTED met1 ( 469430 282370 ) ( 474490 * )
-      NEW met2 ( 474490 282370 ) ( * 298860 )
-      NEW met2 ( 474490 298860 ) ( 474500 * )
-      NEW met2 ( 474500 298860 ) ( * 300220 0 )
-      NEW met2 ( 469430 26010 ) ( * 282370 )
-      NEW met2 ( 434470 2380 0 ) ( * 26010 )
-      NEW met1 ( 434470 26010 ) ( 469430 * )
-      NEW met1 ( 469430 26010 ) M1M2_PR
-      NEW met1 ( 469430 282370 ) M1M2_PR
-      NEW met1 ( 474490 282370 ) M1M2_PR
-      NEW met1 ( 434470 26010 ) M1M2_PR ;
+      + ROUTED met2 ( 434470 2380 0 ) ( * 20910 )
+      NEW met1 ( 434470 20910 ) ( 448730 * )
+      NEW met1 ( 448730 299030 ) ( 453500 * )
+      NEW met2 ( 453500 299030 ) ( * 300220 0 )
+      NEW met2 ( 448730 20910 ) ( * 299030 )
+      NEW met1 ( 434470 20910 ) M1M2_PR
+      NEW met1 ( 448730 20910 ) M1M2_PR
+      NEW met1 ( 448730 299030 ) M1M2_PR
+      NEW met1 ( 453500 299030 ) M1M2_PR ;
     - wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) + USE SIGNAL
-      + ROUTED met2 ( 479090 283050 ) ( * 298860 )
-      NEW met2 ( 479090 298860 ) ( 479200 * )
-      NEW met2 ( 479200 298860 ) ( * 300220 0 )
-      NEW met2 ( 451950 2380 0 ) ( * 27710 )
-      NEW met1 ( 451950 27710 ) ( 465750 * )
-      NEW met1 ( 465750 283050 ) ( 479090 * )
-      NEW met2 ( 465750 27710 ) ( * 283050 )
-      NEW met1 ( 479090 283050 ) M1M2_PR
-      NEW met1 ( 451950 27710 ) M1M2_PR
-      NEW met1 ( 465750 27710 ) M1M2_PR
-      NEW met1 ( 465750 283050 ) M1M2_PR ;
+      + ROUTED met2 ( 451950 2380 0 ) ( * 16830 )
+      NEW met1 ( 451950 16830 ) ( 455170 * )
+      NEW met1 ( 455170 288830 ) ( 457470 * )
+      NEW met2 ( 457470 288830 ) ( * 298860 )
+      NEW met2 ( 457400 298860 ) ( 457470 * )
+      NEW met2 ( 457400 298860 ) ( * 300220 0 )
+      NEW met2 ( 455170 16830 ) ( * 288830 )
+      NEW met1 ( 451950 16830 ) M1M2_PR
+      NEW met1 ( 455170 16830 ) M1M2_PR
+      NEW met1 ( 455170 288830 ) M1M2_PR
+      NEW met1 ( 457470 288830 ) M1M2_PR ;
     - wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) + USE SIGNAL
-      + ROUTED met2 ( 469890 2380 0 ) ( * 27710 )
-      NEW met1 ( 469890 27710 ) ( 483690 * )
-      NEW met2 ( 483690 298860 ) ( 484000 * )
-      NEW met2 ( 484000 298860 ) ( * 300220 0 )
-      NEW met2 ( 483690 27710 ) ( * 298860 )
-      NEW met1 ( 469890 27710 ) M1M2_PR
-      NEW met1 ( 483690 27710 ) M1M2_PR ;
+      + ROUTED met2 ( 469890 2380 0 ) ( * 21930 )
+      NEW met1 ( 465750 21930 ) ( 469890 * )
+      NEW met1 ( 461150 289170 ) ( 465750 * )
+      NEW met2 ( 461150 289170 ) ( * 298860 )
+      NEW met2 ( 461150 298860 ) ( 461300 * )
+      NEW met2 ( 461300 298860 ) ( * 300220 0 )
+      NEW met2 ( 465750 21930 ) ( * 289170 )
+      NEW met1 ( 469890 21930 ) M1M2_PR
+      NEW met1 ( 465750 21930 ) M1M2_PR
+      NEW met1 ( 465750 289170 ) M1M2_PR
+      NEW met1 ( 461150 289170 ) M1M2_PR ;
     - wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) + USE SIGNAL
-      + ROUTED met2 ( 487370 2380 0 ) ( * 15810 )
-      NEW met1 ( 483230 15810 ) ( 487370 * )
-      NEW met1 ( 483230 299030 ) ( 488800 * )
-      NEW met2 ( 488800 299030 ) ( * 300220 0 )
-      NEW met2 ( 483230 15810 ) ( * 299030 )
-      NEW met1 ( 487370 15810 ) M1M2_PR
-      NEW met1 ( 483230 15810 ) M1M2_PR
-      NEW met1 ( 483230 299030 ) M1M2_PR
-      NEW met1 ( 488800 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 487370 2380 0 ) ( * 26350 )
+      NEW met1 ( 468970 26350 ) ( 487370 * )
+      NEW met1 ( 465290 288830 ) ( 468970 * )
+      NEW met2 ( 465290 288830 ) ( * 298860 )
+      NEW met2 ( 465290 298860 ) ( 465300 * )
+      NEW met2 ( 465300 298860 ) ( * 300220 0 )
+      NEW met2 ( 468970 26350 ) ( * 288830 )
+      NEW met1 ( 487370 26350 ) M1M2_PR
+      NEW met1 ( 468970 26350 ) M1M2_PR
+      NEW met1 ( 468970 288830 ) M1M2_PR
+      NEW met1 ( 465290 288830 ) M1M2_PR ;
     - wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) + USE SIGNAL
-      + ROUTED met2 ( 505310 2380 0 ) ( * 20910 )
-      NEW met1 ( 496570 20910 ) ( 505310 * )
-      NEW met1 ( 493810 288830 ) ( 496570 * )
-      NEW met2 ( 493810 288830 ) ( * 298860 )
-      NEW met2 ( 493600 298860 ) ( 493810 * )
-      NEW met2 ( 493600 298860 ) ( * 300220 0 )
-      NEW met2 ( 496570 20910 ) ( * 288830 )
-      NEW met1 ( 505310 20910 ) M1M2_PR
-      NEW met1 ( 496570 20910 ) M1M2_PR
-      NEW met1 ( 496570 288830 ) M1M2_PR
-      NEW met1 ( 493810 288830 ) M1M2_PR ;
+      + ROUTED met2 ( 505310 2380 0 ) ( * 21590 )
+      NEW met1 ( 475870 21590 ) ( 505310 * )
+      NEW met1 ( 469430 288830 ) ( 475870 * )
+      NEW met2 ( 469430 288830 ) ( * 298860 )
+      NEW met2 ( 475870 21590 ) ( * 288830 )
+      NEW met2 ( 469200 298860 ) ( 469430 * )
+      NEW met2 ( 469200 298860 ) ( * 300220 0 )
+      NEW met1 ( 505310 21590 ) M1M2_PR
+      NEW met1 ( 475870 21590 ) M1M2_PR
+      NEW met1 ( 475870 288830 ) M1M2_PR
+      NEW met1 ( 469430 288830 ) M1M2_PR ;
     - wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) + USE SIGNAL
-      + ROUTED met1 ( 498410 288830 ) ( 502550 * )
-      NEW met2 ( 498410 288830 ) ( * 298860 )
-      NEW met2 ( 498400 298860 ) ( 498410 * )
-      NEW met2 ( 498400 298860 ) ( * 300220 0 )
-      NEW met2 ( 502550 22610 ) ( * 288830 )
-      NEW met2 ( 522790 2380 0 ) ( * 22610 )
-      NEW met1 ( 502550 22610 ) ( 522790 * )
-      NEW met1 ( 502550 22610 ) M1M2_PR
-      NEW met1 ( 502550 288830 ) M1M2_PR
-      NEW met1 ( 498410 288830 ) M1M2_PR
-      NEW met1 ( 522790 22610 ) M1M2_PR ;
+      + ROUTED met1 ( 473110 287810 ) ( 475410 * )
+      NEW met2 ( 473110 287810 ) ( * 298860 )
+      NEW met2 ( 473100 298860 ) ( 473110 * )
+      NEW met2 ( 473100 298860 ) ( * 300220 0 )
+      NEW met2 ( 475410 23630 ) ( * 287810 )
+      NEW met2 ( 522790 2380 0 ) ( * 23630 )
+      NEW met1 ( 475410 23630 ) ( 522790 * )
+      NEW met1 ( 475410 23630 ) M1M2_PR
+      NEW met1 ( 475410 287810 ) M1M2_PR
+      NEW met1 ( 473110 287810 ) M1M2_PR
+      NEW met1 ( 522790 23630 ) M1M2_PR ;
     - wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) + USE SIGNAL
-      + ROUTED met2 ( 503010 298860 ) ( 503200 * )
-      NEW met2 ( 503200 298860 ) ( * 300220 0 )
-      NEW met2 ( 503010 23630 ) ( * 298860 )
-      NEW met2 ( 540730 2380 0 ) ( * 23630 )
-      NEW met1 ( 503010 23630 ) ( 540730 * )
-      NEW met1 ( 503010 23630 ) M1M2_PR
-      NEW met1 ( 540730 23630 ) M1M2_PR ;
+      + ROUTED met1 ( 477250 287810 ) ( 482770 * )
+      NEW met2 ( 477250 287810 ) ( * 298860 )
+      NEW met2 ( 477000 298860 ) ( 477250 * )
+      NEW met2 ( 477000 298860 ) ( * 300220 0 )
+      NEW met2 ( 482770 27370 ) ( * 287810 )
+      NEW met2 ( 540730 2380 0 ) ( * 27370 )
+      NEW met1 ( 482770 27370 ) ( 540730 * )
+      NEW met1 ( 482770 27370 ) M1M2_PR
+      NEW met1 ( 482770 287810 ) M1M2_PR
+      NEW met1 ( 477250 287810 ) M1M2_PR
+      NEW met1 ( 540730 27370 ) M1M2_PR ;
     - wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) + USE SIGNAL
-      + ROUTED met1 ( 508070 288830 ) ( 510370 * )
-      NEW met2 ( 508070 288830 ) ( * 298860 )
-      NEW met2 ( 507800 298860 ) ( 508070 * )
-      NEW met2 ( 507800 298860 ) ( * 300220 0 )
-      NEW met2 ( 510370 27370 ) ( * 288830 )
-      NEW met2 ( 558210 2380 0 ) ( * 27370 )
-      NEW met1 ( 510370 27370 ) ( 558210 * )
-      NEW met1 ( 510370 27370 ) M1M2_PR
-      NEW met1 ( 510370 288830 ) M1M2_PR
-      NEW met1 ( 508070 288830 ) M1M2_PR
-      NEW met1 ( 558210 27370 ) M1M2_PR ;
+      + ROUTED met2 ( 480930 289170 ) ( * 298860 )
+      NEW met2 ( 480900 298860 ) ( 480930 * )
+      NEW met2 ( 480900 298860 ) ( * 300220 0 )
+      NEW met2 ( 558210 2380 0 ) ( * 27710 )
+      NEW met1 ( 527850 27710 ) ( 558210 * )
+      NEW met1 ( 480930 289170 ) ( 527850 * )
+      NEW met2 ( 527850 27710 ) ( * 289170 )
+      NEW met1 ( 480930 289170 ) M1M2_PR
+      NEW met1 ( 558210 27710 ) M1M2_PR
+      NEW met1 ( 527850 27710 ) M1M2_PR
+      NEW met1 ( 527850 289170 ) M1M2_PR ;
     - wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) + USE SIGNAL
-      + ROUTED met1 ( 512670 288830 ) ( 516810 * )
-      NEW met2 ( 512670 288830 ) ( * 298860 )
-      NEW met2 ( 512600 298860 ) ( 512670 * )
-      NEW met2 ( 512600 298860 ) ( * 300220 0 )
-      NEW met2 ( 576150 2380 0 ) ( * 27030 )
-      NEW met2 ( 516810 27030 ) ( * 288830 )
-      NEW met1 ( 516810 27030 ) ( 576150 * )
-      NEW met1 ( 516810 27030 ) M1M2_PR
-      NEW met1 ( 516810 288830 ) M1M2_PR
-      NEW met1 ( 512670 288830 ) M1M2_PR
-      NEW met1 ( 576150 27030 ) M1M2_PR ;
+      + ROUTED met1 ( 485070 283730 ) ( 489670 * )
+      NEW met2 ( 485070 283730 ) ( * 298860 )
+      NEW met2 ( 484800 298860 ) ( 485070 * )
+      NEW met2 ( 484800 298860 ) ( * 300220 0 )
+      NEW met2 ( 576150 2380 0 ) ( * 13260 )
+      NEW met2 ( 575690 13260 ) ( 576150 * )
+      NEW met2 ( 575690 13260 ) ( * 26690 )
+      NEW met2 ( 489670 26690 ) ( * 283730 )
+      NEW met1 ( 489670 26690 ) ( 575690 * )
+      NEW met1 ( 489670 26690 ) M1M2_PR
+      NEW met1 ( 489670 283730 ) M1M2_PR
+      NEW met1 ( 485070 283730 ) M1M2_PR
+      NEW met1 ( 575690 26690 ) M1M2_PR ;
     - wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) + USE SIGNAL
-      + ROUTED met2 ( 85330 2380 0 ) ( * 14790 )
-      NEW met1 ( 85330 14790 ) ( 113850 * )
-      NEW met2 ( 379730 298860 ) ( 383800 * )
-      NEW met2 ( 383800 298860 ) ( * 300220 0 )
-      NEW met2 ( 113850 14790 ) ( * 51510 )
-      NEW met2 ( 379730 51510 ) ( * 298860 )
-      NEW met1 ( 113850 51510 ) ( 379730 * )
-      NEW met1 ( 85330 14790 ) M1M2_PR
-      NEW met1 ( 113850 14790 ) M1M2_PR
-      NEW met1 ( 113850 51510 ) M1M2_PR
-      NEW met1 ( 379730 51510 ) M1M2_PR ;
+      + ROUTED met2 ( 85330 2380 0 ) ( * 17510 )
+      NEW met1 ( 85330 17510 ) ( 93150 * )
+      NEW met1 ( 372830 299030 ) ( 379300 * )
+      NEW met2 ( 379300 299030 ) ( * 300220 0 )
+      NEW met2 ( 93150 17510 ) ( * 99790 )
+      NEW met2 ( 372830 99790 ) ( * 299030 )
+      NEW met1 ( 93150 99790 ) ( 372830 * )
+      NEW met1 ( 85330 17510 ) M1M2_PR
+      NEW met1 ( 93150 17510 ) M1M2_PR
+      NEW met1 ( 93150 99790 ) M1M2_PR
+      NEW met1 ( 372830 99790 ) M1M2_PR
+      NEW met1 ( 372830 299030 ) M1M2_PR
+      NEW met1 ( 379300 299030 ) M1M2_PR ;
     - wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) + USE SIGNAL
-      + ROUTED met2 ( 517270 298860 ) ( 517400 * )
-      NEW met2 ( 517400 298860 ) ( * 300220 0 )
-      NEW met2 ( 594090 2380 0 ) ( * 26690 )
-      NEW met2 ( 517270 26690 ) ( * 298860 )
-      NEW met1 ( 517270 26690 ) ( 594090 * )
-      NEW met1 ( 517270 26690 ) M1M2_PR
-      NEW met1 ( 594090 26690 ) M1M2_PR ;
+      + ROUTED met2 ( 488700 298860 ) ( 489210 * )
+      NEW met2 ( 488700 298860 ) ( * 300220 0 )
+      NEW met2 ( 594090 2380 0 ) ( * 26350 )
+      NEW met2 ( 489210 26350 ) ( * 298860 )
+      NEW met1 ( 489210 26350 ) ( 594090 * )
+      NEW met1 ( 489210 26350 ) M1M2_PR
+      NEW met1 ( 594090 26350 ) M1M2_PR ;
     - wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) + USE SIGNAL
-      + ROUTED met2 ( 611570 2380 0 ) ( * 26350 )
-      NEW met1 ( 524170 26350 ) ( 611570 * )
-      NEW met2 ( 522200 298860 ) ( 524170 * )
-      NEW met2 ( 522200 298860 ) ( * 300220 0 )
-      NEW met2 ( 524170 26350 ) ( * 298860 )
-      NEW met1 ( 611570 26350 ) M1M2_PR
-      NEW met1 ( 524170 26350 ) M1M2_PR ;
+      + ROUTED met1 ( 492890 287810 ) ( 496570 * )
+      NEW met2 ( 492890 287810 ) ( * 298860 )
+      NEW met2 ( 492600 298860 ) ( 492890 * )
+      NEW met2 ( 492600 298860 ) ( * 300220 0 )
+      NEW met2 ( 611570 2380 0 ) ( * 26010 )
+      NEW met2 ( 496570 26010 ) ( * 287810 )
+      NEW met1 ( 496570 26010 ) ( 611570 * )
+      NEW met1 ( 496570 26010 ) M1M2_PR
+      NEW met1 ( 496570 287810 ) M1M2_PR
+      NEW met1 ( 492890 287810 ) M1M2_PR
+      NEW met1 ( 611570 26010 ) M1M2_PR ;
     - wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) + USE SIGNAL
       + ROUTED met2 ( 109250 2380 0 ) ( * 17850 )
       NEW met1 ( 109250 17850 ) ( 127650 * )
-      NEW met2 ( 388470 287470 ) ( * 298860 )
-      NEW met2 ( 388470 298860 ) ( 388600 * )
-      NEW met2 ( 388600 298860 ) ( * 300220 0 )
-      NEW met2 ( 127650 17850 ) ( * 287470 )
-      NEW met1 ( 127650 287470 ) ( 388470 * )
+      NEW met2 ( 379730 298860 ) ( 383200 * )
+      NEW met2 ( 383200 298860 ) ( * 300220 0 )
+      NEW met2 ( 127650 17850 ) ( * 58650 )
+      NEW met2 ( 379730 58650 ) ( * 298860 )
+      NEW met1 ( 127650 58650 ) ( 379730 * )
       NEW met1 ( 109250 17850 ) M1M2_PR
       NEW met1 ( 127650 17850 ) M1M2_PR
-      NEW met1 ( 127650 287470 ) M1M2_PR
-      NEW met1 ( 388470 287470 ) M1M2_PR ;
+      NEW met1 ( 127650 58650 ) M1M2_PR
+      NEW met1 ( 379730 58650 ) M1M2_PR ;
     - wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) + USE SIGNAL
-      + ROUTED met2 ( 393530 285430 ) ( * 298860 )
-      NEW met2 ( 393300 298860 ) ( 393530 * )
-      NEW met2 ( 393300 298860 ) ( * 300220 0 )
+      + ROUTED met2 ( 387090 288490 ) ( * 298860 )
+      NEW met2 ( 387090 298860 ) ( 387200 * )
+      NEW met2 ( 387200 298860 ) ( * 300220 0 )
+      NEW met2 ( 286350 24990 ) ( * 288490 )
       NEW met2 ( 132710 2380 0 ) ( * 24990 )
-      NEW met1 ( 132710 24990 ) ( 341550 * )
-      NEW met1 ( 341550 285430 ) ( 393530 * )
-      NEW met2 ( 341550 24990 ) ( * 285430 )
-      NEW met1 ( 393530 285430 ) M1M2_PR
-      NEW met1 ( 132710 24990 ) M1M2_PR
-      NEW met1 ( 341550 24990 ) M1M2_PR
-      NEW met1 ( 341550 285430 ) M1M2_PR ;
+      NEW met1 ( 132710 24990 ) ( 286350 * )
+      NEW met1 ( 286350 288490 ) ( 387090 * )
+      NEW met1 ( 286350 24990 ) M1M2_PR
+      NEW met1 ( 286350 288490 ) M1M2_PR
+      NEW met1 ( 387090 288490 ) M1M2_PR
+      NEW met1 ( 132710 24990 ) M1M2_PR ;
     - wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) + USE SIGNAL
-      + ROUTED met2 ( 393990 298860 ) ( 398100 * )
-      NEW met2 ( 398100 298860 ) ( * 300220 0 )
-      NEW met2 ( 393990 65450 ) ( * 298860 )
-      NEW met2 ( 150650 2380 0 ) ( * 65450 )
-      NEW met1 ( 150650 65450 ) ( 393990 * )
-      NEW met1 ( 393990 65450 ) M1M2_PR
-      NEW met1 ( 150650 65450 ) M1M2_PR ;
+      + ROUTED met2 ( 381110 280670 ) ( * 283390 )
+      NEW met1 ( 381110 283390 ) ( 391230 * )
+      NEW met2 ( 391230 283390 ) ( * 298860 )
+      NEW met2 ( 391100 298860 ) ( 391230 * )
+      NEW met2 ( 391100 298860 ) ( * 300220 0 )
+      NEW met1 ( 151570 280670 ) ( 381110 * )
+      NEW met2 ( 150650 2380 0 ) ( * 34500 )
+      NEW met2 ( 150650 34500 ) ( 151570 * )
+      NEW met2 ( 151570 34500 ) ( * 280670 )
+      NEW met1 ( 381110 280670 ) M1M2_PR
+      NEW met1 ( 381110 283390 ) M1M2_PR
+      NEW met1 ( 391230 283390 ) M1M2_PR
+      NEW met1 ( 151570 280670 ) M1M2_PR ;
     - wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) + USE SIGNAL
-      + ROUTED met2 ( 402730 281350 ) ( * 298860 )
-      NEW met2 ( 402730 298860 ) ( 402900 * )
-      NEW met2 ( 402900 298860 ) ( * 300220 0 )
-      NEW met2 ( 168130 2380 0 ) ( * 17850 )
-      NEW met1 ( 168130 17850 ) ( 172270 * )
-      NEW met1 ( 172270 281350 ) ( 402730 * )
-      NEW met2 ( 172270 17850 ) ( * 281350 )
-      NEW met1 ( 402730 281350 ) M1M2_PR
-      NEW met1 ( 168130 17850 ) M1M2_PR
-      NEW met1 ( 172270 17850 ) M1M2_PR
-      NEW met1 ( 172270 281350 ) M1M2_PR ;
+      + ROUTED met2 ( 394910 281010 ) ( * 299540 )
+      NEW met2 ( 394910 299540 ) ( 395000 * )
+      NEW met2 ( 395000 299540 ) ( * 300220 0 )
+      NEW met2 ( 168130 2380 0 ) ( * 17510 )
+      NEW met1 ( 168130 17510 ) ( 172270 * )
+      NEW met1 ( 172270 281010 ) ( 394910 * )
+      NEW met2 ( 172270 17510 ) ( * 281010 )
+      NEW met1 ( 394910 281010 ) M1M2_PR
+      NEW met1 ( 168130 17510 ) M1M2_PR
+      NEW met1 ( 172270 17510 ) M1M2_PR
+      NEW met1 ( 172270 281010 ) M1M2_PR ;
     - wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) + USE SIGNAL
-      + ROUTED met2 ( 407790 289510 ) ( * 298860 )
-      NEW met2 ( 407700 298860 ) ( 407790 * )
-      NEW met2 ( 407700 298860 ) ( * 300220 0 )
-      NEW met2 ( 186070 2380 0 ) ( * 106930 )
-      NEW met1 ( 186070 106930 ) ( 327750 * )
-      NEW met1 ( 327750 289510 ) ( 407790 * )
-      NEW met2 ( 327750 106930 ) ( * 289510 )
-      NEW met1 ( 186070 106930 ) M1M2_PR
-      NEW met1 ( 407790 289510 ) M1M2_PR
-      NEW met1 ( 327750 106930 ) M1M2_PR
-      NEW met1 ( 327750 289510 ) M1M2_PR ;
+      + ROUTED met2 ( 396290 298860 ) ( 398900 * )
+      NEW met2 ( 398900 298860 ) ( * 300220 0 )
+      NEW met2 ( 186070 2380 0 ) ( * 72250 )
+      NEW met2 ( 393530 72250 ) ( * 227700 )
+      NEW met2 ( 393530 227700 ) ( 396290 * )
+      NEW met2 ( 396290 227700 ) ( * 298860 )
+      NEW met1 ( 186070 72250 ) ( 393530 * )
+      NEW met1 ( 186070 72250 ) M1M2_PR
+      NEW met1 ( 393530 72250 ) M1M2_PR ;
     - wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) + USE SIGNAL
       + ROUTED met2 ( 203550 2380 0 ) ( * 16830 )
       NEW met1 ( 203550 16830 ) ( 206770 * )
-      NEW met1 ( 393300 281690 ) ( * 283050 )
-      NEW met1 ( 393300 283050 ) ( 412390 * )
-      NEW met2 ( 412390 283050 ) ( * 298860 )
-      NEW met2 ( 412390 298860 ) ( 412400 * )
-      NEW met2 ( 412400 298860 ) ( * 300220 0 )
-      NEW met2 ( 206770 16830 ) ( * 281690 )
-      NEW met1 ( 206770 281690 ) ( 393300 * )
+      NEW met2 ( 402730 285430 ) ( * 298860 )
+      NEW met2 ( 402730 298860 ) ( 402800 * )
+      NEW met2 ( 402800 298860 ) ( * 300220 0 )
+      NEW met2 ( 206770 16830 ) ( * 281350 )
+      NEW met2 ( 359030 281350 ) ( * 285430 )
+      NEW met1 ( 206770 281350 ) ( 359030 * )
+      NEW met1 ( 359030 285430 ) ( 402730 * )
       NEW met1 ( 203550 16830 ) M1M2_PR
       NEW met1 ( 206770 16830 ) M1M2_PR
-      NEW met1 ( 206770 281690 ) M1M2_PR
-      NEW met1 ( 412390 283050 ) M1M2_PR ;
+      NEW met1 ( 206770 281350 ) M1M2_PR
+      NEW met1 ( 402730 285430 ) M1M2_PR
+      NEW met1 ( 359030 281350 ) M1M2_PR
+      NEW met1 ( 359030 285430 ) M1M2_PR ;
     - wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) + USE SIGNAL
-      + ROUTED met2 ( 221490 2380 0 ) ( * 25330 )
-      NEW met2 ( 416990 288490 ) ( * 298860 )
-      NEW met2 ( 416990 298860 ) ( 417200 * )
-      NEW met2 ( 417200 298860 ) ( * 300220 0 )
-      NEW met2 ( 286350 25330 ) ( * 288490 )
-      NEW met1 ( 221490 25330 ) ( 286350 * )
-      NEW met1 ( 286350 288490 ) ( 416990 * )
-      NEW met1 ( 221490 25330 ) M1M2_PR
-      NEW met1 ( 286350 25330 ) M1M2_PR
-      NEW met1 ( 286350 288490 ) M1M2_PR
-      NEW met1 ( 416990 288490 ) M1M2_PR ;
+      + ROUTED met2 ( 221490 2380 0 ) ( * 24650 )
+      NEW met2 ( 406410 288830 ) ( * 298860 )
+      NEW met2 ( 406410 298860 ) ( 406700 * )
+      NEW met2 ( 406700 298860 ) ( * 300220 0 )
+      NEW met1 ( 221490 24650 ) ( 265650 * )
+      NEW met1 ( 265650 288830 ) ( 406410 * )
+      NEW met2 ( 265650 24650 ) ( * 288830 )
+      NEW met1 ( 221490 24650 ) M1M2_PR
+      NEW met1 ( 406410 288830 ) M1M2_PR
+      NEW met1 ( 265650 24650 ) M1M2_PR
+      NEW met1 ( 265650 288830 ) M1M2_PR ;
     - wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) + USE SIGNAL
       + ROUTED met2 ( 20470 2380 0 ) ( * 16490 )
       NEW met1 ( 20470 16490 ) ( 24150 * )
-      NEW met2 ( 24150 16490 ) ( * 113730 )
-      NEW met1 ( 24150 113730 ) ( 524630 * )
-      NEW met2 ( 524630 298860 ) ( 527000 * )
-      NEW met2 ( 527000 298860 ) ( * 300220 0 )
-      NEW met2 ( 524630 113730 ) ( * 298860 )
+      NEW met2 ( 496110 279310 ) ( * 298860 )
+      NEW met2 ( 496110 298860 ) ( 496500 * )
+      NEW met2 ( 496500 298860 ) ( * 300220 0 )
+      NEW met2 ( 24150 16490 ) ( * 279310 )
+      NEW met1 ( 24150 279310 ) ( 496110 * )
       NEW met1 ( 20470 16490 ) M1M2_PR
       NEW met1 ( 24150 16490 ) M1M2_PR
-      NEW met1 ( 24150 113730 ) M1M2_PR
-      NEW met1 ( 524630 113730 ) M1M2_PR ;
+      NEW met1 ( 24150 279310 ) M1M2_PR
+      NEW met1 ( 496110 279310 ) M1M2_PR ;
     - wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) + USE SIGNAL
-      + ROUTED met2 ( 43930 2380 0 ) ( * 23970 )
-      NEW met2 ( 531530 298860 ) ( 531800 * )
-      NEW met2 ( 531800 298860 ) ( * 300220 0 )
-      NEW met2 ( 531530 23970 ) ( * 298860 )
-      NEW met1 ( 43930 23970 ) ( 531530 * )
-      NEW met1 ( 43930 23970 ) M1M2_PR
-      NEW met1 ( 531530 23970 ) M1M2_PR ;
+      + ROUTED met2 ( 500250 279650 ) ( * 298860 )
+      NEW met2 ( 500250 298860 ) ( 500400 * )
+      NEW met2 ( 500400 298860 ) ( * 300220 0 )
+      NEW met2 ( 43930 2380 0 ) ( * 18190 )
+      NEW met1 ( 43930 18190 ) ( 161690 * )
+      NEW met1 ( 162150 279650 ) ( 500250 * )
+      NEW met2 ( 161690 18190 ) ( * 34500 )
+      NEW met2 ( 161690 34500 ) ( 162150 * )
+      NEW met2 ( 162150 34500 ) ( * 279650 )
+      NEW met1 ( 500250 279650 ) M1M2_PR
+      NEW met1 ( 43930 18190 ) M1M2_PR
+      NEW met1 ( 161690 18190 ) M1M2_PR
+      NEW met1 ( 162150 279650 ) M1M2_PR ;
     - wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) + USE SIGNAL
-      + ROUTED met2 ( 579370 287810 ) ( * 298860 )
-      NEW met2 ( 579370 298860 ) ( 579500 * )
-      NEW met2 ( 579500 298860 ) ( * 300220 0 )
-      NEW met2 ( 244950 2380 0 ) ( * 20910 )
+      + ROUTED met2 ( 244950 2380 0 ) ( * 20910 )
       NEW met1 ( 244950 20910 ) ( 251850 * )
-      NEW met1 ( 251850 287810 ) ( 579370 * )
-      NEW met2 ( 251850 20910 ) ( * 287810 )
-      NEW met1 ( 579370 287810 ) M1M2_PR
+      NEW met1 ( 528770 288150 ) ( * 288490 )
+      NEW met1 ( 528770 288490 ) ( 538430 * )
+      NEW met2 ( 538430 288490 ) ( * 299540 )
+      NEW met2 ( 538430 299540 ) ( 539400 * )
+      NEW met2 ( 539400 299540 ) ( * 300220 0 )
+      NEW met1 ( 251850 288150 ) ( 528770 * )
+      NEW met2 ( 251850 20910 ) ( * 288150 )
       NEW met1 ( 244950 20910 ) M1M2_PR
       NEW met1 ( 251850 20910 ) M1M2_PR
-      NEW met1 ( 251850 287810 ) M1M2_PR ;
+      NEW met1 ( 251850 288150 ) M1M2_PR
+      NEW met1 ( 538430 288490 ) M1M2_PR ;
     - wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) + USE SIGNAL
-      + ROUTED met2 ( 579830 298860 ) ( 584300 * )
-      NEW met2 ( 584300 298860 ) ( * 300220 0 )
-      NEW met2 ( 579830 58650 ) ( * 298860 )
-      NEW met2 ( 262890 2380 0 ) ( * 19550 )
-      NEW met1 ( 262890 19550 ) ( 268870 * )
-      NEW met2 ( 268870 19550 ) ( * 58650 )
-      NEW met1 ( 268870 58650 ) ( 579830 * )
-      NEW met1 ( 579830 58650 ) M1M2_PR
-      NEW met1 ( 262890 19550 ) M1M2_PR
-      NEW met1 ( 268870 19550 ) M1M2_PR
-      NEW met1 ( 268870 58650 ) M1M2_PR ;
+      + ROUTED met2 ( 262890 2380 0 ) ( * 14110 )
+      NEW met1 ( 262890 14110 ) ( 266110 * )
+      NEW met2 ( 266110 14110 ) ( * 24650 )
+      NEW met1 ( 266110 24650 ) ( 538890 * )
+      NEW met2 ( 538890 298860 ) ( 543400 * )
+      NEW met2 ( 543400 298860 ) ( * 300220 0 )
+      NEW met2 ( 538890 24650 ) ( * 298860 )
+      NEW met1 ( 262890 14110 ) M1M2_PR
+      NEW met1 ( 266110 14110 ) M1M2_PR
+      NEW met1 ( 266110 24650 ) M1M2_PR
+      NEW met1 ( 538890 24650 ) M1M2_PR ;
     - wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) + USE SIGNAL
-      + ROUTED met2 ( 280370 2380 0 ) ( * 20910 )
-      NEW met1 ( 280370 20910 ) ( 293250 * )
-      NEW met2 ( 589030 288150 ) ( * 298860 )
-      NEW met2 ( 589030 298860 ) ( 589100 * )
-      NEW met2 ( 589100 298860 ) ( * 300220 0 )
-      NEW met2 ( 293250 20910 ) ( * 288150 )
-      NEW met1 ( 293250 288150 ) ( 589030 * )
-      NEW met1 ( 280370 20910 ) M1M2_PR
-      NEW met1 ( 293250 20910 ) M1M2_PR
-      NEW met1 ( 293250 288150 ) M1M2_PR
-      NEW met1 ( 589030 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 280370 2380 0 ) ( * 25330 )
+      NEW met2 ( 376050 25330 ) ( * 287470 )
+      NEW met1 ( 280370 25330 ) ( 376050 * )
+      NEW met2 ( 547170 287470 ) ( * 298860 )
+      NEW met2 ( 547170 298860 ) ( 547200 * )
+      NEW met2 ( 547200 298860 ) ( * 300220 0 )
+      NEW met1 ( 376050 287470 ) ( 547170 * )
+      NEW met1 ( 280370 25330 ) M1M2_PR
+      NEW met1 ( 376050 25330 ) M1M2_PR
+      NEW met1 ( 376050 287470 ) M1M2_PR
+      NEW met1 ( 547170 287470 ) M1M2_PR ;
     - wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) + USE SIGNAL
-      + ROUTED met2 ( 298310 2380 0 ) ( * 26350 )
-      NEW met2 ( 593630 289510 ) ( * 299540 )
-      NEW met2 ( 593630 299540 ) ( 594000 * )
-      NEW met2 ( 594000 299540 ) ( * 300220 0 )
-      NEW met1 ( 417450 289510 ) ( 593630 * )
-      NEW met1 ( 298310 26350 ) ( 417450 * )
-      NEW met2 ( 417450 26350 ) ( * 289510 )
-      NEW met1 ( 298310 26350 ) M1M2_PR
-      NEW met1 ( 417450 289510 ) M1M2_PR
-      NEW met1 ( 593630 289510 ) M1M2_PR
-      NEW met1 ( 417450 26350 ) M1M2_PR ;
+      + ROUTED met2 ( 298310 2380 0 ) ( * 25670 )
+      NEW met2 ( 396750 25670 ) ( * 288490 )
+      NEW met1 ( 298310 25670 ) ( 396750 * )
+      NEW li1 ( 528310 288490 ) ( * 289170 )
+      NEW met1 ( 528310 289170 ) ( 550850 * )
+      NEW met2 ( 550850 289170 ) ( * 298860 )
+      NEW met2 ( 550850 298860 ) ( 551100 * )
+      NEW met2 ( 551100 298860 ) ( * 300220 0 )
+      NEW met1 ( 396750 288490 ) ( 528310 * )
+      NEW met1 ( 298310 25670 ) M1M2_PR
+      NEW met1 ( 396750 25670 ) M1M2_PR
+      NEW met1 ( 396750 288490 ) M1M2_PR
+      NEW li1 ( 528310 288490 ) L1M1_PR_MR
+      NEW li1 ( 528310 289170 ) L1M1_PR_MR
+      NEW met1 ( 550850 289170 ) M1M2_PR ;
     - wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) + USE SIGNAL
-      + ROUTED met2 ( 316250 2380 0 ) ( * 31450 )
-      NEW met2 ( 594090 298860 ) ( 598700 * )
-      NEW met2 ( 598700 298860 ) ( * 300220 0 )
-      NEW met2 ( 594090 31450 ) ( * 298860 )
-      NEW met1 ( 316250 31450 ) ( 594090 * )
-      NEW met1 ( 316250 31450 ) M1M2_PR
-      NEW met1 ( 594090 31450 ) M1M2_PR ;
+      + ROUTED met2 ( 316250 2380 0 ) ( * 32810 )
+      NEW met1 ( 316250 32810 ) ( 552230 * )
+      NEW met2 ( 552230 298860 ) ( 555000 * )
+      NEW met2 ( 555000 298860 ) ( * 300220 0 )
+      NEW met2 ( 552230 32810 ) ( * 298860 )
+      NEW met1 ( 316250 32810 ) M1M2_PR
+      NEW met1 ( 552230 32810 ) M1M2_PR ;
     - wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) + USE SIGNAL
-      + ROUTED met2 ( 603290 285770 ) ( * 298860 )
-      NEW met2 ( 603290 298860 ) ( 603400 * )
-      NEW met2 ( 603400 298860 ) ( * 300220 0 )
-      NEW met2 ( 333730 2380 0 ) ( * 25330 )
-      NEW met1 ( 527850 285770 ) ( 603290 * )
-      NEW met2 ( 527850 25330 ) ( * 285770 )
-      NEW met1 ( 333730 25330 ) ( 527850 * )
-      NEW met1 ( 603290 285770 ) M1M2_PR
-      NEW met1 ( 333730 25330 ) M1M2_PR
-      NEW met1 ( 527850 25330 ) M1M2_PR
-      NEW met1 ( 527850 285770 ) M1M2_PR ;
+      + ROUTED met2 ( 333730 2380 0 ) ( * 24990 )
+      NEW met1 ( 333730 24990 ) ( 559130 * )
+      NEW met2 ( 558900 298860 ) ( 559130 * )
+      NEW met2 ( 558900 298860 ) ( * 300220 0 )
+      NEW met2 ( 559130 24990 ) ( * 298860 )
+      NEW met1 ( 333730 24990 ) M1M2_PR
+      NEW met1 ( 559130 24990 ) M1M2_PR ;
     - wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) + USE SIGNAL
-      + ROUTED met2 ( 607430 298860 ) ( 608200 * )
-      NEW met2 ( 608200 298860 ) ( * 300220 0 )
-      NEW met2 ( 607430 72250 ) ( * 298860 )
-      NEW met2 ( 351670 2380 0 ) ( * 72250 )
-      NEW met1 ( 351670 72250 ) ( 607430 * )
-      NEW met1 ( 607430 72250 ) M1M2_PR
-      NEW met1 ( 351670 72250 ) M1M2_PR ;
+      + ROUTED met2 ( 559590 298860 ) ( 562800 * )
+      NEW met2 ( 562800 298860 ) ( * 300220 0 )
+      NEW met2 ( 351670 2380 0 ) ( * 38250 )
+      NEW met1 ( 351670 38250 ) ( 559590 * )
+      NEW met2 ( 559590 38250 ) ( * 298860 )
+      NEW met1 ( 351670 38250 ) M1M2_PR
+      NEW met1 ( 559590 38250 ) M1M2_PR ;
     - wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) + USE SIGNAL
-      + ROUTED met2 ( 609270 298860 ) ( 613000 * )
-      NEW met2 ( 613000 298860 ) ( * 300220 0 )
-      NEW met2 ( 608350 24310 ) ( * 227700 )
-      NEW met2 ( 608350 227700 ) ( 609270 * )
-      NEW met2 ( 609270 227700 ) ( * 298860 )
-      NEW met2 ( 369150 2380 0 ) ( * 24310 )
-      NEW met1 ( 369150 24310 ) ( 608350 * )
-      NEW met1 ( 608350 24310 ) M1M2_PR
-      NEW met1 ( 369150 24310 ) M1M2_PR ;
+      + ROUTED met2 ( 566490 283050 ) ( * 298860 )
+      NEW met2 ( 566490 298860 ) ( 566700 * )
+      NEW met2 ( 566700 298860 ) ( * 300220 0 )
+      NEW met2 ( 369150 2380 0 ) ( * 23970 )
+      NEW met1 ( 369150 23970 ) ( 555450 * )
+      NEW met1 ( 555450 283050 ) ( 566490 * )
+      NEW met2 ( 555450 23970 ) ( * 283050 )
+      NEW met1 ( 566490 283050 ) M1M2_PR
+      NEW met1 ( 369150 23970 ) M1M2_PR
+      NEW met1 ( 555450 23970 ) M1M2_PR
+      NEW met1 ( 555450 283050 ) M1M2_PR ;
     - wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) + USE SIGNAL
-      + ROUTED met2 ( 387090 2380 0 ) ( * 24650 )
-      NEW met2 ( 614330 298860 ) ( 617800 * )
-      NEW met2 ( 617800 298860 ) ( * 300220 0 )
-      NEW met2 ( 614330 24650 ) ( * 298860 )
-      NEW met1 ( 387090 24650 ) ( 614330 * )
-      NEW met1 ( 387090 24650 ) M1M2_PR
-      NEW met1 ( 614330 24650 ) M1M2_PR ;
+      + ROUTED met2 ( 387090 2380 0 ) ( * 24310 )
+      NEW met2 ( 570630 283390 ) ( * 298860 )
+      NEW met2 ( 570630 298860 ) ( 570700 * )
+      NEW met2 ( 570700 298860 ) ( * 300220 0 )
+      NEW met1 ( 387090 24310 ) ( 541650 * )
+      NEW met1 ( 541650 283390 ) ( 570630 * )
+      NEW met2 ( 541650 24310 ) ( * 283390 )
+      NEW met1 ( 387090 24310 ) M1M2_PR
+      NEW met1 ( 570630 283390 ) M1M2_PR
+      NEW met1 ( 541650 24310 ) M1M2_PR
+      NEW met1 ( 541650 283390 ) M1M2_PR ;
     - wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) + USE SIGNAL
-      + ROUTED met2 ( 583050 32130 ) ( * 283390 )
-      NEW met2 ( 622610 283390 ) ( * 298860 )
-      NEW met2 ( 622600 298860 ) ( 622610 * )
-      NEW met2 ( 622600 298860 ) ( * 300220 0 )
-      NEW met1 ( 583050 283390 ) ( 622610 * )
-      NEW met2 ( 404570 2380 0 ) ( * 32130 )
-      NEW met1 ( 404570 32130 ) ( 583050 * )
-      NEW met1 ( 583050 32130 ) M1M2_PR
-      NEW met1 ( 583050 283390 ) M1M2_PR
-      NEW met1 ( 622610 283390 ) M1M2_PR
-      NEW met1 ( 404570 32130 ) M1M2_PR ;
+      + ROUTED met2 ( 404570 2380 0 ) ( * 16830 )
+      NEW met1 ( 404570 16830 ) ( 406870 * )
+      NEW met2 ( 572930 298860 ) ( 574600 * )
+      NEW met2 ( 574600 298860 ) ( * 300220 0 )
+      NEW met2 ( 406870 16830 ) ( * 58650 )
+      NEW met2 ( 572930 58650 ) ( * 298860 )
+      NEW met1 ( 406870 58650 ) ( 572930 * )
+      NEW met1 ( 404570 16830 ) M1M2_PR
+      NEW met1 ( 406870 16830 ) M1M2_PR
+      NEW met1 ( 406870 58650 ) M1M2_PR
+      NEW met1 ( 572930 58650 ) M1M2_PR ;
     - wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) + USE SIGNAL
-      + ROUTED met2 ( 67850 2380 0 ) ( * 18530 )
-      NEW met1 ( 67850 18530 ) ( 162150 * )
-      NEW met2 ( 536590 279990 ) ( * 298860 )
-      NEW met2 ( 536500 298860 ) ( 536590 * )
-      NEW met2 ( 536500 298860 ) ( * 300220 0 )
-      NEW met1 ( 162150 279990 ) ( 536590 * )
-      NEW met2 ( 162150 18530 ) ( * 279990 )
+      + ROUTED met2 ( 504300 298860 ) ( 504390 * )
+      NEW met2 ( 504300 298860 ) ( * 300220 0 )
+      NEW met2 ( 504390 273190 ) ( * 298860 )
+      NEW met2 ( 67850 2380 0 ) ( * 18530 )
+      NEW met1 ( 67850 18530 ) ( 175950 * )
+      NEW met2 ( 175950 18530 ) ( * 273190 )
+      NEW met1 ( 175950 273190 ) ( 504390 * )
+      NEW met1 ( 504390 273190 ) M1M2_PR
       NEW met1 ( 67850 18530 ) M1M2_PR
-      NEW met1 ( 162150 18530 ) M1M2_PR
-      NEW met1 ( 162150 279990 ) M1M2_PR
-      NEW met1 ( 536590 279990 ) M1M2_PR ;
+      NEW met1 ( 175950 18530 ) M1M2_PR
+      NEW met1 ( 175950 273190 ) M1M2_PR ;
     - wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) + USE SIGNAL
-      + ROUTED met2 ( 596850 24990 ) ( * 283050 )
-      NEW met2 ( 627210 283050 ) ( * 298860 )
-      NEW met2 ( 627210 298860 ) ( 627300 * )
-      NEW met2 ( 627300 298860 ) ( * 300220 0 )
-      NEW met1 ( 596850 283050 ) ( 627210 * )
-      NEW met2 ( 422510 2380 0 ) ( * 24990 )
-      NEW met1 ( 422510 24990 ) ( 596850 * )
-      NEW met1 ( 596850 24990 ) M1M2_PR
-      NEW met1 ( 596850 283050 ) M1M2_PR
-      NEW met1 ( 627210 283050 ) M1M2_PR
-      NEW met1 ( 422510 24990 ) M1M2_PR ;
+      + ROUTED met2 ( 575230 298860 ) ( 578500 * )
+      NEW met2 ( 578500 298860 ) ( * 300220 0 )
+      NEW met2 ( 573850 25330 ) ( * 227700 )
+      NEW met2 ( 573850 227700 ) ( 575230 * )
+      NEW met2 ( 575230 227700 ) ( * 298860 )
+      NEW met2 ( 422510 2380 0 ) ( * 25330 )
+      NEW met1 ( 422510 25330 ) ( 573850 * )
+      NEW met1 ( 573850 25330 ) M1M2_PR
+      NEW met1 ( 422510 25330 ) M1M2_PR ;
     - wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) + USE SIGNAL
-      + ROUTED met2 ( 628130 298860 ) ( 632100 * )
-      NEW met2 ( 632100 298860 ) ( * 300220 0 )
-      NEW met2 ( 628130 31790 ) ( * 298860 )
-      NEW met2 ( 439990 2380 0 ) ( * 31790 )
-      NEW met1 ( 439990 31790 ) ( 628130 * )
-      NEW met1 ( 628130 31790 ) M1M2_PR
-      NEW met1 ( 439990 31790 ) M1M2_PR ;
+      + ROUTED met2 ( 580290 298860 ) ( 582300 * )
+      NEW met2 ( 582300 298860 ) ( * 300220 0 )
+      NEW met2 ( 580290 237830 ) ( * 298860 )
+      NEW met2 ( 439990 2380 0 ) ( * 34500 )
+      NEW met2 ( 439990 34500 ) ( 441370 * )
+      NEW met2 ( 441370 34500 ) ( * 237830 )
+      NEW met1 ( 441370 237830 ) ( 580290 * )
+      NEW met1 ( 580290 237830 ) M1M2_PR
+      NEW met1 ( 441370 237830 ) M1M2_PR ;
     - wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) + USE SIGNAL
-      + ROUTED met2 ( 457930 2380 0 ) ( * 25670 )
-      NEW met1 ( 457930 25670 ) ( 631350 * )
-      NEW met1 ( 631350 288150 ) ( 636870 * )
-      NEW met2 ( 636870 288150 ) ( * 298860 )
-      NEW met2 ( 636870 298860 ) ( 636900 * )
-      NEW met2 ( 636900 298860 ) ( * 300220 0 )
-      NEW met2 ( 631350 25670 ) ( * 288150 )
-      NEW met1 ( 457930 25670 ) M1M2_PR
-      NEW met1 ( 631350 25670 ) M1M2_PR
-      NEW met1 ( 631350 288150 ) M1M2_PR
-      NEW met1 ( 636870 288150 ) M1M2_PR ;
+      + ROUTED met1 ( 579830 299030 ) ( 586200 * )
+      NEW met2 ( 586200 299030 ) ( * 300220 0 )
+      NEW met2 ( 579830 25670 ) ( * 299030 )
+      NEW met2 ( 457930 2380 0 ) ( * 25670 )
+      NEW met1 ( 457930 25670 ) ( 579830 * )
+      NEW met1 ( 579830 25670 ) M1M2_PR
+      NEW met1 ( 579830 299030 ) M1M2_PR
+      NEW met1 ( 586200 299030 ) M1M2_PR
+      NEW met1 ( 457930 25670 ) M1M2_PR ;
     - wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) + USE SIGNAL
-      + ROUTED met2 ( 475870 2380 0 ) ( * 44710 )
-      NEW met2 ( 641700 298860 ) ( 642390 * )
-      NEW met2 ( 641700 298860 ) ( * 300220 0 )
-      NEW met1 ( 475870 44710 ) ( 642390 * )
-      NEW met2 ( 642390 44710 ) ( * 298860 )
-      NEW met1 ( 475870 44710 ) M1M2_PR
-      NEW met1 ( 642390 44710 ) M1M2_PR ;
+      + ROUTED met2 ( 475870 2380 0 ) ( * 20910 )
+      NEW met1 ( 475870 20910 ) ( 493350 * )
+      NEW met2 ( 589950 288830 ) ( * 298860 )
+      NEW met2 ( 589950 298860 ) ( 590100 * )
+      NEW met2 ( 590100 298860 ) ( * 300220 0 )
+      NEW met2 ( 493350 20910 ) ( * 288830 )
+      NEW met1 ( 493350 288830 ) ( 589950 * )
+      NEW met1 ( 475870 20910 ) M1M2_PR
+      NEW met1 ( 493350 20910 ) M1M2_PR
+      NEW met1 ( 493350 288830 ) M1M2_PR
+      NEW met1 ( 589950 288830 ) M1M2_PR ;
     - wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) + USE SIGNAL
-      + ROUTED met2 ( 493350 2380 0 ) ( * 32470 )
-      NEW met1 ( 493350 32470 ) ( 641930 * )
-      NEW met1 ( 641930 288150 ) ( 646530 * )
-      NEW met2 ( 646530 288150 ) ( * 298860 )
-      NEW met2 ( 646500 298860 ) ( 646530 * )
-      NEW met2 ( 646500 298860 ) ( * 300220 0 )
-      NEW met2 ( 641930 32470 ) ( * 288150 )
-      NEW met1 ( 493350 32470 ) M1M2_PR
-      NEW met1 ( 641930 32470 ) M1M2_PR
-      NEW met1 ( 641930 288150 ) M1M2_PR
-      NEW met1 ( 646530 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 493350 2380 0 ) ( * 15980 )
+      NEW met2 ( 493350 15980 ) ( 493810 * )
+      NEW met2 ( 493810 15980 ) ( * 31790 )
+      NEW met2 ( 594090 298860 ) ( 594100 * )
+      NEW met2 ( 594100 298860 ) ( * 300220 0 )
+      NEW met2 ( 594090 31790 ) ( * 298860 )
+      NEW met1 ( 493810 31790 ) ( 594090 * )
+      NEW met1 ( 493810 31790 ) M1M2_PR
+      NEW met1 ( 594090 31790 ) M1M2_PR ;
     - wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) + USE SIGNAL
-      + ROUTED met2 ( 511290 2380 0 ) ( * 26010 )
-      NEW met1 ( 511290 26010 ) ( 648830 * )
-      NEW met2 ( 648830 298860 ) ( 651200 * )
-      NEW met2 ( 651200 298860 ) ( * 300220 0 )
-      NEW met2 ( 648830 26010 ) ( * 298860 )
-      NEW met1 ( 511290 26010 ) M1M2_PR
-      NEW met1 ( 648830 26010 ) M1M2_PR ;
+      + ROUTED met2 ( 511290 2380 0 ) ( * 27030 )
+      NEW met1 ( 593630 299030 ) ( 598000 * )
+      NEW met2 ( 598000 299030 ) ( * 300220 0 )
+      NEW met2 ( 593630 27030 ) ( * 299030 )
+      NEW met1 ( 511290 27030 ) ( 593630 * )
+      NEW met1 ( 511290 27030 ) M1M2_PR
+      NEW met1 ( 593630 27030 ) M1M2_PR
+      NEW met1 ( 593630 299030 ) M1M2_PR
+      NEW met1 ( 598000 299030 ) M1M2_PR ;
     - wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) + USE SIGNAL
-      + ROUTED met1 ( 624450 285090 ) ( 655730 * )
-      NEW met2 ( 655730 285090 ) ( * 298860 )
-      NEW met2 ( 655730 298860 ) ( 655900 * )
-      NEW met2 ( 655900 298860 ) ( * 300220 0 )
-      NEW met2 ( 528770 2380 0 ) ( * 38590 )
-      NEW met1 ( 528770 38590 ) ( 624450 * )
-      NEW met2 ( 624450 38590 ) ( * 285090 )
-      NEW met1 ( 624450 285090 ) M1M2_PR
-      NEW met1 ( 655730 285090 ) M1M2_PR
-      NEW met1 ( 528770 38590 ) M1M2_PR
-      NEW met1 ( 624450 38590 ) M1M2_PR ;
+      + ROUTED met1 ( 583050 288150 ) ( 601910 * )
+      NEW met2 ( 601910 288150 ) ( * 298860 )
+      NEW met2 ( 601900 298860 ) ( 601910 * )
+      NEW met2 ( 601900 298860 ) ( * 300220 0 )
+      NEW met2 ( 583050 30770 ) ( * 288150 )
+      NEW met2 ( 528770 2380 0 ) ( * 30770 )
+      NEW met1 ( 528770 30770 ) ( 583050 * )
+      NEW met1 ( 583050 30770 ) M1M2_PR
+      NEW met1 ( 583050 288150 ) M1M2_PR
+      NEW met1 ( 601910 288150 ) M1M2_PR
+      NEW met1 ( 528770 30770 ) M1M2_PR ;
     - wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) + USE SIGNAL
-      + ROUTED met2 ( 546710 2380 0 ) ( * 23970 )
-      NEW met1 ( 546710 23970 ) ( 617550 * )
-      NEW met1 ( 617550 289510 ) ( 660790 * )
-      NEW met2 ( 660790 289510 ) ( * 298860 )
-      NEW met2 ( 660700 298860 ) ( 660790 * )
-      NEW met2 ( 660700 298860 ) ( * 300220 0 )
-      NEW met2 ( 617550 23970 ) ( * 289510 )
-      NEW met1 ( 546710 23970 ) M1M2_PR
-      NEW met1 ( 617550 23970 ) M1M2_PR
-      NEW met1 ( 617550 289510 ) M1M2_PR
-      NEW met1 ( 660790 289510 ) M1M2_PR ;
+      + ROUTED met1 ( 576150 287470 ) ( 605590 * )
+      NEW met2 ( 605590 287470 ) ( * 298860 )
+      NEW met2 ( 605590 298860 ) ( 605800 * )
+      NEW met2 ( 605800 298860 ) ( * 300220 0 )
+      NEW met2 ( 576150 24310 ) ( * 287470 )
+      NEW met2 ( 546710 2380 0 ) ( * 24310 )
+      NEW met1 ( 546710 24310 ) ( 576150 * )
+      NEW met1 ( 576150 24310 ) M1M2_PR
+      NEW met1 ( 576150 287470 ) M1M2_PR
+      NEW met1 ( 605590 287470 ) M1M2_PR
+      NEW met1 ( 546710 24310 ) M1M2_PR ;
     - wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) + USE SIGNAL
-      + ROUTED met2 ( 662630 298860 ) ( 665500 * )
-      NEW met2 ( 665500 298860 ) ( * 300220 0 )
-      NEW met2 ( 662630 25330 ) ( * 298860 )
-      NEW met2 ( 564190 2380 0 ) ( * 25330 )
-      NEW met1 ( 564190 25330 ) ( 662630 * )
-      NEW met1 ( 662630 25330 ) M1M2_PR
-      NEW met1 ( 564190 25330 ) M1M2_PR ;
+      + ROUTED met2 ( 607430 298860 ) ( 609700 * )
+      NEW met2 ( 609700 298860 ) ( * 300220 0 )
+      NEW met2 ( 607430 38250 ) ( * 298860 )
+      NEW met2 ( 564190 2380 0 ) ( * 38250 )
+      NEW met1 ( 564190 38250 ) ( 607430 * )
+      NEW met1 ( 607430 38250 ) M1M2_PR
+      NEW met1 ( 564190 38250 ) M1M2_PR ;
     - wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) + USE SIGNAL
-      + ROUTED met2 ( 582130 2380 0 ) ( * 14450 )
-      NEW met1 ( 582130 14450 ) ( 586270 * )
-      NEW met2 ( 669990 298860 ) ( 670300 * )
-      NEW met2 ( 670300 298860 ) ( * 300220 0 )
-      NEW met2 ( 586270 14450 ) ( * 58650 )
-      NEW met2 ( 669990 58650 ) ( * 298860 )
-      NEW met1 ( 586270 58650 ) ( 669990 * )
-      NEW met1 ( 582130 14450 ) M1M2_PR
-      NEW met1 ( 586270 14450 ) M1M2_PR
-      NEW met1 ( 586270 58650 ) M1M2_PR
-      NEW met1 ( 669990 58650 ) M1M2_PR ;
+      + ROUTED met2 ( 582130 2380 0 ) ( * 20910 )
+      NEW met1 ( 582130 20910 ) ( 608350 * )
+      NEW met2 ( 610190 298860 ) ( 613500 * )
+      NEW met2 ( 613500 298860 ) ( * 300220 0 )
+      NEW met2 ( 608350 20910 ) ( * 227700 )
+      NEW met2 ( 608350 227700 ) ( 610190 * )
+      NEW met2 ( 610190 227700 ) ( * 298860 )
+      NEW met1 ( 582130 20910 ) M1M2_PR
+      NEW met1 ( 608350 20910 ) M1M2_PR ;
     - wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) + USE SIGNAL
       + ROUTED met2 ( 91310 2380 0 ) ( * 30770 )
-      NEW met2 ( 538430 298860 ) ( 541300 * )
-      NEW met2 ( 541300 298860 ) ( * 300220 0 )
-      NEW met2 ( 538430 30770 ) ( * 298860 )
-      NEW met1 ( 91310 30770 ) ( 538430 * )
+      NEW met1 ( 503930 299030 ) ( 508200 * )
+      NEW met2 ( 508200 299030 ) ( * 300220 0 )
+      NEW met2 ( 503930 30770 ) ( * 299030 )
+      NEW met1 ( 91310 30770 ) ( 503930 * )
       NEW met1 ( 91310 30770 ) M1M2_PR
-      NEW met1 ( 538430 30770 ) M1M2_PR ;
+      NEW met1 ( 503930 30770 ) M1M2_PR
+      NEW met1 ( 503930 299030 ) M1M2_PR
+      NEW met1 ( 508200 299030 ) M1M2_PR ;
     - wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) + USE SIGNAL
-      + ROUTED met2 ( 599610 2380 0 ) ( * 31110 )
-      NEW met1 ( 669530 299030 ) ( 675100 * )
-      NEW met2 ( 675100 299030 ) ( * 300220 0 )
-      NEW met2 ( 669530 31110 ) ( * 299030 )
-      NEW met1 ( 599610 31110 ) ( 669530 * )
-      NEW met1 ( 599610 31110 ) M1M2_PR
-      NEW met1 ( 669530 31110 ) M1M2_PR
-      NEW met1 ( 669530 299030 ) M1M2_PR
-      NEW met1 ( 675100 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 599610 2380 0 ) ( * 22950 )
+      NEW met1 ( 599610 22950 ) ( 614330 * )
+      NEW met2 ( 614330 298860 ) ( 617400 * )
+      NEW met2 ( 617400 298860 ) ( * 300220 0 )
+      NEW met2 ( 614330 22950 ) ( * 298860 )
+      NEW met1 ( 599610 22950 ) M1M2_PR
+      NEW met1 ( 614330 22950 ) M1M2_PR ;
     - wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) + USE SIGNAL
-      + ROUTED met2 ( 679650 285770 ) ( * 298860 )
+      + ROUTED met2 ( 617550 2380 0 ) ( * 17510 )
+      NEW met1 ( 617550 17510 ) ( 620770 * )
+      NEW met2 ( 621230 298860 ) ( 621400 * )
+      NEW met2 ( 621400 298860 ) ( * 300220 0 )
+      NEW met2 ( 620770 241060 ) ( 621230 * )
+      NEW met2 ( 620770 17510 ) ( * 241060 )
+      NEW met2 ( 621230 241060 ) ( * 298860 )
+      NEW met1 ( 617550 17510 ) M1M2_PR
+      NEW met1 ( 620770 17510 ) M1M2_PR ;
+    - wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) + USE SIGNAL
+      + ROUTED met2 ( 115230 2380 0 ) ( * 18870 )
+      NEW met2 ( 511750 279990 ) ( * 298860 )
+      NEW met2 ( 511750 298860 ) ( 512000 * )
+      NEW met2 ( 512000 298860 ) ( * 300220 0 )
+      NEW met2 ( 196650 18870 ) ( * 279990 )
+      NEW met1 ( 115230 18870 ) ( 196650 * )
+      NEW met1 ( 196650 279990 ) ( 511750 * )
+      NEW met1 ( 115230 18870 ) M1M2_PR
+      NEW met1 ( 196650 18870 ) M1M2_PR
+      NEW met1 ( 196650 279990 ) M1M2_PR
+      NEW met1 ( 511750 279990 ) M1M2_PR ;
+    - wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) + USE SIGNAL
+      + ROUTED li1 ( 472650 287810 ) ( * 289510 )
+      NEW met1 ( 472650 289510 ) ( 515890 * )
+      NEW met2 ( 515890 289510 ) ( * 298860 )
+      NEW met2 ( 515890 298860 ) ( 516000 * )
+      NEW met2 ( 516000 298860 ) ( * 300220 0 )
+      NEW met2 ( 217350 24650 ) ( * 287810 )
+      NEW met2 ( 138690 2380 0 ) ( * 24650 )
+      NEW met1 ( 138690 24650 ) ( 217350 * )
+      NEW met1 ( 217350 287810 ) ( 472650 * )
+      NEW met1 ( 217350 24650 ) M1M2_PR
+      NEW met1 ( 217350 287810 ) M1M2_PR
+      NEW li1 ( 472650 287810 ) L1M1_PR_MR
+      NEW li1 ( 472650 289510 ) L1M1_PR_MR
+      NEW met1 ( 515890 289510 ) M1M2_PR
+      NEW met1 ( 138690 24650 ) M1M2_PR ;
+    - wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) + USE SIGNAL
+      + ROUTED met2 ( 182850 15470 ) ( * 272850 )
+      NEW met2 ( 156630 2380 0 ) ( * 15470 )
+      NEW met1 ( 156630 15470 ) ( 182850 * )
+      NEW met2 ( 518190 298860 ) ( 519900 * )
+      NEW met2 ( 519900 298860 ) ( * 300220 0 )
+      NEW met1 ( 182850 272850 ) ( 518190 * )
+      NEW met2 ( 518190 272850 ) ( * 298860 )
+      NEW met1 ( 182850 15470 ) M1M2_PR
+      NEW met1 ( 182850 272850 ) M1M2_PR
+      NEW met1 ( 156630 15470 ) M1M2_PR
+      NEW met1 ( 518190 272850 ) M1M2_PR ;
+    - wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) + USE SIGNAL
+      + ROUTED met2 ( 174110 2380 0 ) ( * 31110 )
+      NEW met1 ( 174110 31110 ) ( 517730 * )
+      NEW met1 ( 517730 299030 ) ( 523800 * )
+      NEW met2 ( 523800 299030 ) ( * 300220 0 )
+      NEW met2 ( 517730 31110 ) ( * 299030 )
+      NEW met1 ( 174110 31110 ) M1M2_PR
+      NEW met1 ( 517730 31110 ) M1M2_PR
+      NEW met1 ( 517730 299030 ) M1M2_PR
+      NEW met1 ( 523800 299030 ) M1M2_PR ;
+    - wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) + USE SIGNAL
+      + ROUTED met2 ( 192050 2380 0 ) ( * 19890 )
+      NEW met1 ( 192050 19890 ) ( 238050 * )
+      NEW met2 ( 524630 298860 ) ( 527700 * )
+      NEW met2 ( 527700 298860 ) ( * 300220 0 )
+      NEW met2 ( 238050 19890 ) ( * 273530 )
+      NEW met1 ( 238050 273530 ) ( 524630 * )
+      NEW met2 ( 524630 273530 ) ( * 298860 )
+      NEW met1 ( 192050 19890 ) M1M2_PR
+      NEW met1 ( 238050 19890 ) M1M2_PR
+      NEW met1 ( 238050 273530 ) M1M2_PR
+      NEW met1 ( 524630 273530 ) M1M2_PR ;
+    - wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) + USE SIGNAL
+      + ROUTED met2 ( 209530 2380 0 ) ( * 19550 )
+      NEW met1 ( 209530 19550 ) ( 258750 * )
+      NEW met2 ( 531600 298860 ) ( 531990 * )
+      NEW met2 ( 531600 298860 ) ( * 300220 0 )
+      NEW met2 ( 258750 19550 ) ( * 273870 )
+      NEW met1 ( 258750 273870 ) ( 531990 * )
+      NEW met2 ( 531990 273870 ) ( * 298860 )
+      NEW met1 ( 209530 19550 ) M1M2_PR
+      NEW met1 ( 258750 19550 ) M1M2_PR
+      NEW met1 ( 258750 273870 ) M1M2_PR
+      NEW met1 ( 531990 273870 ) M1M2_PR ;
+    - wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) + USE SIGNAL
+      + ROUTED met2 ( 227470 2380 0 ) ( * 16660 )
+      NEW met2 ( 227930 16660 ) ( * 32470 )
+      NEW met2 ( 227470 16660 ) ( 227930 * )
+      NEW met1 ( 227930 32470 ) ( 531530 * )
+      NEW met1 ( 531530 288150 ) ( 535210 * )
+      NEW met2 ( 535210 288150 ) ( * 298860 )
+      NEW met2 ( 535210 298860 ) ( 535500 * )
+      NEW met2 ( 535500 298860 ) ( * 300220 0 )
+      NEW met2 ( 531530 32470 ) ( * 288150 )
+      NEW met1 ( 227930 32470 ) M1M2_PR
+      NEW met1 ( 531530 32470 ) M1M2_PR
+      NEW met1 ( 531530 288150 ) M1M2_PR
+      NEW met1 ( 535210 288150 ) M1M2_PR ;
+    - wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL
+      + ROUTED met2 ( 49910 2380 0 ) ( * 17510 )
+      NEW met1 ( 49910 17510 ) ( 79350 * )
+      NEW met2 ( 621690 298860 ) ( 625300 * )
+      NEW met2 ( 625300 298860 ) ( * 300220 0 )
+      NEW met2 ( 79350 17510 ) ( * 265370 )
+      NEW met1 ( 79350 265370 ) ( 621690 * )
+      NEW met2 ( 621690 265370 ) ( * 298860 )
+      NEW met1 ( 49910 17510 ) M1M2_PR
+      NEW met1 ( 79350 17510 ) M1M2_PR
+      NEW met1 ( 79350 265370 ) M1M2_PR
+      NEW met1 ( 621690 265370 ) M1M2_PR ;
+    - wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL
+      + ROUTED met2 ( 663090 298860 ) ( 664300 * )
+      NEW met2 ( 664300 298860 ) ( * 300220 0 )
+      NEW met2 ( 663090 272510 ) ( * 298860 )
+      NEW met2 ( 250930 2380 0 ) ( * 15810 )
+      NEW met1 ( 250930 15810 ) ( 272550 * )
+      NEW met2 ( 272550 15810 ) ( * 272510 )
+      NEW met1 ( 272550 272510 ) ( 663090 * )
+      NEW met1 ( 663090 272510 ) M1M2_PR
+      NEW met1 ( 250930 15810 ) M1M2_PR
+      NEW met1 ( 272550 15810 ) M1M2_PR
+      NEW met1 ( 272550 272510 ) M1M2_PR ;
+    - wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL
+      + ROUTED met1 ( 662630 299030 ) ( 668200 * )
+      NEW met2 ( 668200 299030 ) ( * 300220 0 )
+      NEW met2 ( 662630 37910 ) ( * 299030 )
+      NEW met2 ( 268870 2380 0 ) ( * 37910 )
+      NEW met1 ( 268870 37910 ) ( 662630 * )
+      NEW met1 ( 662630 299030 ) M1M2_PR
+      NEW met1 ( 668200 299030 ) M1M2_PR
+      NEW met1 ( 662630 37910 ) M1M2_PR
+      NEW met1 ( 268870 37910 ) M1M2_PR ;
+    - wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL
+      + ROUTED met2 ( 286350 2380 0 ) ( * 15980 )
+      NEW met2 ( 286350 15980 ) ( 286810 * )
+      NEW met2 ( 286810 15980 ) ( * 31450 )
+      NEW met2 ( 671830 287470 ) ( * 298860 )
+      NEW met2 ( 671830 298860 ) ( 672100 * )
+      NEW met2 ( 672100 298860 ) ( * 300220 0 )
+      NEW met1 ( 286810 31450 ) ( 624450 * )
+      NEW met1 ( 624450 287470 ) ( 671830 * )
+      NEW met2 ( 624450 31450 ) ( * 287470 )
+      NEW met1 ( 286810 31450 ) M1M2_PR
+      NEW met1 ( 671830 287470 ) M1M2_PR
+      NEW met1 ( 624450 31450 ) M1M2_PR
+      NEW met1 ( 624450 287470 ) M1M2_PR ;
+    - wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL
+      + ROUTED met2 ( 304290 2380 0 ) ( * 19550 )
+      NEW met1 ( 669990 299030 ) ( 676000 * )
+      NEW met2 ( 676000 299030 ) ( * 300220 0 )
+      NEW met2 ( 669990 51510 ) ( * 299030 )
+      NEW met1 ( 304290 19550 ) ( 342010 * )
+      NEW met2 ( 342010 19550 ) ( * 51510 )
+      NEW met1 ( 342010 51510 ) ( 669990 * )
+      NEW met1 ( 304290 19550 ) M1M2_PR
+      NEW met1 ( 669990 299030 ) M1M2_PR
+      NEW met1 ( 676000 299030 ) M1M2_PR
+      NEW met1 ( 669990 51510 ) M1M2_PR
+      NEW met1 ( 342010 19550 ) M1M2_PR
+      NEW met1 ( 342010 51510 ) M1M2_PR ;
+    - wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL
+      + ROUTED met2 ( 321770 2380 0 ) ( * 32130 )
+      NEW met2 ( 679650 287130 ) ( * 298860 )
       NEW met2 ( 679650 298860 ) ( 679900 * )
       NEW met2 ( 679900 298860 ) ( * 300220 0 )
-      NEW met2 ( 617550 2380 0 ) ( * 17340 )
-      NEW met2 ( 617550 17340 ) ( 618010 * )
-      NEW met1 ( 620770 87210 ) ( 652050 * )
-      NEW met1 ( 652050 285770 ) ( 679650 * )
-      NEW met2 ( 618010 17340 ) ( * 34500 )
-      NEW met2 ( 618010 34500 ) ( 620770 * )
-      NEW met2 ( 620770 34500 ) ( * 87210 )
-      NEW met2 ( 652050 87210 ) ( * 285770 )
-      NEW met1 ( 679650 285770 ) M1M2_PR
-      NEW met1 ( 620770 87210 ) M1M2_PR
-      NEW met1 ( 652050 87210 ) M1M2_PR
-      NEW met1 ( 652050 285770 ) M1M2_PR ;
-    - wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) + USE SIGNAL
-      + ROUTED met2 ( 115230 2380 0 ) ( * 34500 )
-      NEW met2 ( 115230 34500 ) ( 117070 * )
-      NEW met2 ( 117070 34500 ) ( * 279650 )
-      NEW met2 ( 544870 279650 ) ( * 283220 )
-      NEW met2 ( 544870 283220 ) ( 545330 * )
-      NEW met2 ( 545330 283220 ) ( * 298860 )
-      NEW met2 ( 545330 298860 ) ( 546100 * )
-      NEW met2 ( 546100 298860 ) ( * 300220 0 )
-      NEW met1 ( 117070 279650 ) ( 544870 * )
-      NEW met1 ( 117070 279650 ) M1M2_PR
-      NEW met1 ( 544870 279650 ) M1M2_PR ;
-    - wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) + USE SIGNAL
-      + ROUTED met2 ( 138690 2380 0 ) ( * 15130 )
-      NEW met1 ( 138690 15130 ) ( 175950 * )
-      NEW met2 ( 550850 280330 ) ( * 298860 )
-      NEW met2 ( 550850 298860 ) ( 550900 * )
-      NEW met2 ( 550900 298860 ) ( * 300220 0 )
-      NEW met1 ( 175950 280330 ) ( 550850 * )
-      NEW met2 ( 175950 15130 ) ( * 280330 )
-      NEW met1 ( 138690 15130 ) M1M2_PR
-      NEW met1 ( 175950 15130 ) M1M2_PR
-      NEW met1 ( 175950 280330 ) M1M2_PR
-      NEW met1 ( 550850 280330 ) M1M2_PR ;
-    - wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) + USE SIGNAL
-      + ROUTED met2 ( 196650 19210 ) ( * 92990 )
-      NEW met2 ( 156630 2380 0 ) ( * 19210 )
-      NEW met1 ( 156630 19210 ) ( 196650 * )
-      NEW met1 ( 196650 92990 ) ( 552230 * )
-      NEW met2 ( 552230 298860 ) ( 555600 * )
-      NEW met2 ( 555600 298860 ) ( * 300220 0 )
-      NEW met2 ( 552230 92990 ) ( * 298860 )
-      NEW met1 ( 196650 19210 ) M1M2_PR
-      NEW met1 ( 196650 92990 ) M1M2_PR
-      NEW met1 ( 156630 19210 ) M1M2_PR
-      NEW met1 ( 552230 92990 ) M1M2_PR ;
-    - wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) + USE SIGNAL
-      + ROUTED met2 ( 217350 19550 ) ( * 273190 )
-      NEW met2 ( 174110 2380 0 ) ( * 19550 )
-      NEW met1 ( 174110 19550 ) ( 217350 * )
-      NEW met2 ( 559590 298860 ) ( 560400 * )
-      NEW met2 ( 560400 298860 ) ( * 300220 0 )
-      NEW met1 ( 217350 273190 ) ( 559590 * )
-      NEW met2 ( 559590 273190 ) ( * 298860 )
-      NEW met1 ( 217350 19550 ) M1M2_PR
-      NEW met1 ( 217350 273190 ) M1M2_PR
-      NEW met1 ( 174110 19550 ) M1M2_PR
-      NEW met1 ( 559590 273190 ) M1M2_PR ;
-    - wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) + USE SIGNAL
-      + ROUTED met2 ( 192050 2380 0 ) ( * 15980 )
-      NEW met2 ( 192050 15980 ) ( 193430 * )
-      NEW met2 ( 193430 15980 ) ( * 31110 )
-      NEW met1 ( 559130 299030 ) ( 565100 * )
-      NEW met2 ( 565100 299030 ) ( * 300220 0 )
-      NEW met2 ( 559130 31110 ) ( * 299030 )
-      NEW met1 ( 193430 31110 ) ( 559130 * )
-      NEW met1 ( 193430 31110 ) M1M2_PR
-      NEW met1 ( 559130 31110 ) M1M2_PR
-      NEW met1 ( 559130 299030 ) M1M2_PR
-      NEW met1 ( 565100 299030 ) M1M2_PR ;
-    - wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) + USE SIGNAL
-      + ROUTED met2 ( 209530 2380 0 ) ( * 15470 )
-      NEW met2 ( 569710 280670 ) ( * 298860 )
-      NEW met2 ( 569710 298860 ) ( 569900 * )
-      NEW met2 ( 569900 298860 ) ( * 300220 0 )
-      NEW met1 ( 209530 15470 ) ( 238050 * )
-      NEW met1 ( 238050 280670 ) ( 569710 * )
-      NEW met2 ( 238050 15470 ) ( * 280670 )
-      NEW met1 ( 209530 15470 ) M1M2_PR
-      NEW met1 ( 569710 280670 ) M1M2_PR
-      NEW met1 ( 238050 15470 ) M1M2_PR
-      NEW met1 ( 238050 280670 ) M1M2_PR ;
-    - wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) + USE SIGNAL
-      + ROUTED met2 ( 227470 2380 0 ) ( * 19380 )
-      NEW met2 ( 573390 298860 ) ( 574700 * )
-      NEW met2 ( 574700 298860 ) ( * 300220 0 )
-      NEW met2 ( 573390 38250 ) ( * 298860 )
-      NEW met2 ( 227470 19380 ) ( 227930 * )
-      NEW met2 ( 227930 19380 ) ( * 38250 )
-      NEW met1 ( 227930 38250 ) ( 573390 * )
-      NEW met1 ( 573390 38250 ) M1M2_PR
-      NEW met1 ( 227930 38250 ) M1M2_PR ;
-    - wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL
-      + ROUTED met2 ( 683330 298860 ) ( 684600 * )
-      NEW met2 ( 684600 298860 ) ( * 300220 0 )
-      NEW met2 ( 683330 272510 ) ( * 298860 )
-      NEW met2 ( 49910 2380 0 ) ( * 15810 )
-      NEW met1 ( 49910 15810 ) ( 72450 * )
-      NEW met2 ( 72450 15810 ) ( * 272510 )
-      NEW met1 ( 72450 272510 ) ( 683330 * )
-      NEW met1 ( 683330 272510 ) M1M2_PR
-      NEW met1 ( 49910 15810 ) M1M2_PR
-      NEW met1 ( 72450 15810 ) M1M2_PR
-      NEW met1 ( 72450 272510 ) M1M2_PR ;
-    - wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL
-      + ROUTED met2 ( 250930 2380 0 ) ( * 16830 )
-      NEW met1 ( 250930 16830 ) ( 258750 * )
-      NEW met2 ( 732090 298860 ) ( 732400 * )
-      NEW met2 ( 732400 298860 ) ( * 300220 0 )
-      NEW met2 ( 258750 16830 ) ( * 272850 )
-      NEW met1 ( 258750 272850 ) ( 732090 * )
-      NEW met2 ( 732090 272850 ) ( * 298860 )
-      NEW met1 ( 250930 16830 ) M1M2_PR
-      NEW met1 ( 258750 16830 ) M1M2_PR
-      NEW met1 ( 258750 272850 ) M1M2_PR
-      NEW met1 ( 732090 272850 ) M1M2_PR ;
-    - wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL
-      + ROUTED met2 ( 268870 2380 0 ) ( * 17340 )
-      NEW met2 ( 268410 17340 ) ( 268870 * )
-      NEW met1 ( 731630 299030 ) ( 737200 * )
-      NEW met2 ( 737200 299030 ) ( * 300220 0 )
-      NEW met2 ( 268410 17340 ) ( * 37910 )
-      NEW met2 ( 731630 37910 ) ( * 299030 )
-      NEW met1 ( 268410 37910 ) ( 731630 * )
-      NEW met1 ( 731630 299030 ) M1M2_PR
-      NEW met1 ( 737200 299030 ) M1M2_PR
-      NEW met1 ( 268410 37910 ) M1M2_PR
-      NEW met1 ( 731630 37910 ) M1M2_PR ;
-    - wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL
-      + ROUTED met2 ( 286350 2380 0 ) ( * 15130 )
-      NEW met1 ( 286350 15130 ) ( 307050 * )
-      NEW met2 ( 307050 15130 ) ( * 189550 )
-      NEW met1 ( 307050 189550 ) ( 738530 * )
-      NEW met2 ( 738530 298860 ) ( 741900 * )
-      NEW met2 ( 741900 298860 ) ( * 300220 0 )
-      NEW met2 ( 738530 189550 ) ( * 298860 )
-      NEW met1 ( 286350 15130 ) M1M2_PR
-      NEW met1 ( 307050 15130 ) M1M2_PR
-      NEW met1 ( 307050 189550 ) M1M2_PR
-      NEW met1 ( 738530 189550 ) M1M2_PR ;
-    - wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL
-      + ROUTED met2 ( 304290 2380 0 ) ( * 19890 )
-      NEW met1 ( 304290 19890 ) ( 309810 * )
-      NEW met2 ( 309810 19890 ) ( * 34500 )
-      NEW met2 ( 309810 34500 ) ( 310270 * )
-      NEW met2 ( 310270 34500 ) ( * 279310 )
-      NEW met2 ( 744970 279310 ) ( * 283220 )
-      NEW met2 ( 744970 283220 ) ( 745430 * )
-      NEW met2 ( 745430 283220 ) ( * 299540 )
-      NEW met2 ( 745430 299540 ) ( 746600 * )
-      NEW met2 ( 746600 299540 ) ( * 300220 0 )
-      NEW met1 ( 310270 279310 ) ( 744970 * )
-      NEW met1 ( 304290 19890 ) M1M2_PR
-      NEW met1 ( 309810 19890 ) M1M2_PR
-      NEW met1 ( 310270 279310 ) M1M2_PR
-      NEW met1 ( 744970 279310 ) M1M2_PR ;
-    - wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL
-      + ROUTED met2 ( 321770 2380 0 ) ( * 19890 )
-      NEW met2 ( 745890 298860 ) ( 751400 * )
-      NEW met2 ( 751400 298860 ) ( * 300220 0 )
-      NEW met2 ( 745890 19890 ) ( * 298860 )
-      NEW met1 ( 321770 19890 ) ( 745890 * )
-      NEW met1 ( 321770 19890 ) M1M2_PR
-      NEW met1 ( 745890 19890 ) M1M2_PR ;
+      NEW met1 ( 321770 32130 ) ( 645150 * )
+      NEW met1 ( 645150 287130 ) ( 679650 * )
+      NEW met2 ( 645150 32130 ) ( * 287130 )
+      NEW met1 ( 321770 32130 ) M1M2_PR
+      NEW met1 ( 679650 287130 ) M1M2_PR
+      NEW met1 ( 645150 32130 ) M1M2_PR
+      NEW met1 ( 645150 287130 ) M1M2_PR ;
     - wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) + USE SIGNAL
-      + ROUTED li1 ( 374670 16830 ) ( * 20230 )
-      NEW met2 ( 339710 2380 0 ) ( * 16830 )
-      NEW met1 ( 339710 16830 ) ( 374670 * )
-      NEW met2 ( 752330 298860 ) ( 756200 * )
-      NEW met2 ( 756200 298860 ) ( * 300220 0 )
-      NEW met2 ( 752330 20230 ) ( * 298860 )
-      NEW met1 ( 374670 20230 ) ( 752330 * )
-      NEW li1 ( 374670 16830 ) L1M1_PR_MR
-      NEW li1 ( 374670 20230 ) L1M1_PR_MR
-      NEW met1 ( 339710 16830 ) M1M2_PR
-      NEW met1 ( 752330 20230 ) M1M2_PR ;
+      + ROUTED met2 ( 683790 298860 ) ( 683800 * )
+      NEW met2 ( 683800 298860 ) ( * 300220 0 )
+      NEW met2 ( 683790 127670 ) ( * 298860 )
+      NEW met2 ( 339710 2380 0 ) ( * 15130 )
+      NEW met1 ( 339710 15130 ) ( 355810 * )
+      NEW met1 ( 355810 127670 ) ( 683790 * )
+      NEW met2 ( 355810 15130 ) ( * 127670 )
+      NEW met1 ( 683790 127670 ) M1M2_PR
+      NEW met1 ( 339710 15130 ) M1M2_PR
+      NEW met1 ( 355810 15130 ) M1M2_PR
+      NEW met1 ( 355810 127670 ) M1M2_PR ;
     - wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) + USE SIGNAL
-      + ROUTED met2 ( 759690 298860 ) ( 761000 * )
-      NEW met2 ( 761000 298860 ) ( * 300220 0 )
-      NEW met2 ( 759690 20570 ) ( * 298860 )
+      + ROUTED met1 ( 683330 299030 ) ( 687700 * )
+      NEW met2 ( 687700 299030 ) ( * 300220 0 )
+      NEW met2 ( 382950 20570 ) ( * 120530 )
+      NEW met2 ( 683330 120530 ) ( * 299030 )
       NEW met2 ( 357650 2380 0 ) ( * 20570 )
-      NEW met1 ( 357650 20570 ) ( 759690 * )
-      NEW met1 ( 759690 20570 ) M1M2_PR
+      NEW met1 ( 357650 20570 ) ( 382950 * )
+      NEW met1 ( 382950 120530 ) ( 683330 * )
+      NEW met1 ( 382950 20570 ) M1M2_PR
+      NEW met1 ( 382950 120530 ) M1M2_PR
+      NEW met1 ( 683330 120530 ) M1M2_PR
+      NEW met1 ( 683330 299030 ) M1M2_PR
+      NEW met1 ( 687700 299030 ) M1M2_PR
       NEW met1 ( 357650 20570 ) M1M2_PR ;
     - wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) + USE SIGNAL
       + ROUTED met2 ( 375130 2380 0 ) ( * 16830 )
       NEW met1 ( 375130 16830 ) ( 379270 * )
-      NEW met2 ( 765670 287130 ) ( * 298860 )
-      NEW met2 ( 765670 298860 ) ( 765800 * )
-      NEW met2 ( 765800 298860 ) ( * 300220 0 )
-      NEW met2 ( 379270 16830 ) ( * 287130 )
-      NEW met1 ( 379270 287130 ) ( 765670 * )
+      NEW met1 ( 672750 288150 ) ( 691610 * )
+      NEW met2 ( 691610 288150 ) ( * 298860 )
+      NEW met2 ( 691600 298860 ) ( 691610 * )
+      NEW met2 ( 691600 298860 ) ( * 300220 0 )
+      NEW met2 ( 379270 16830 ) ( * 99790 )
+      NEW met2 ( 672750 99790 ) ( * 288150 )
+      NEW met1 ( 379270 99790 ) ( 672750 * )
       NEW met1 ( 375130 16830 ) M1M2_PR
       NEW met1 ( 379270 16830 ) M1M2_PR
-      NEW met1 ( 379270 287130 ) M1M2_PR
-      NEW met1 ( 765670 287130 ) M1M2_PR ;
+      NEW met1 ( 379270 99790 ) M1M2_PR
+      NEW met1 ( 672750 99790 ) M1M2_PR
+      NEW met1 ( 672750 288150 ) M1M2_PR
+      NEW met1 ( 691610 288150 ) M1M2_PR ;
     - wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) + USE SIGNAL
-      + ROUTED met2 ( 393070 2380 0 ) ( * 16150 )
-      NEW met2 ( 766130 298860 ) ( 770500 * )
-      NEW met2 ( 770500 298860 ) ( * 300220 0 )
-      NEW met2 ( 766130 16830 ) ( * 298860 )
-      NEW met1 ( 434470 16150 ) ( * 16830 )
-      NEW met1 ( 393070 16150 ) ( 434470 * )
-      NEW met1 ( 434470 16830 ) ( 766130 * )
-      NEW met1 ( 393070 16150 ) M1M2_PR
-      NEW met1 ( 766130 16830 ) M1M2_PR ;
+      + ROUTED met2 ( 393070 2380 0 ) ( * 20570 )
+      NEW met2 ( 695290 280330 ) ( * 298860 )
+      NEW met2 ( 695290 298860 ) ( 695500 * )
+      NEW met2 ( 695500 298860 ) ( * 300220 0 )
+      NEW met1 ( 393070 20570 ) ( 445050 * )
+      NEW met1 ( 445050 280330 ) ( 695290 * )
+      NEW met2 ( 445050 20570 ) ( * 280330 )
+      NEW met1 ( 393070 20570 ) M1M2_PR
+      NEW met1 ( 695290 280330 ) M1M2_PR
+      NEW met1 ( 445050 20570 ) M1M2_PR
+      NEW met1 ( 445050 280330 ) M1M2_PR ;
     - wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) + USE SIGNAL
-      + ROUTED met1 ( 764750 16150 ) ( * 16490 )
-      NEW met1 ( 764750 16150 ) ( 773490 * )
-      NEW met2 ( 773490 298860 ) ( 775300 * )
-      NEW met2 ( 775300 298860 ) ( * 300220 0 )
-      NEW met2 ( 773490 16150 ) ( * 298860 )
-      NEW met1 ( 410550 15810 ) ( 434930 * )
-      NEW met1 ( 434930 15810 ) ( * 16490 )
-      NEW met2 ( 410550 2380 0 ) ( * 15810 )
-      NEW met1 ( 434930 16490 ) ( 764750 * )
-      NEW met1 ( 773490 16150 ) M1M2_PR
-      NEW met1 ( 410550 15810 ) M1M2_PR ;
+      + ROUTED met2 ( 410550 2380 0 ) ( * 19550 )
+      NEW met2 ( 697590 298860 ) ( 699500 * )
+      NEW met2 ( 699500 298860 ) ( * 300220 0 )
+      NEW met2 ( 697590 19550 ) ( * 298860 )
+      NEW met1 ( 410550 19550 ) ( 697590 * )
+      NEW met1 ( 410550 19550 ) M1M2_PR
+      NEW met1 ( 697590 19550 ) M1M2_PR ;
     - wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL
-      + ROUTED met2 ( 685170 298860 ) ( 689400 * )
-      NEW met2 ( 689400 298860 ) ( * 300220 0 )
-      NEW met2 ( 684250 17170 ) ( * 227700 )
-      NEW met2 ( 684250 227700 ) ( 685170 * )
-      NEW met2 ( 685170 227700 ) ( * 298860 )
-      NEW met2 ( 73830 2380 0 ) ( * 17170 )
-      NEW met1 ( 73830 17170 ) ( 684250 * )
-      NEW met1 ( 684250 17170 ) M1M2_PR
-      NEW met1 ( 73830 17170 ) M1M2_PR ;
+      + ROUTED met2 ( 73830 2380 0 ) ( * 18700 )
+      NEW met3 ( 73830 18700 ) ( 628590 * )
+      NEW met2 ( 628590 298860 ) ( 629200 * )
+      NEW met2 ( 629200 298860 ) ( * 300220 0 )
+      NEW met2 ( 628590 18700 ) ( * 298860 )
+      NEW met2 ( 73830 18700 ) M2M3_PR_M
+      NEW met2 ( 628590 18700 ) M2M3_PR_M ;
     - wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL
-      + ROUTED met2 ( 779930 287470 ) ( * 298860 )
-      NEW met2 ( 779930 298860 ) ( 780100 * )
-      NEW met2 ( 780100 298860 ) ( * 300220 0 )
-      NEW met1 ( 434010 285770 ) ( 456090 * )
-      NEW li1 ( 456090 285770 ) ( * 287470 )
-      NEW met1 ( 456090 287470 ) ( 779930 * )
-      NEW met1 ( 428490 16830 ) ( 434010 * )
-      NEW met2 ( 428490 2380 0 ) ( * 16830 )
-      NEW met2 ( 434010 16830 ) ( * 285770 )
-      NEW met1 ( 779930 287470 ) M1M2_PR
-      NEW met1 ( 434010 285770 ) M1M2_PR
-      NEW li1 ( 456090 285770 ) L1M1_PR_MR
-      NEW li1 ( 456090 287470 ) L1M1_PR_MR
-      NEW met1 ( 428490 16830 ) M1M2_PR
-      NEW met1 ( 434010 16830 ) M1M2_PR ;
+      + ROUTED met1 ( 697130 299030 ) ( 703400 * )
+      NEW met2 ( 703400 299030 ) ( * 300220 0 )
+      NEW met2 ( 697130 19890 ) ( * 299030 )
+      NEW met2 ( 428490 2380 0 ) ( * 19890 )
+      NEW met1 ( 428490 19890 ) ( 697130 * )
+      NEW met1 ( 697130 19890 ) M1M2_PR
+      NEW met1 ( 697130 299030 ) M1M2_PR
+      NEW met1 ( 703400 299030 ) M1M2_PR
+      NEW met1 ( 428490 19890 ) M1M2_PR ;
     - wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL
-      + ROUTED met1 ( 478170 15810 ) ( * 16150 )
-      NEW met1 ( 764290 15810 ) ( * 16150 )
-      NEW met1 ( 764290 15810 ) ( 780850 * )
-      NEW met2 ( 780850 298860 ) ( 784900 * )
-      NEW met2 ( 784900 298860 ) ( * 300220 0 )
-      NEW met2 ( 780850 15810 ) ( * 298860 )
-      NEW met1 ( 478170 16150 ) ( 764290 * )
-      NEW met2 ( 445970 2380 0 ) ( * 15810 )
-      NEW met1 ( 445970 15810 ) ( 478170 * )
-      NEW met1 ( 780850 15810 ) M1M2_PR
-      NEW met1 ( 445970 15810 ) M1M2_PR ;
+      + ROUTED met2 ( 704030 298860 ) ( 707300 * )
+      NEW met2 ( 707300 298860 ) ( * 300220 0 )
+      NEW met2 ( 704030 20230 ) ( * 298860 )
+      NEW met2 ( 445970 2380 0 ) ( * 20230 )
+      NEW met1 ( 445970 20230 ) ( 704030 * )
+      NEW met1 ( 704030 20230 ) M1M2_PR
+      NEW met1 ( 445970 20230 ) M1M2_PR ;
     - wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL
-      + ROUTED met2 ( 789590 288490 ) ( * 298860 )
-      NEW met2 ( 789590 298860 ) ( 789700 * )
-      NEW met2 ( 789700 298860 ) ( * 300220 0 )
-      NEW met2 ( 463910 2380 0 ) ( * 16150 )
-      NEW met1 ( 463910 16150 ) ( 468970 * )
-      NEW met1 ( 468970 288490 ) ( 789590 * )
-      NEW met2 ( 468970 16150 ) ( * 288490 )
-      NEW met1 ( 789590 288490 ) M1M2_PR
-      NEW met1 ( 463910 16150 ) M1M2_PR
-      NEW met1 ( 468970 16150 ) M1M2_PR
-      NEW met1 ( 468970 288490 ) M1M2_PR ;
+      + ROUTED met2 ( 463910 2380 0 ) ( * 20570 )
+      NEW met1 ( 463910 20570 ) ( 711390 * )
+      NEW met2 ( 711200 298860 ) ( 711390 * )
+      NEW met2 ( 711200 298860 ) ( * 300220 0 )
+      NEW met2 ( 711390 20570 ) ( * 298860 )
+      NEW met1 ( 463910 20570 ) M1M2_PR
+      NEW met1 ( 711390 20570 ) M1M2_PR ;
     - wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL
-      + ROUTED met2 ( 481390 2380 0 ) ( * 15470 )
-      NEW met1 ( 481390 15470 ) ( 503930 * )
-      NEW met1 ( 503930 15470 ) ( * 15810 )
-      NEW met1 ( 763830 15470 ) ( * 15810 )
-      NEW met1 ( 763830 15470 ) ( 794190 * )
-      NEW met2 ( 794190 298860 ) ( 794400 * )
-      NEW met2 ( 794400 298860 ) ( * 300220 0 )
-      NEW met2 ( 794190 15470 ) ( * 298860 )
-      NEW met1 ( 503930 15810 ) ( 763830 * )
-      NEW met1 ( 481390 15470 ) M1M2_PR
-      NEW met1 ( 794190 15470 ) M1M2_PR ;
+      + ROUTED met2 ( 481390 2380 0 ) ( * 16830 )
+      NEW met1 ( 481390 16830 ) ( 710930 * )
+      NEW met1 ( 710930 288150 ) ( 715070 * )
+      NEW met2 ( 715070 288150 ) ( * 298860 )
+      NEW met2 ( 715070 298860 ) ( 715100 * )
+      NEW met2 ( 715100 298860 ) ( * 300220 0 )
+      NEW met2 ( 710930 16830 ) ( * 288150 )
+      NEW met1 ( 481390 16830 ) M1M2_PR
+      NEW met1 ( 710930 16830 ) M1M2_PR
+      NEW met1 ( 710930 288150 ) M1M2_PR
+      NEW met1 ( 715070 288150 ) M1M2_PR ;
     - wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL
-      + ROUTED met2 ( 499330 2380 0 ) ( * 15810 )
-      NEW met1 ( 499330 15810 ) ( 503470 * )
-      NEW met2 ( 799250 288830 ) ( * 298860 )
-      NEW met2 ( 799100 298860 ) ( 799250 * )
-      NEW met2 ( 799100 298860 ) ( * 300220 0 )
-      NEW met2 ( 503470 15810 ) ( * 289170 )
-      NEW met1 ( 503470 289170 ) ( 517500 * )
-      NEW met1 ( 517500 288830 ) ( * 289170 )
-      NEW met1 ( 517500 288830 ) ( 799250 * )
-      NEW met1 ( 499330 15810 ) M1M2_PR
-      NEW met1 ( 503470 15810 ) M1M2_PR
-      NEW met1 ( 503470 289170 ) M1M2_PR
-      NEW met1 ( 799250 288830 ) M1M2_PR ;
+      + ROUTED met2 ( 499330 2380 0 ) ( * 16490 )
+      NEW met1 ( 499330 16490 ) ( 719210 * )
+      NEW met2 ( 719000 298860 ) ( 719210 * )
+      NEW met2 ( 719000 298860 ) ( * 300220 0 )
+      NEW met2 ( 719210 16490 ) ( * 298860 )
+      NEW met1 ( 499330 16490 ) M1M2_PR
+      NEW met1 ( 719210 16490 ) M1M2_PR ;
     - wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) + USE SIGNAL
-      + ROUTED met2 ( 516810 2380 0 ) ( * 15470 )
-      NEW li1 ( 763370 15470 ) ( * 19210 )
-      NEW met1 ( 763370 19210 ) ( 801550 * )
-      NEW met2 ( 801550 298860 ) ( 803900 * )
-      NEW met2 ( 803900 298860 ) ( * 300220 0 )
-      NEW met2 ( 801550 19210 ) ( * 298860 )
-      NEW met1 ( 516810 15470 ) ( 763370 * )
-      NEW met1 ( 516810 15470 ) M1M2_PR
-      NEW li1 ( 763370 15470 ) L1M1_PR_MR
-      NEW li1 ( 763370 19210 ) L1M1_PR_MR
-      NEW met1 ( 801550 19210 ) M1M2_PR ;
+      + ROUTED met2 ( 516810 2380 0 ) ( * 16150 )
+      NEW met1 ( 516810 16150 ) ( 718290 * )
+      NEW met1 ( 718290 299030 ) ( 722900 * )
+      NEW met2 ( 722900 299030 ) ( * 300220 0 )
+      NEW met2 ( 718290 16150 ) ( * 299030 )
+      NEW met1 ( 516810 16150 ) M1M2_PR
+      NEW met1 ( 718290 16150 ) M1M2_PR
+      NEW met1 ( 718290 299030 ) M1M2_PR
+      NEW met1 ( 722900 299030 ) M1M2_PR ;
     - wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) + USE SIGNAL
-      + ROUTED met2 ( 534750 2380 0 ) ( * 15130 )
-      NEW met1 ( 534750 15130 ) ( 808450 * )
-      NEW met2 ( 808450 298860 ) ( 808700 * )
-      NEW met2 ( 808700 298860 ) ( * 300220 0 )
-      NEW met2 ( 808450 15130 ) ( * 298860 )
-      NEW met1 ( 534750 15130 ) M1M2_PR
-      NEW met1 ( 808450 15130 ) M1M2_PR ;
+      + ROUTED met2 ( 534750 2380 0 ) ( * 15810 )
+      NEW met1 ( 534750 15810 ) ( 725190 * )
+      NEW met2 ( 725190 298860 ) ( 726800 * )
+      NEW met2 ( 726800 298860 ) ( * 300220 0 )
+      NEW met2 ( 725190 15810 ) ( * 298860 )
+      NEW met1 ( 534750 15810 ) M1M2_PR
+      NEW met1 ( 725190 15810 ) M1M2_PR ;
     - wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) + USE SIGNAL
-      + ROUTED met2 ( 552690 2380 0 ) ( * 14790 )
-      NEW met1 ( 552690 14790 ) ( 807990 * )
-      NEW met1 ( 807990 299030 ) ( 813500 * )
-      NEW met2 ( 813500 299030 ) ( * 300220 0 )
-      NEW met2 ( 807990 14790 ) ( * 299030 )
-      NEW met1 ( 552690 14790 ) M1M2_PR
-      NEW met1 ( 807990 14790 ) M1M2_PR
-      NEW met1 ( 807990 299030 ) M1M2_PR
-      NEW met1 ( 813500 299030 ) M1M2_PR ;
+      + ROUTED met2 ( 552690 2380 0 ) ( * 15470 )
+      NEW met1 ( 552690 15470 ) ( 724730 * )
+      NEW met1 ( 724730 299030 ) ( 730700 * )
+      NEW met2 ( 730700 299030 ) ( * 300220 0 )
+      NEW met2 ( 724730 15470 ) ( * 299030 )
+      NEW met1 ( 552690 15470 ) M1M2_PR
+      NEW met1 ( 724730 15470 ) M1M2_PR
+      NEW met1 ( 724730 299030 ) M1M2_PR
+      NEW met1 ( 730700 299030 ) M1M2_PR ;
     - wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) + USE SIGNAL
-      + ROUTED met2 ( 570170 2380 0 ) ( * 14450 )
-      NEW met1 ( 570170 14450 ) ( 572470 * )
-      NEW met2 ( 572470 14450 ) ( * 289170 )
-      NEW met2 ( 818110 289170 ) ( * 298860 )
-      NEW met2 ( 818110 298860 ) ( 818300 * )
-      NEW met2 ( 818300 298860 ) ( * 300220 0 )
-      NEW met1 ( 572470 289170 ) ( 818110 * )
-      NEW met1 ( 570170 14450 ) M1M2_PR
-      NEW met1 ( 572470 14450 ) M1M2_PR
-      NEW met1 ( 572470 289170 ) M1M2_PR
-      NEW met1 ( 818110 289170 ) M1M2_PR ;
+      + ROUTED met2 ( 570170 2380 0 ) ( * 15130 )
+      NEW met1 ( 570170 15130 ) ( 732090 * )
+      NEW met2 ( 732090 298860 ) ( 734500 * )
+      NEW met2 ( 734500 298860 ) ( * 300220 0 )
+      NEW met2 ( 732090 15130 ) ( * 298860 )
+      NEW met1 ( 570170 15130 ) M1M2_PR
+      NEW met1 ( 732090 15130 ) M1M2_PR ;
     - wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) + USE SIGNAL
-      + ROUTED met2 ( 588110 2380 0 ) ( * 14450 )
-      NEW met1 ( 588110 14450 ) ( 821790 * )
-      NEW met2 ( 821790 298860 ) ( 823100 * )
-      NEW met2 ( 823100 298860 ) ( * 300220 0 )
-      NEW met2 ( 821790 14450 ) ( * 298860 )
-      NEW met1 ( 588110 14450 ) M1M2_PR
-      NEW met1 ( 821790 14450 ) M1M2_PR ;
+      + ROUTED met2 ( 588110 2380 0 ) ( * 14110 )
+      NEW li1 ( 623070 14110 ) ( * 14790 )
+      NEW li1 ( 623070 14790 ) ( 623990 * )
+      NEW met1 ( 588110 14110 ) ( 623070 * )
+      NEW met1 ( 623990 14790 ) ( 738530 * )
+      NEW met2 ( 738400 298860 ) ( 738530 * )
+      NEW met2 ( 738400 298860 ) ( * 300220 0 )
+      NEW met2 ( 738530 14790 ) ( * 298860 )
+      NEW met1 ( 588110 14110 ) M1M2_PR
+      NEW li1 ( 623070 14110 ) L1M1_PR_MR
+      NEW li1 ( 623990 14790 ) L1M1_PR_MR
+      NEW met1 ( 738530 14790 ) M1M2_PR ;
     - wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) + USE SIGNAL
       + ROUTED met2 ( 97290 2380 0 ) ( * 15130 )
       NEW met1 ( 97290 15130 ) ( 106950 * )
-      NEW met2 ( 693910 286790 ) ( * 298860 )
-      NEW met2 ( 693910 298860 ) ( 694200 * )
-      NEW met2 ( 694200 298860 ) ( * 300220 0 )
-      NEW met2 ( 106950 15130 ) ( * 286790 )
-      NEW met1 ( 106950 286790 ) ( 693910 * )
+      NEW met2 ( 106950 15130 ) ( * 287130 )
+      NEW met2 ( 633190 287130 ) ( * 298860 )
+      NEW met2 ( 633100 298860 ) ( 633190 * )
+      NEW met2 ( 633100 298860 ) ( * 300220 0 )
+      NEW met1 ( 106950 287130 ) ( 633190 * )
       NEW met1 ( 97290 15130 ) M1M2_PR
       NEW met1 ( 106950 15130 ) M1M2_PR
-      NEW met1 ( 106950 286790 ) M1M2_PR
-      NEW met1 ( 693910 286790 ) M1M2_PR ;
+      NEW met1 ( 106950 287130 ) M1M2_PR
+      NEW met1 ( 633190 287130 ) M1M2_PR ;
     - wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) + USE SIGNAL
-      + ROUTED met2 ( 605590 2380 0 ) ( * 14110 )
-      NEW met1 ( 605590 14110 ) ( 822250 * )
-      NEW met2 ( 823630 298860 ) ( 827800 * )
-      NEW met2 ( 827800 298860 ) ( * 300220 0 )
-      NEW met2 ( 822250 14110 ) ( * 227700 )
-      NEW met2 ( 822250 227700 ) ( 823630 * )
-      NEW met2 ( 823630 227700 ) ( * 298860 )
-      NEW met1 ( 605590 14110 ) M1M2_PR
-      NEW met1 ( 822250 14110 ) M1M2_PR ;
+      + ROUTED met2 ( 605590 2380 0 ) ( * 14450 )
+      NEW met1 ( 605590 14450 ) ( 614100 * )
+      NEW met1 ( 614100 14450 ) ( * 14790 )
+      NEW met1 ( 614100 14790 ) ( 623530 * )
+      NEW met1 ( 623530 14450 ) ( * 14790 )
+      NEW met1 ( 623530 14450 ) ( 738990 * )
+      NEW met2 ( 738990 298860 ) ( 742300 * )
+      NEW met2 ( 742300 298860 ) ( * 300220 0 )
+      NEW met2 ( 738990 14450 ) ( * 298860 )
+      NEW met1 ( 605590 14450 ) M1M2_PR
+      NEW met1 ( 738990 14450 ) M1M2_PR ;
     - wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) + USE SIGNAL
-      + ROUTED met2 ( 623530 2380 0 ) ( * 3060 )
-      NEW met2 ( 623530 3060 ) ( 624450 * )
-      NEW met2 ( 624450 2380 ) ( * 3060 )
-      NEW met2 ( 624450 2380 ) ( 625830 * )
-      NEW met2 ( 832830 287810 ) ( * 298860 )
-      NEW met2 ( 832700 298860 ) ( 832830 * )
-      NEW met2 ( 832700 298860 ) ( * 300220 0 )
-      NEW met1 ( 627670 287810 ) ( 832830 * )
-      NEW met2 ( 625830 2380 ) ( * 34500 )
-      NEW met2 ( 625830 34500 ) ( 627670 * )
-      NEW met2 ( 627670 34500 ) ( * 287810 )
-      NEW met1 ( 627670 287810 ) M1M2_PR
-      NEW met1 ( 832830 287810 ) M1M2_PR ;
+      + ROUTED met2 ( 623530 2380 0 ) ( * 14110 )
+      NEW met1 ( 623530 14110 ) ( 746350 * )
+      NEW met2 ( 746200 298860 ) ( 746350 * )
+      NEW met2 ( 746200 298860 ) ( * 300220 0 )
+      NEW met2 ( 746350 14110 ) ( * 298860 )
+      NEW met1 ( 623530 14110 ) M1M2_PR
+      NEW met1 ( 746350 14110 ) M1M2_PR ;
     - wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) + USE SIGNAL
-      + ROUTED met2 ( 121210 2380 0 ) ( * 17510 )
-      NEW li1 ( 675970 17510 ) ( 682870 * )
-      NEW met1 ( 682870 17510 ) ( 698050 * )
-      NEW met2 ( 698050 298860 ) ( 698900 * )
-      NEW met2 ( 698900 298860 ) ( * 300220 0 )
-      NEW met2 ( 698050 17510 ) ( * 298860 )
-      NEW met1 ( 121210 17510 ) ( 675970 * )
-      NEW met1 ( 121210 17510 ) M1M2_PR
-      NEW li1 ( 675970 17510 ) L1M1_PR_MR
-      NEW li1 ( 682870 17510 ) L1M1_PR_MR
-      NEW met1 ( 698050 17510 ) M1M2_PR ;
+      + ROUTED met2 ( 121210 2380 0 ) ( * 17170 )
+      NEW met1 ( 121210 17170 ) ( 131100 * )
+      NEW met1 ( 131100 16490 ) ( * 17170 )
+      NEW met1 ( 131100 16490 ) ( 179400 * )
+      NEW met1 ( 179400 16490 ) ( * 17170 )
+      NEW met1 ( 179400 17170 ) ( 635950 * )
+      NEW met2 ( 635950 298860 ) ( 637000 * )
+      NEW met2 ( 637000 298860 ) ( * 300220 0 )
+      NEW met2 ( 635950 17170 ) ( * 298860 )
+      NEW met1 ( 121210 17170 ) M1M2_PR
+      NEW met1 ( 635950 17170 ) M1M2_PR ;
     - wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL
-      + ROUTED met1 ( 697130 299370 ) ( 703700 * )
-      NEW met2 ( 703700 299370 ) ( * 300220 0 )
-      NEW met2 ( 697130 17850 ) ( * 299370 )
-      NEW met2 ( 144670 2380 0 ) ( * 16830 )
-      NEW met1 ( 144670 16830 ) ( 172730 * )
-      NEW li1 ( 172730 16830 ) ( * 17850 )
-      NEW met1 ( 172730 17850 ) ( 697130 * )
-      NEW met1 ( 697130 17850 ) M1M2_PR
-      NEW met1 ( 697130 299370 ) M1M2_PR
-      NEW met1 ( 703700 299370 ) M1M2_PR
+      + ROUTED met2 ( 144670 2380 0 ) ( * 16830 )
+      NEW met1 ( 144670 16830 ) ( 173190 * )
+      NEW met1 ( 173190 16830 ) ( * 17510 )
+      NEW met1 ( 617090 17510 ) ( * 17850 )
+      NEW met1 ( 617090 17850 ) ( 636410 * )
+      NEW met1 ( 173190 17510 ) ( 617090 * )
+      NEW met2 ( 637790 298860 ) ( 640900 * )
+      NEW met2 ( 640900 298860 ) ( * 300220 0 )
+      NEW met2 ( 636410 17850 ) ( * 227700 )
+      NEW met2 ( 636410 227700 ) ( 637790 * )
+      NEW met2 ( 637790 227700 ) ( * 298860 )
       NEW met1 ( 144670 16830 ) M1M2_PR
-      NEW li1 ( 172730 16830 ) L1M1_PR_MR
-      NEW li1 ( 172730 17850 ) L1M1_PR_MR ;
+      NEW met1 ( 636410 17850 ) M1M2_PR ;
     - wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL
-      + ROUTED li1 ( 672750 18190 ) ( * 19210 )
-      NEW li1 ( 672750 19210 ) ( 673670 * )
-      NEW li1 ( 673670 18870 ) ( * 19210 )
-      NEW met1 ( 673670 18870 ) ( 704030 * )
-      NEW met2 ( 704030 298860 ) ( 708500 * )
-      NEW met2 ( 708500 298860 ) ( * 300220 0 )
-      NEW met2 ( 704030 18870 ) ( * 298860 )
-      NEW met2 ( 162150 2380 0 ) ( * 17850 )
-      NEW met1 ( 162150 17850 ) ( * 18190 )
-      NEW met1 ( 162150 18190 ) ( 672750 * )
-      NEW li1 ( 672750 18190 ) L1M1_PR_MR
-      NEW li1 ( 673670 18870 ) L1M1_PR_MR
-      NEW met1 ( 704030 18870 ) M1M2_PR
-      NEW met1 ( 162150 17850 ) M1M2_PR ;
+      + ROUTED met2 ( 162150 2380 0 ) ( * 17850 )
+      NEW met1 ( 616630 17850 ) ( * 18190 )
+      NEW met1 ( 616630 18190 ) ( 641930 * )
+      NEW met1 ( 162150 17850 ) ( 616630 * )
+      NEW met2 ( 641930 298860 ) ( 644800 * )
+      NEW met2 ( 644800 298860 ) ( * 300220 0 )
+      NEW met2 ( 641930 18190 ) ( * 298860 )
+      NEW met1 ( 162150 17850 ) M1M2_PR
+      NEW met1 ( 641930 18190 ) M1M2_PR ;
     - wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL
-      + ROUTED met2 ( 180090 2380 0 ) ( * 18530 )
-      NEW met2 ( 710930 298860 ) ( 713200 * )
-      NEW met2 ( 713200 298860 ) ( * 300220 0 )
-      NEW met2 ( 710930 18530 ) ( * 298860 )
-      NEW met1 ( 180090 18530 ) ( 710930 * )
-      NEW met1 ( 180090 18530 ) M1M2_PR
-      NEW met1 ( 710930 18530 ) M1M2_PR ;
+      + ROUTED met2 ( 180090 2380 0 ) ( * 18190 )
+      NEW li1 ( 616170 17510 ) ( * 18190 )
+      NEW li1 ( 616170 17510 ) ( 621230 * )
+      NEW met1 ( 621230 17510 ) ( 649290 * )
+      NEW met1 ( 180090 18190 ) ( 616170 * )
+      NEW met2 ( 648800 298860 ) ( 649290 * )
+      NEW met2 ( 648800 298860 ) ( * 300220 0 )
+      NEW met2 ( 649290 17510 ) ( * 298860 )
+      NEW met1 ( 180090 18190 ) M1M2_PR
+      NEW li1 ( 616170 18190 ) L1M1_PR_MR
+      NEW li1 ( 621230 17510 ) L1M1_PR_MR
+      NEW met1 ( 649290 17510 ) M1M2_PR ;
     - wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL
-      + ROUTED met2 ( 198030 2380 0 ) ( * 18870 )
-      NEW li1 ( 673210 18190 ) ( * 18870 )
-      NEW met1 ( 673210 18190 ) ( 718750 * )
-      NEW met2 ( 718000 298860 ) ( 718290 * )
-      NEW met2 ( 718000 298860 ) ( * 300220 0 )
-      NEW met2 ( 718290 82800 ) ( 718750 * )
-      NEW met2 ( 718750 18190 ) ( * 82800 )
-      NEW met2 ( 718290 82800 ) ( * 298860 )
-      NEW met1 ( 198030 18870 ) ( 673210 * )
-      NEW met1 ( 198030 18870 ) M1M2_PR
-      NEW li1 ( 673210 18870 ) L1M1_PR_MR
-      NEW li1 ( 673210 18190 ) L1M1_PR_MR
-      NEW met1 ( 718750 18190 ) M1M2_PR ;
+      + ROUTED met2 ( 198030 2380 0 ) ( * 18530 )
+      NEW met1 ( 198030 18530 ) ( 648830 * )
+      NEW met1 ( 648830 288150 ) ( 652510 * )
+      NEW met2 ( 652510 288150 ) ( * 298860 )
+      NEW met2 ( 652510 298860 ) ( 652600 * )
+      NEW met2 ( 652600 298860 ) ( * 300220 0 )
+      NEW met2 ( 648830 18530 ) ( * 288150 )
+      NEW met1 ( 198030 18530 ) M1M2_PR
+      NEW met1 ( 648830 18530 ) M1M2_PR
+      NEW met1 ( 648830 288150 ) M1M2_PR
+      NEW met1 ( 652510 288150 ) M1M2_PR ;
     - wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) + USE SIGNAL
-      + ROUTED met2 ( 215510 2380 0 ) ( * 19210 )
-      NEW met1 ( 717830 288150 ) ( 722430 * )
-      NEW met2 ( 722430 288150 ) ( * 298860 )
-      NEW met2 ( 722430 298860 ) ( 722800 * )
-      NEW met2 ( 722800 298860 ) ( * 300220 0 )
-      NEW met2 ( 717830 19210 ) ( * 288150 )
-      NEW met1 ( 215510 19210 ) ( 717830 * )
-      NEW met1 ( 215510 19210 ) M1M2_PR
-      NEW met1 ( 717830 19210 ) M1M2_PR
-      NEW met1 ( 717830 288150 ) M1M2_PR
-      NEW met1 ( 722430 288150 ) M1M2_PR ;
+      + ROUTED met2 ( 215510 2380 0 ) ( * 18870 )
+      NEW met1 ( 215510 18870 ) ( 655730 * )
+      NEW met2 ( 655730 298860 ) ( 656500 * )
+      NEW met2 ( 656500 298860 ) ( * 300220 0 )
+      NEW met2 ( 655730 18870 ) ( * 298860 )
+      NEW met1 ( 215510 18870 ) M1M2_PR
+      NEW met1 ( 655730 18870 ) M1M2_PR ;
     - wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) + USE SIGNAL
-      + ROUTED met2 ( 233450 2380 0 ) ( * 19890 )
-      NEW met1 ( 233450 19890 ) ( 276000 * )
-      NEW met1 ( 276000 19550 ) ( * 19890 )
-      NEW met2 ( 724730 298860 ) ( 727600 * )
-      NEW met2 ( 727600 298860 ) ( * 300220 0 )
-      NEW met2 ( 724730 19550 ) ( * 298860 )
-      NEW met1 ( 276000 19550 ) ( 724730 * )
-      NEW met1 ( 233450 19890 ) M1M2_PR
-      NEW met1 ( 724730 19550 ) M1M2_PR ;
+      + ROUTED met2 ( 233450 2380 0 ) ( * 19210 )
+      NEW met1 ( 233450 19210 ) ( 656190 * )
+      NEW met2 ( 657110 298860 ) ( 660400 * )
+      NEW met2 ( 660400 298860 ) ( * 300220 0 )
+      NEW met2 ( 656190 19210 ) ( * 227700 )
+      NEW met2 ( 656190 227700 ) ( 657110 * )
+      NEW met2 ( 657110 227700 ) ( * 298860 )
+      NEW met1 ( 233450 19210 ) M1M2_PR
+      NEW met1 ( 656190 19210 ) M1M2_PR ;
     - wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) + USE SIGNAL
-      + ROUTED met2 ( 86250 16830 ) ( * 286450 )
-      NEW met2 ( 55890 2380 0 ) ( * 16830 )
-      NEW met1 ( 55890 16830 ) ( 86250 * )
-      NEW met2 ( 837430 286450 ) ( * 298860 )
-      NEW met2 ( 837430 298860 ) ( 837500 * )
-      NEW met2 ( 837500 298860 ) ( * 300220 0 )
-      NEW met1 ( 86250 286450 ) ( 837430 * )
-      NEW met1 ( 86250 16830 ) M1M2_PR
+      + ROUTED met2 ( 86250 15810 ) ( * 286450 )
+      NEW met2 ( 55890 2380 0 ) ( * 15810 )
+      NEW met1 ( 55890 15810 ) ( 86250 * )
+      NEW met2 ( 750030 286450 ) ( * 298860 )
+      NEW met2 ( 750030 298860 ) ( 750200 * )
+      NEW met2 ( 750200 298860 ) ( * 300220 0 )
+      NEW met1 ( 86250 286450 ) ( 750030 * )
+      NEW met1 ( 86250 15810 ) M1M2_PR
       NEW met1 ( 86250 286450 ) M1M2_PR
-      NEW met1 ( 55890 16830 ) M1M2_PR
-      NEW met1 ( 837430 286450 ) M1M2_PR ;
+      NEW met1 ( 55890 15810 ) M1M2_PR
+      NEW met1 ( 750030 286450 ) M1M2_PR ;
     - wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) + USE SIGNAL
       + ROUTED met2 ( 79810 2380 0 ) ( * 17340 )
-      NEW met2 ( 842200 298860 ) ( 842950 * )
-      NEW met2 ( 842200 298860 ) ( * 300220 0 )
-      NEW met2 ( 842950 17340 ) ( * 298860 )
-      NEW met3 ( 79810 17340 ) ( 842950 * )
+      NEW met3 ( 79810 17340 ) ( 752790 * )
+      NEW met2 ( 752790 298860 ) ( 754100 * )
+      NEW met2 ( 754100 298860 ) ( * 300220 0 )
+      NEW met2 ( 752790 17340 ) ( * 298860 )
       NEW met2 ( 79810 17340 ) M2M3_PR_M
-      NEW met2 ( 842950 17340 ) M2M3_PR_M ;
+      NEW met2 ( 752790 17340 ) M2M3_PR_M ;
     - wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) + USE SIGNAL
-      + ROUTED met2 ( 103270 2380 0 ) ( * 18020 )
-      NEW met1 ( 842030 282710 ) ( 846630 * )
-      NEW met2 ( 846630 282710 ) ( * 298860 )
-      NEW met2 ( 846630 298860 ) ( 847000 * )
-      NEW met2 ( 847000 298860 ) ( * 300220 0 )
-      NEW met2 ( 842030 82800 ) ( 842490 * )
-      NEW met2 ( 842490 18020 ) ( * 82800 )
-      NEW met2 ( 842030 82800 ) ( * 282710 )
-      NEW met3 ( 103270 18020 ) ( 842490 * )
-      NEW met2 ( 103270 18020 ) M2M3_PR_M
-      NEW met2 ( 842490 18020 ) M2M3_PR_M
-      NEW met1 ( 842030 282710 ) M1M2_PR
-      NEW met1 ( 846630 282710 ) M1M2_PR ;
+      + ROUTED met2 ( 103270 2380 0 ) ( * 17510 )
+      NEW met1 ( 103270 17510 ) ( 113850 * )
+      NEW met2 ( 113850 17510 ) ( * 286790 )
+      NEW met2 ( 757850 286790 ) ( * 298860 )
+      NEW met2 ( 757850 298860 ) ( 758000 * )
+      NEW met2 ( 758000 298860 ) ( * 300220 0 )
+      NEW met1 ( 113850 286790 ) ( 757850 * )
+      NEW met1 ( 103270 17510 ) M1M2_PR
+      NEW met1 ( 113850 17510 ) M1M2_PR
+      NEW met1 ( 113850 286790 ) M1M2_PR
+      NEW met1 ( 757850 286790 ) M1M2_PR ;
     - wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) + USE SIGNAL
-      + ROUTED met2 ( 126730 2380 0 ) ( * 18700 )
-      NEW met2 ( 848930 298860 ) ( 851800 * )
-      NEW met2 ( 851800 298860 ) ( * 300220 0 )
-      NEW met2 ( 848930 18700 ) ( * 298860 )
-      NEW met3 ( 126730 18700 ) ( 848930 * )
-      NEW met2 ( 126730 18700 ) M2M3_PR_M
-      NEW met2 ( 848930 18700 ) M2M3_PR_M ;
+      + ROUTED met2 ( 126730 2380 0 ) ( * 18020 )
+      NEW met2 ( 760610 298860 ) ( 761900 * )
+      NEW met2 ( 761900 298860 ) ( * 300220 0 )
+      NEW met2 ( 760610 18020 ) ( * 298860 )
+      NEW met3 ( 126730 18020 ) ( 760610 * )
+      NEW met2 ( 126730 18020 ) M2M3_PR_M
+      NEW met2 ( 760610 18020 ) M2M3_PR_M ;
     - wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) + USE SIGNAL
       + ROUTED met2 ( 26450 2380 0 ) ( * 19210 )
-      NEW met2 ( 856290 286110 ) ( * 299540 )
-      NEW met2 ( 856290 299540 ) ( 856600 * )
-      NEW met2 ( 856600 299540 ) ( * 300220 0 )
+      NEW met2 ( 765670 286110 ) ( * 298860 )
+      NEW met2 ( 765670 298860 ) ( 765800 * )
+      NEW met2 ( 765800 298860 ) ( * 300220 0 )
       NEW met1 ( 26450 19210 ) ( 37950 * )
-      NEW met1 ( 37950 286110 ) ( 856290 * )
+      NEW met1 ( 37950 286110 ) ( 765670 * )
       NEW met2 ( 37950 19210 ) ( * 286110 )
       NEW met1 ( 26450 19210 ) M1M2_PR
-      NEW met1 ( 856290 286110 ) M1M2_PR
+      NEW met1 ( 765670 286110 ) M1M2_PR
       NEW met1 ( 37950 19210 ) M1M2_PR
       NEW met1 ( 37950 286110 ) M1M2_PR ;
     - wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) + USE SIGNAL
       + ROUTED met2 ( 32430 2380 0 ) ( * 16660 )
-      NEW met2 ( 856750 298860 ) ( 861300 * )
-      NEW met2 ( 861300 298860 ) ( * 300220 0 )
-      NEW met2 ( 856750 16660 ) ( * 298860 )
-      NEW met3 ( 32430 16660 ) ( 856750 * )
+      NEW met2 ( 766130 298860 ) ( 769700 * )
+      NEW met2 ( 769700 298860 ) ( * 300220 0 )
+      NEW met2 ( 766130 16660 ) ( * 298860 )
+      NEW met3 ( 32430 16660 ) ( 766130 * )
       NEW met2 ( 32430 16660 ) M2M3_PR_M
-      NEW met2 ( 856750 16660 ) M2M3_PR_M ;
+      NEW met2 ( 766130 16660 ) M2M3_PR_M ;
 END NETS
 END DESIGN
diff --git a/gds/rest_top.gds.gz b/gds/rest_top.gds.gz
index 62d46ae..2a2d12c 100644
--- a/gds/rest_top.gds.gz
+++ b/gds/rest_top.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 721ce92..eb6be43 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/lef/rest_top.lef b/lef/rest_top.lef
index 4acd5a3..acb0012 100644
--- a/lef/rest_top.lef
+++ b/lef/rest_top.lef
@@ -1,6 +1,6 @@
 ##
 ## LEF for PtnCells ;
-## created by Innovus v20.10-p004_1 on Mon Dec 27 23:39:51 2021
+## created by Innovus v20.10-p004_1 on Tue Dec 28 03:49:33 2021
 ##
 
 VERSION 5.7 ;
@@ -10,21 +10,41 @@
 
 MACRO rest_top
   CLASS BLOCK ;
-  SIZE 2369.460000 BY 2290.240000 ;
+  SIZE 1926.480000 BY 2595.220000 ;
   FOREIGN rest_top 0.000000 0.000000 ;
   ORIGIN 0 0 ;
   SYMMETRY X Y R90 ;
   PIN wb_clk_i
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1939 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.8085 LAYER met2  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via2  ;
+    ANTENNAPARTIALMETALAREA 17.614 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 94.408 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 3.9096 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 26.496 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 1.917 LAYER met4  ;
+    ANTENNAMAXAREACAR 37.7413 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 195.563 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.992488 LAYER via4  ;
     PORT
       LAYER met2 ;
-        RECT 4.530000 0.000000 4.670000 0.490000 ;
+        RECT 3.730000 0.000000 3.870000 0.490000 ;
     END
   END wb_clk_i
   PIN wb_rst_i
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.4703 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 17.1255 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.495 LAYER met2  ;
+    ANTENNAMAXAREACAR 12.2077 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 59.8263 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.103838 LAYER via2  ;
     PORT
       LAYER met2 ;
         RECT 1.930000 0.000000 2.070000 0.485000 ;
@@ -35,7 +55,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 496.530000 0.000000 496.670000 0.490000 ;
+        RECT 405.730000 0.000000 405.870000 0.490000 ;
     END
   END wbs_stb_i
   PIN wbs_cyc_i
@@ -43,7 +63,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 166.930000 0.000000 167.070000 0.490000 ;
+        RECT 136.430000 0.000000 136.570000 0.490000 ;
     END
   END wbs_cyc_i
   PIN wbs_we_i
@@ -51,7 +71,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 501.230000 0.000000 501.370000 0.490000 ;
+        RECT 409.630000 0.000000 409.770000 0.490000 ;
     END
   END wbs_we_i
   PIN wbs_sel_i[3]
@@ -59,7 +79,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 491.730000 0.000000 491.870000 0.490000 ;
+        RECT 401.830000 0.000000 401.970000 0.490000 ;
     END
   END wbs_sel_i[3]
   PIN wbs_sel_i[2]
@@ -67,7 +87,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 486.930000 0.000000 487.070000 0.490000 ;
+        RECT 397.930000 0.000000 398.070000 0.490000 ;
     END
   END wbs_sel_i[2]
   PIN wbs_sel_i[1]
@@ -75,7 +95,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 482.130000 0.000000 482.270000 0.490000 ;
+        RECT 394.030000 0.000000 394.170000 0.490000 ;
     END
   END wbs_sel_i[1]
   PIN wbs_sel_i[0]
@@ -83,7 +103,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 477.430000 0.000000 477.570000 0.490000 ;
+        RECT 390.130000 0.000000 390.270000 0.490000 ;
     END
   END wbs_sel_i[0]
   PIN wbs_dat_i[31]
@@ -91,7 +111,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 319.830000 0.000000 319.970000 0.490000 ;
+        RECT 261.330000 0.000000 261.470000 0.490000 ;
     END
   END wbs_dat_i[31]
   PIN wbs_dat_i[30]
@@ -99,7 +119,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 315.030000 0.000000 315.170000 0.490000 ;
+        RECT 257.330000 0.000000 257.470000 0.490000 ;
     END
   END wbs_dat_i[30]
   PIN wbs_dat_i[29]
@@ -107,7 +127,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 310.230000 0.000000 310.370000 0.490000 ;
+        RECT 253.430000 0.000000 253.570000 0.490000 ;
     END
   END wbs_dat_i[29]
   PIN wbs_dat_i[28]
@@ -115,7 +135,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 305.430000 0.000000 305.570000 0.490000 ;
+        RECT 249.630000 0.000000 249.770000 0.490000 ;
     END
   END wbs_dat_i[28]
   PIN wbs_dat_i[27]
@@ -123,7 +143,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 300.630000 0.000000 300.770000 0.490000 ;
+        RECT 245.730000 0.000000 245.870000 0.490000 ;
     END
   END wbs_dat_i[27]
   PIN wbs_dat_i[26]
@@ -131,7 +151,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 295.830000 0.000000 295.970000 0.490000 ;
+        RECT 241.830000 0.000000 241.970000 0.490000 ;
     END
   END wbs_dat_i[26]
   PIN wbs_dat_i[25]
@@ -139,7 +159,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 291.130000 0.000000 291.270000 0.490000 ;
+        RECT 237.930000 0.000000 238.070000 0.490000 ;
     END
   END wbs_dat_i[25]
   PIN wbs_dat_i[24]
@@ -147,7 +167,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 286.430000 0.000000 286.570000 0.490000 ;
+        RECT 234.030000 0.000000 234.170000 0.490000 ;
     END
   END wbs_dat_i[24]
   PIN wbs_dat_i[23]
@@ -155,7 +175,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 281.630000 0.000000 281.770000 0.490000 ;
+        RECT 230.030000 0.000000 230.170000 0.490000 ;
     END
   END wbs_dat_i[23]
   PIN wbs_dat_i[22]
@@ -163,7 +183,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 276.830000 0.000000 276.970000 0.490000 ;
+        RECT 226.130000 0.000000 226.270000 0.490000 ;
     END
   END wbs_dat_i[22]
   PIN wbs_dat_i[21]
@@ -171,7 +191,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 272.030000 0.000000 272.170000 0.490000 ;
+        RECT 222.230000 0.000000 222.370000 0.490000 ;
     END
   END wbs_dat_i[21]
   PIN wbs_dat_i[20]
@@ -179,7 +199,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 267.230000 0.000000 267.370000 0.490000 ;
+        RECT 218.430000 0.000000 218.570000 0.490000 ;
     END
   END wbs_dat_i[20]
   PIN wbs_dat_i[19]
@@ -187,7 +207,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 262.530000 0.000000 262.670000 0.490000 ;
+        RECT 214.530000 0.000000 214.670000 0.490000 ;
     END
   END wbs_dat_i[19]
   PIN wbs_dat_i[18]
@@ -195,7 +215,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 257.730000 0.000000 257.870000 0.490000 ;
+        RECT 210.630000 0.000000 210.770000 0.490000 ;
     END
   END wbs_dat_i[18]
   PIN wbs_dat_i[17]
@@ -203,7 +223,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 252.930000 0.000000 253.070000 0.490000 ;
+        RECT 206.630000 0.000000 206.770000 0.490000 ;
     END
   END wbs_dat_i[17]
   PIN wbs_dat_i[16]
@@ -211,7 +231,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 248.130000 0.000000 248.270000 0.490000 ;
+        RECT 202.730000 0.000000 202.870000 0.490000 ;
     END
   END wbs_dat_i[16]
   PIN wbs_dat_i[15]
@@ -219,7 +239,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 243.330000 0.000000 243.470000 0.490000 ;
+        RECT 198.830000 0.000000 198.970000 0.490000 ;
     END
   END wbs_dat_i[15]
   PIN wbs_dat_i[14]
@@ -227,7 +247,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 238.630000 0.000000 238.770000 0.490000 ;
+        RECT 194.930000 0.000000 195.070000 0.490000 ;
     END
   END wbs_dat_i[14]
   PIN wbs_dat_i[13]
@@ -235,7 +255,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 233.930000 0.000000 234.070000 0.490000 ;
+        RECT 191.030000 0.000000 191.170000 0.490000 ;
     END
   END wbs_dat_i[13]
   PIN wbs_dat_i[12]
@@ -243,7 +263,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 229.030000 0.000000 229.170000 0.490000 ;
+        RECT 187.130000 0.000000 187.270000 0.490000 ;
     END
   END wbs_dat_i[12]
   PIN wbs_dat_i[11]
@@ -251,7 +271,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 224.230000 0.000000 224.370000 0.490000 ;
+        RECT 183.330000 0.000000 183.470000 0.490000 ;
     END
   END wbs_dat_i[11]
   PIN wbs_dat_i[10]
@@ -259,7 +279,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 219.430000 0.000000 219.570000 0.490000 ;
+        RECT 179.330000 0.000000 179.470000 0.490000 ;
     END
   END wbs_dat_i[10]
   PIN wbs_dat_i[9]
@@ -267,7 +287,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 214.630000 0.000000 214.770000 0.490000 ;
+        RECT 175.430000 0.000000 175.570000 0.490000 ;
     END
   END wbs_dat_i[9]
   PIN wbs_dat_i[8]
@@ -275,7 +295,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 209.830000 0.000000 209.970000 0.490000 ;
+        RECT 171.530000 0.000000 171.670000 0.490000 ;
     END
   END wbs_dat_i[8]
   PIN wbs_dat_i[7]
@@ -283,7 +303,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 205.030000 0.000000 205.170000 0.490000 ;
+        RECT 167.630000 0.000000 167.770000 0.490000 ;
     END
   END wbs_dat_i[7]
   PIN wbs_dat_i[6]
@@ -291,7 +311,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 200.330000 0.000000 200.470000 0.490000 ;
+        RECT 163.730000 0.000000 163.870000 0.490000 ;
     END
   END wbs_dat_i[6]
   PIN wbs_dat_i[5]
@@ -299,7 +319,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 195.530000 0.000000 195.670000 0.490000 ;
+        RECT 159.830000 0.000000 159.970000 0.490000 ;
     END
   END wbs_dat_i[5]
   PIN wbs_dat_i[4]
@@ -307,7 +327,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 190.830000 0.000000 190.970000 0.490000 ;
+        RECT 155.930000 0.000000 156.070000 0.490000 ;
     END
   END wbs_dat_i[4]
   PIN wbs_dat_i[3]
@@ -315,7 +335,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 186.030000 0.000000 186.170000 0.490000 ;
+        RECT 151.930000 0.000000 152.070000 0.490000 ;
     END
   END wbs_dat_i[3]
   PIN wbs_dat_i[2]
@@ -323,7 +343,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 181.230000 0.000000 181.370000 0.490000 ;
+        RECT 148.130000 0.000000 148.270000 0.490000 ;
     END
   END wbs_dat_i[2]
   PIN wbs_dat_i[1]
@@ -331,7 +351,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 176.430000 0.000000 176.570000 0.490000 ;
+        RECT 144.230000 0.000000 144.370000 0.490000 ;
     END
   END wbs_dat_i[1]
   PIN wbs_dat_i[0]
@@ -339,7 +359,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 171.730000 0.000000 171.870000 0.490000 ;
+        RECT 140.330000 0.000000 140.470000 0.490000 ;
     END
   END wbs_dat_i[0]
   PIN wbs_adr_i[31]
@@ -347,7 +367,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 162.130000 0.000000 162.270000 0.490000 ;
+        RECT 132.530000 0.000000 132.670000 0.490000 ;
     END
   END wbs_adr_i[31]
   PIN wbs_adr_i[30]
@@ -355,7 +375,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 157.330000 0.000000 157.470000 0.490000 ;
+        RECT 128.630000 0.000000 128.770000 0.490000 ;
     END
   END wbs_adr_i[30]
   PIN wbs_adr_i[29]
@@ -363,7 +383,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 152.530000 0.000000 152.670000 0.490000 ;
+        RECT 124.730000 0.000000 124.870000 0.490000 ;
     END
   END wbs_adr_i[29]
   PIN wbs_adr_i[28]
@@ -371,7 +391,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 147.730000 0.000000 147.870000 0.490000 ;
+        RECT 120.830000 0.000000 120.970000 0.490000 ;
     END
   END wbs_adr_i[28]
   PIN wbs_adr_i[27]
@@ -379,7 +399,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 143.130000 0.000000 143.270000 0.490000 ;
+        RECT 116.930000 0.000000 117.070000 0.490000 ;
     END
   END wbs_adr_i[27]
   PIN wbs_adr_i[26]
@@ -387,7 +407,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 138.330000 0.000000 138.470000 0.490000 ;
+        RECT 113.030000 0.000000 113.170000 0.490000 ;
     END
   END wbs_adr_i[26]
   PIN wbs_adr_i[25]
@@ -395,7 +415,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 133.530000 0.000000 133.670000 0.490000 ;
+        RECT 109.130000 0.000000 109.270000 0.490000 ;
     END
   END wbs_adr_i[25]
   PIN wbs_adr_i[24]
@@ -403,7 +423,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 128.730000 0.000000 128.870000 0.490000 ;
+        RECT 105.230000 0.000000 105.370000 0.490000 ;
     END
   END wbs_adr_i[24]
   PIN wbs_adr_i[23]
@@ -411,7 +431,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 123.930000 0.000000 124.070000 0.490000 ;
+        RECT 101.230000 0.000000 101.370000 0.490000 ;
     END
   END wbs_adr_i[23]
   PIN wbs_adr_i[22]
@@ -419,7 +439,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 119.130000 0.000000 119.270000 0.490000 ;
+        RECT 97.330000 0.000000 97.470000 0.490000 ;
     END
   END wbs_adr_i[22]
   PIN wbs_adr_i[21]
@@ -427,7 +447,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 114.430000 0.000000 114.570000 0.490000 ;
+        RECT 93.430000 0.000000 93.570000 0.490000 ;
     END
   END wbs_adr_i[21]
   PIN wbs_adr_i[20]
@@ -435,7 +455,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 109.630000 0.000000 109.770000 0.490000 ;
+        RECT 89.530000 0.000000 89.670000 0.490000 ;
     END
   END wbs_adr_i[20]
   PIN wbs_adr_i[19]
@@ -443,7 +463,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 104.830000 0.000000 104.970000 0.490000 ;
+        RECT 85.630000 0.000000 85.770000 0.490000 ;
     END
   END wbs_adr_i[19]
   PIN wbs_adr_i[18]
@@ -451,7 +471,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 100.030000 0.000000 100.170000 0.490000 ;
+        RECT 81.730000 0.000000 81.870000 0.490000 ;
     END
   END wbs_adr_i[18]
   PIN wbs_adr_i[17]
@@ -459,7 +479,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 95.330000 0.000000 95.470000 0.490000 ;
+        RECT 77.830000 0.000000 77.970000 0.490000 ;
     END
   END wbs_adr_i[17]
   PIN wbs_adr_i[16]
@@ -467,7 +487,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 90.530000 0.000000 90.670000 0.490000 ;
+        RECT 73.930000 0.000000 74.070000 0.490000 ;
     END
   END wbs_adr_i[16]
   PIN wbs_adr_i[15]
@@ -475,7 +495,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 85.830000 0.000000 85.970000 0.490000 ;
+        RECT 70.030000 0.000000 70.170000 0.490000 ;
     END
   END wbs_adr_i[15]
   PIN wbs_adr_i[14]
@@ -483,7 +503,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 81.030000 0.000000 81.170000 0.490000 ;
+        RECT 66.130000 0.000000 66.270000 0.490000 ;
     END
   END wbs_adr_i[14]
   PIN wbs_adr_i[13]
@@ -491,7 +511,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 76.230000 0.000000 76.370000 0.490000 ;
+        RECT 62.330000 0.000000 62.470000 0.490000 ;
     END
   END wbs_adr_i[13]
   PIN wbs_adr_i[12]
@@ -499,7 +519,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 71.430000 0.000000 71.570000 0.490000 ;
+        RECT 58.430000 0.000000 58.570000 0.490000 ;
     END
   END wbs_adr_i[12]
   PIN wbs_adr_i[11]
@@ -507,7 +527,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 66.630000 0.000000 66.770000 0.490000 ;
+        RECT 54.530000 0.000000 54.670000 0.490000 ;
     END
   END wbs_adr_i[11]
   PIN wbs_adr_i[10]
@@ -515,7 +535,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 61.830000 0.000000 61.970000 0.490000 ;
+        RECT 50.530000 0.000000 50.670000 0.490000 ;
     END
   END wbs_adr_i[10]
   PIN wbs_adr_i[9]
@@ -523,7 +543,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 57.130000 0.000000 57.270000 0.490000 ;
+        RECT 46.630000 0.000000 46.770000 0.490000 ;
     END
   END wbs_adr_i[9]
   PIN wbs_adr_i[8]
@@ -531,7 +551,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 52.330000 0.000000 52.470000 0.490000 ;
+        RECT 42.730000 0.000000 42.870000 0.490000 ;
     END
   END wbs_adr_i[8]
   PIN wbs_adr_i[7]
@@ -539,7 +559,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 47.630000 0.000000 47.770000 0.490000 ;
+        RECT 38.830000 0.000000 38.970000 0.490000 ;
     END
   END wbs_adr_i[7]
   PIN wbs_adr_i[6]
@@ -547,7 +567,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 42.830000 0.000000 42.970000 0.490000 ;
+        RECT 34.930000 0.000000 35.070000 0.490000 ;
     END
   END wbs_adr_i[6]
   PIN wbs_adr_i[5]
@@ -555,7 +575,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 38.030000 0.000000 38.170000 0.490000 ;
+        RECT 31.030000 0.000000 31.170000 0.490000 ;
     END
   END wbs_adr_i[5]
   PIN wbs_adr_i[4]
@@ -563,7 +583,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 33.230000 0.000000 33.370000 0.490000 ;
+        RECT 27.130000 0.000000 27.270000 0.490000 ;
     END
   END wbs_adr_i[4]
   PIN wbs_adr_i[3]
@@ -571,7 +591,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 28.530000 0.000000 28.670000 0.490000 ;
+        RECT 23.130000 0.000000 23.270000 0.490000 ;
     END
   END wbs_adr_i[3]
   PIN wbs_adr_i[2]
@@ -579,7 +599,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 23.730000 0.000000 23.870000 0.490000 ;
+        RECT 19.230000 0.000000 19.370000 0.490000 ;
     END
   END wbs_adr_i[2]
   PIN wbs_adr_i[1]
@@ -587,7 +607,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 18.930000 0.000000 19.070000 0.490000 ;
+        RECT 15.330000 0.000000 15.470000 0.490000 ;
     END
   END wbs_adr_i[1]
   PIN wbs_adr_i[0]
@@ -595,271 +615,337 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 14.130000 0.000000 14.270000 0.490000 ;
+        RECT 11.430000 0.000000 11.570000 0.490000 ;
     END
   END wbs_adr_i[0]
   PIN wbs_ack_o
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.5718 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 17.633 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 9.330000 0.000000 9.470000 0.490000 ;
+        RECT 7.530000 0.000000 7.670000 0.490000 ;
     END
   END wbs_ack_o
   PIN wbs_dat_o[31]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9322 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.553 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 472.630000 0.000000 472.770000 0.490000 ;
+        RECT 386.130000 0.000000 386.270000 0.490000 ;
     END
   END wbs_dat_o[31]
   PIN wbs_dat_o[30]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9098 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.441 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 467.730000 0.000000 467.870000 0.490000 ;
+        RECT 382.230000 0.000000 382.370000 0.490000 ;
     END
   END wbs_dat_o[30]
   PIN wbs_dat_o[29]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.879 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.287 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 463.030000 0.000000 463.170000 0.490000 ;
+        RECT 378.330000 0.000000 378.470000 0.490000 ;
     END
   END wbs_dat_o[29]
   PIN wbs_dat_o[28]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8958 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.371 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 458.230000 0.000000 458.370000 0.490000 ;
+        RECT 374.430000 0.000000 374.570000 0.490000 ;
     END
   END wbs_dat_o[28]
   PIN wbs_dat_o[27]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 453.430000 0.000000 453.570000 0.490000 ;
+        RECT 370.630000 0.000000 370.770000 0.490000 ;
     END
   END wbs_dat_o[27]
   PIN wbs_dat_o[26]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9336 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.56 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 448.630000 0.000000 448.770000 0.490000 ;
+        RECT 366.730000 0.000000 366.870000 0.490000 ;
     END
   END wbs_dat_o[26]
   PIN wbs_dat_o[25]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 443.830000 0.000000 443.970000 0.490000 ;
+        RECT 362.830000 0.000000 362.970000 0.490000 ;
     END
   END wbs_dat_o[25]
   PIN wbs_dat_o[24]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9364 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 439.030000 0.000000 439.170000 0.490000 ;
+        RECT 358.930000 0.000000 359.070000 0.490000 ;
     END
   END wbs_dat_o[24]
   PIN wbs_dat_o[23]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 434.330000 0.000000 434.470000 0.490000 ;
+        RECT 355.030000 0.000000 355.170000 0.490000 ;
     END
   END wbs_dat_o[23]
   PIN wbs_dat_o[22]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8734 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.259 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 429.630000 0.000000 429.770000 0.490000 ;
+        RECT 351.130000 0.000000 351.270000 0.490000 ;
     END
   END wbs_dat_o[22]
   PIN wbs_dat_o[21]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 424.830000 0.000000 424.970000 0.490000 ;
+        RECT 347.230000 0.000000 347.370000 0.490000 ;
     END
   END wbs_dat_o[21]
   PIN wbs_dat_o[20]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 420.030000 0.000000 420.170000 0.490000 ;
+        RECT 343.330000 0.000000 343.470000 0.490000 ;
     END
   END wbs_dat_o[20]
   PIN wbs_dat_o[19]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 415.230000 0.000000 415.370000 0.490000 ;
+        RECT 339.430000 0.000000 339.570000 0.490000 ;
     END
   END wbs_dat_o[19]
   PIN wbs_dat_o[18]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9308 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.546 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 410.430000 0.000000 410.570000 0.490000 ;
+        RECT 335.430000 0.000000 335.570000 0.490000 ;
     END
   END wbs_dat_o[18]
   PIN wbs_dat_o[17]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 405.730000 0.000000 405.870000 0.490000 ;
+        RECT 331.530000 0.000000 331.670000 0.490000 ;
     END
   END wbs_dat_o[17]
   PIN wbs_dat_o[16]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9336 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.56 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 400.930000 0.000000 401.070000 0.490000 ;
+        RECT 327.630000 0.000000 327.770000 0.490000 ;
     END
   END wbs_dat_o[16]
   PIN wbs_dat_o[15]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9098 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.441 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 396.130000 0.000000 396.270000 0.490000 ;
+        RECT 323.730000 0.000000 323.870000 0.490000 ;
     END
   END wbs_dat_o[15]
   PIN wbs_dat_o[14]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 391.330000 0.000000 391.470000 0.490000 ;
+        RECT 319.830000 0.000000 319.970000 0.490000 ;
     END
   END wbs_dat_o[14]
   PIN wbs_dat_o[13]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 386.530000 0.000000 386.670000 0.490000 ;
+        RECT 315.930000 0.000000 316.070000 0.490000 ;
     END
   END wbs_dat_o[13]
   PIN wbs_dat_o[12]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9392 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.588 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 381.830000 0.000000 381.970000 0.490000 ;
+        RECT 312.030000 0.000000 312.170000 0.490000 ;
     END
   END wbs_dat_o[12]
   PIN wbs_dat_o[11]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 377.130000 0.000000 377.270000 0.490000 ;
+        RECT 308.130000 0.000000 308.270000 0.490000 ;
     END
   END wbs_dat_o[11]
   PIN wbs_dat_o[10]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9392 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.588 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 372.330000 0.000000 372.470000 0.490000 ;
+        RECT 304.230000 0.000000 304.370000 0.490000 ;
     END
   END wbs_dat_o[10]
   PIN wbs_dat_o[9]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 367.530000 0.000000 367.670000 0.490000 ;
+        RECT 300.330000 0.000000 300.470000 0.490000 ;
     END
   END wbs_dat_o[9]
   PIN wbs_dat_o[8]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8762 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.273 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 362.730000 0.000000 362.870000 0.490000 ;
+        RECT 296.430000 0.000000 296.570000 0.490000 ;
     END
   END wbs_dat_o[8]
   PIN wbs_dat_o[7]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 357.930000 0.000000 358.070000 0.490000 ;
+        RECT 292.530000 0.000000 292.670000 0.490000 ;
     END
   END wbs_dat_o[7]
   PIN wbs_dat_o[6]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 353.130000 0.000000 353.270000 0.490000 ;
+        RECT 288.730000 0.000000 288.870000 0.490000 ;
     END
   END wbs_dat_o[6]
   PIN wbs_dat_o[5]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 348.430000 0.000000 348.570000 0.490000 ;
+        RECT 284.730000 0.000000 284.870000 0.490000 ;
     END
   END wbs_dat_o[5]
   PIN wbs_dat_o[4]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9308 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.546 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 343.630000 0.000000 343.770000 0.490000 ;
+        RECT 280.830000 0.000000 280.970000 0.490000 ;
     END
   END wbs_dat_o[4]
   PIN wbs_dat_o[3]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9154 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.469 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 338.830000 0.000000 338.970000 0.490000 ;
+        RECT 276.930000 0.000000 277.070000 0.490000 ;
     END
   END wbs_dat_o[3]
   PIN wbs_dat_o[2]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 334.130000 0.000000 334.270000 0.490000 ;
+        RECT 273.030000 0.000000 273.170000 0.490000 ;
     END
   END wbs_dat_o[2]
   PIN wbs_dat_o[1]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8902 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.343 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 329.330000 0.000000 329.470000 0.490000 ;
+        RECT 269.130000 0.000000 269.270000 0.490000 ;
     END
   END wbs_dat_o[1]
   PIN wbs_dat_o[0]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9252 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.518 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 324.530000 0.000000 324.670000 0.490000 ;
+        RECT 265.230000 0.000000 265.370000 0.490000 ;
     END
   END wbs_dat_o[0]
   PIN la_data_in[127]
@@ -867,7 +953,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1112.430000 0.000000 1112.570000 0.490000 ;
+        RECT 909.230000 0.000000 909.370000 0.490000 ;
     END
   END la_data_in[127]
   PIN la_data_in[126]
@@ -875,7 +961,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1107.730000 0.000000 1107.870000 0.490000 ;
+        RECT 905.330000 0.000000 905.470000 0.490000 ;
     END
   END la_data_in[126]
   PIN la_data_in[125]
@@ -883,7 +969,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1103.030000 0.000000 1103.170000 0.490000 ;
+        RECT 901.430000 0.000000 901.570000 0.490000 ;
     END
   END la_data_in[125]
   PIN la_data_in[124]
@@ -891,7 +977,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1098.230000 0.000000 1098.370000 0.490000 ;
+        RECT 897.530000 0.000000 897.670000 0.490000 ;
     END
   END la_data_in[124]
   PIN la_data_in[123]
@@ -899,7 +985,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1093.430000 0.000000 1093.570000 0.490000 ;
+        RECT 893.630000 0.000000 893.770000 0.490000 ;
     END
   END la_data_in[123]
   PIN la_data_in[122]
@@ -907,7 +993,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1088.630000 0.000000 1088.770000 0.490000 ;
+        RECT 889.730000 0.000000 889.870000 0.490000 ;
     END
   END la_data_in[122]
   PIN la_data_in[121]
@@ -915,7 +1001,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1083.830000 0.000000 1083.970000 0.490000 ;
+        RECT 885.830000 0.000000 885.970000 0.490000 ;
     END
   END la_data_in[121]
   PIN la_data_in[120]
@@ -923,7 +1009,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1079.130000 0.000000 1079.270000 0.490000 ;
+        RECT 881.830000 0.000000 881.970000 0.490000 ;
     END
   END la_data_in[120]
   PIN la_data_in[119]
@@ -931,7 +1017,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1074.330000 0.000000 1074.470000 0.490000 ;
+        RECT 877.930000 0.000000 878.070000 0.490000 ;
     END
   END la_data_in[119]
   PIN la_data_in[118]
@@ -939,7 +1025,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1069.530000 0.000000 1069.670000 0.490000 ;
+        RECT 874.030000 0.000000 874.170000 0.490000 ;
     END
   END la_data_in[118]
   PIN la_data_in[117]
@@ -947,7 +1033,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1064.730000 0.000000 1064.870000 0.490000 ;
+        RECT 870.130000 0.000000 870.270000 0.490000 ;
     END
   END la_data_in[117]
   PIN la_data_in[116]
@@ -955,7 +1041,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1059.930000 0.000000 1060.070000 0.490000 ;
+        RECT 866.330000 0.000000 866.470000 0.490000 ;
     END
   END la_data_in[116]
   PIN la_data_in[115]
@@ -963,7 +1049,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1055.230000 0.000000 1055.370000 0.490000 ;
+        RECT 862.530000 0.000000 862.670000 0.490000 ;
     END
   END la_data_in[115]
   PIN la_data_in[114]
@@ -971,7 +1057,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1050.530000 0.000000 1050.670000 0.490000 ;
+        RECT 858.630000 0.000000 858.770000 0.490000 ;
     END
   END la_data_in[114]
   PIN la_data_in[113]
@@ -979,7 +1065,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1045.730000 0.000000 1045.870000 0.490000 ;
+        RECT 854.630000 0.000000 854.770000 0.490000 ;
     END
   END la_data_in[113]
   PIN la_data_in[112]
@@ -987,7 +1073,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1040.930000 0.000000 1041.070000 0.490000 ;
+        RECT 850.730000 0.000000 850.870000 0.490000 ;
     END
   END la_data_in[112]
   PIN la_data_in[111]
@@ -995,7 +1081,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1036.130000 0.000000 1036.270000 0.490000 ;
+        RECT 846.830000 0.000000 846.970000 0.490000 ;
     END
   END la_data_in[111]
   PIN la_data_in[110]
@@ -1003,7 +1089,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1031.330000 0.000000 1031.470000 0.490000 ;
+        RECT 842.930000 0.000000 843.070000 0.490000 ;
     END
   END la_data_in[110]
   PIN la_data_in[109]
@@ -1011,7 +1097,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1026.530000 0.000000 1026.670000 0.490000 ;
+        RECT 839.030000 0.000000 839.170000 0.490000 ;
     END
   END la_data_in[109]
   PIN la_data_in[108]
@@ -1019,7 +1105,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1021.830000 0.000000 1021.970000 0.490000 ;
+        RECT 835.130000 0.000000 835.270000 0.490000 ;
     END
   END la_data_in[108]
   PIN la_data_in[107]
@@ -1027,7 +1113,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1017.030000 0.000000 1017.170000 0.490000 ;
+        RECT 831.130000 0.000000 831.270000 0.490000 ;
     END
   END la_data_in[107]
   PIN la_data_in[106]
@@ -1035,7 +1121,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1012.230000 0.000000 1012.370000 0.490000 ;
+        RECT 827.230000 0.000000 827.370000 0.490000 ;
     END
   END la_data_in[106]
   PIN la_data_in[105]
@@ -1043,7 +1129,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1007.530000 0.000000 1007.670000 0.490000 ;
+        RECT 823.330000 0.000000 823.470000 0.490000 ;
     END
   END la_data_in[105]
   PIN la_data_in[104]
@@ -1051,7 +1137,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1002.730000 0.000000 1002.870000 0.490000 ;
+        RECT 819.430000 0.000000 819.570000 0.490000 ;
     END
   END la_data_in[104]
   PIN la_data_in[103]
@@ -1059,7 +1145,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 997.930000 0.000000 998.070000 0.490000 ;
+        RECT 815.530000 0.000000 815.670000 0.490000 ;
     END
   END la_data_in[103]
   PIN la_data_in[102]
@@ -1067,7 +1153,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 993.230000 0.000000 993.370000 0.490000 ;
+        RECT 811.630000 0.000000 811.770000 0.490000 ;
     END
   END la_data_in[102]
   PIN la_data_in[101]
@@ -1075,7 +1161,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 988.430000 0.000000 988.570000 0.490000 ;
+        RECT 807.730000 0.000000 807.870000 0.490000 ;
     END
   END la_data_in[101]
   PIN la_data_in[100]
@@ -1083,7 +1169,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 983.630000 0.000000 983.770000 0.490000 ;
+        RECT 803.830000 0.000000 803.970000 0.490000 ;
     END
   END la_data_in[100]
   PIN la_data_in[99]
@@ -1091,7 +1177,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 978.830000 0.000000 978.970000 0.490000 ;
+        RECT 799.930000 0.000000 800.070000 0.490000 ;
     END
   END la_data_in[99]
   PIN la_data_in[98]
@@ -1099,7 +1185,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 974.030000 0.000000 974.170000 0.490000 ;
+        RECT 796.030000 0.000000 796.170000 0.490000 ;
     END
   END la_data_in[98]
   PIN la_data_in[97]
@@ -1107,7 +1193,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 969.230000 0.000000 969.370000 0.490000 ;
+        RECT 792.230000 0.000000 792.370000 0.490000 ;
     END
   END la_data_in[97]
   PIN la_data_in[96]
@@ -1115,7 +1201,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 964.530000 0.000000 964.670000 0.490000 ;
+        RECT 788.330000 0.000000 788.470000 0.490000 ;
     END
   END la_data_in[96]
   PIN la_data_in[95]
@@ -1123,7 +1209,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 959.830000 0.000000 959.970000 0.490000 ;
+        RECT 784.430000 0.000000 784.570000 0.490000 ;
     END
   END la_data_in[95]
   PIN la_data_in[94]
@@ -1131,7 +1217,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 955.030000 0.000000 955.170000 0.490000 ;
+        RECT 780.530000 0.000000 780.670000 0.490000 ;
     END
   END la_data_in[94]
   PIN la_data_in[93]
@@ -1139,7 +1225,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 950.230000 0.000000 950.370000 0.490000 ;
+        RECT 776.530000 0.000000 776.670000 0.490000 ;
     END
   END la_data_in[93]
   PIN la_data_in[92]
@@ -1147,7 +1233,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 945.330000 0.000000 945.470000 0.490000 ;
+        RECT 772.630000 0.000000 772.770000 0.490000 ;
     END
   END la_data_in[92]
   PIN la_data_in[91]
@@ -1155,7 +1241,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 940.530000 0.000000 940.670000 0.490000 ;
+        RECT 768.730000 0.000000 768.870000 0.490000 ;
     END
   END la_data_in[91]
   PIN la_data_in[90]
@@ -1163,7 +1249,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 935.730000 0.000000 935.870000 0.490000 ;
+        RECT 764.830000 0.000000 764.970000 0.490000 ;
     END
   END la_data_in[90]
   PIN la_data_in[89]
@@ -1171,7 +1257,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 931.030000 0.000000 931.170000 0.490000 ;
+        RECT 760.930000 0.000000 761.070000 0.490000 ;
     END
   END la_data_in[89]
   PIN la_data_in[88]
@@ -1179,7 +1265,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 926.230000 0.000000 926.370000 0.490000 ;
+        RECT 757.030000 0.000000 757.170000 0.490000 ;
     END
   END la_data_in[88]
   PIN la_data_in[87]
@@ -1187,7 +1273,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 921.430000 0.000000 921.570000 0.490000 ;
+        RECT 753.030000 0.000000 753.170000 0.490000 ;
     END
   END la_data_in[87]
   PIN la_data_in[86]
@@ -1195,7 +1281,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 916.630000 0.000000 916.770000 0.490000 ;
+        RECT 749.130000 0.000000 749.270000 0.490000 ;
     END
   END la_data_in[86]
   PIN la_data_in[85]
@@ -1203,7 +1289,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 911.830000 0.000000 911.970000 0.490000 ;
+        RECT 745.230000 0.000000 745.370000 0.490000 ;
     END
   END la_data_in[85]
   PIN la_data_in[84]
@@ -1211,7 +1297,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 907.130000 0.000000 907.270000 0.490000 ;
+        RECT 741.430000 0.000000 741.570000 0.490000 ;
     END
   END la_data_in[84]
   PIN la_data_in[83]
@@ -1219,7 +1305,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 902.430000 0.000000 902.570000 0.490000 ;
+        RECT 737.530000 0.000000 737.670000 0.490000 ;
     END
   END la_data_in[83]
   PIN la_data_in[82]
@@ -1227,7 +1313,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 897.630000 0.000000 897.770000 0.490000 ;
+        RECT 733.630000 0.000000 733.770000 0.490000 ;
     END
   END la_data_in[82]
   PIN la_data_in[81]
@@ -1235,7 +1321,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 892.830000 0.000000 892.970000 0.490000 ;
+        RECT 729.730000 0.000000 729.870000 0.490000 ;
     END
   END la_data_in[81]
   PIN la_data_in[80]
@@ -1243,7 +1329,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 888.030000 0.000000 888.170000 0.490000 ;
+        RECT 725.730000 0.000000 725.870000 0.490000 ;
     END
   END la_data_in[80]
   PIN la_data_in[79]
@@ -1251,7 +1337,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 883.230000 0.000000 883.370000 0.490000 ;
+        RECT 721.930000 0.000000 722.070000 0.490000 ;
     END
   END la_data_in[79]
   PIN la_data_in[78]
@@ -1259,7 +1345,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 878.430000 0.000000 878.570000 0.490000 ;
+        RECT 718.030000 0.000000 718.170000 0.490000 ;
     END
   END la_data_in[78]
   PIN la_data_in[77]
@@ -1267,7 +1353,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 873.730000 0.000000 873.870000 0.490000 ;
+        RECT 714.130000 0.000000 714.270000 0.490000 ;
     END
   END la_data_in[77]
   PIN la_data_in[76]
@@ -1275,7 +1361,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 868.930000 0.000000 869.070000 0.490000 ;
+        RECT 710.230000 0.000000 710.370000 0.490000 ;
     END
   END la_data_in[76]
   PIN la_data_in[75]
@@ -1283,7 +1369,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 864.130000 0.000000 864.270000 0.490000 ;
+        RECT 706.330000 0.000000 706.470000 0.490000 ;
     END
   END la_data_in[75]
   PIN la_data_in[74]
@@ -1291,7 +1377,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 859.430000 0.000000 859.570000 0.490000 ;
+        RECT 702.430000 0.000000 702.570000 0.490000 ;
     END
   END la_data_in[74]
   PIN la_data_in[73]
@@ -1299,7 +1385,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 854.630000 0.000000 854.770000 0.490000 ;
+        RECT 698.430000 0.000000 698.570000 0.490000 ;
     END
   END la_data_in[73]
   PIN la_data_in[72]
@@ -1307,7 +1393,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 849.830000 0.000000 849.970000 0.490000 ;
+        RECT 694.530000 0.000000 694.670000 0.490000 ;
     END
   END la_data_in[72]
   PIN la_data_in[71]
@@ -1315,7 +1401,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 845.130000 0.000000 845.270000 0.490000 ;
+        RECT 690.630000 0.000000 690.770000 0.490000 ;
     END
   END la_data_in[71]
   PIN la_data_in[70]
@@ -1323,7 +1409,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 840.330000 0.000000 840.470000 0.490000 ;
+        RECT 686.730000 0.000000 686.870000 0.490000 ;
     END
   END la_data_in[70]
   PIN la_data_in[69]
@@ -1331,7 +1417,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 835.530000 0.000000 835.670000 0.490000 ;
+        RECT 682.830000 0.000000 682.970000 0.490000 ;
     END
   END la_data_in[69]
   PIN la_data_in[68]
@@ -1339,7 +1425,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 830.730000 0.000000 830.870000 0.490000 ;
+        RECT 678.930000 0.000000 679.070000 0.490000 ;
     END
   END la_data_in[68]
   PIN la_data_in[67]
@@ -1347,7 +1433,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 825.930000 0.000000 826.070000 0.490000 ;
+        RECT 675.030000 0.000000 675.170000 0.490000 ;
     END
   END la_data_in[67]
   PIN la_data_in[66]
@@ -1355,7 +1441,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 821.130000 0.000000 821.270000 0.490000 ;
+        RECT 671.130000 0.000000 671.270000 0.490000 ;
     END
   END la_data_in[66]
   PIN la_data_in[65]
@@ -1363,7 +1449,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 816.430000 0.000000 816.570000 0.490000 ;
+        RECT 667.230000 0.000000 667.370000 0.490000 ;
     END
   END la_data_in[65]
   PIN la_data_in[64]
@@ -1371,7 +1457,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 811.730000 0.000000 811.870000 0.490000 ;
+        RECT 663.330000 0.000000 663.470000 0.490000 ;
     END
   END la_data_in[64]
   PIN la_data_in[63]
@@ -1379,7 +1465,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 806.930000 0.000000 807.070000 0.490000 ;
+        RECT 659.430000 0.000000 659.570000 0.490000 ;
     END
   END la_data_in[63]
   PIN la_data_in[62]
@@ -1387,7 +1473,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 802.130000 0.000000 802.270000 0.490000 ;
+        RECT 655.530000 0.000000 655.670000 0.490000 ;
     END
   END la_data_in[62]
   PIN la_data_in[61]
@@ -1395,7 +1481,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 797.330000 0.000000 797.470000 0.490000 ;
+        RECT 651.630000 0.000000 651.770000 0.490000 ;
     END
   END la_data_in[61]
   PIN la_data_in[60]
@@ -1403,7 +1489,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 792.530000 0.000000 792.670000 0.490000 ;
+        RECT 647.730000 0.000000 647.870000 0.490000 ;
     END
   END la_data_in[60]
   PIN la_data_in[59]
@@ -1411,7 +1497,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 787.830000 0.000000 787.970000 0.490000 ;
+        RECT 643.830000 0.000000 643.970000 0.490000 ;
     END
   END la_data_in[59]
   PIN la_data_in[58]
@@ -1419,7 +1505,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 783.030000 0.000000 783.170000 0.490000 ;
+        RECT 639.930000 0.000000 640.070000 0.490000 ;
     END
   END la_data_in[58]
   PIN la_data_in[57]
@@ -1427,7 +1513,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 778.230000 0.000000 778.370000 0.490000 ;
+        RECT 636.030000 0.000000 636.170000 0.490000 ;
     END
   END la_data_in[57]
   PIN la_data_in[56]
@@ -1435,7 +1521,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 773.430000 0.000000 773.570000 0.490000 ;
+        RECT 632.130000 0.000000 632.270000 0.490000 ;
     END
   END la_data_in[56]
   PIN la_data_in[55]
@@ -1443,7 +1529,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 768.630000 0.000000 768.770000 0.490000 ;
+        RECT 628.230000 0.000000 628.370000 0.490000 ;
     END
   END la_data_in[55]
   PIN la_data_in[54]
@@ -1451,7 +1537,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 763.930000 0.000000 764.070000 0.490000 ;
+        RECT 624.330000 0.000000 624.470000 0.490000 ;
     END
   END la_data_in[54]
   PIN la_data_in[53]
@@ -1459,7 +1545,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 759.230000 0.000000 759.370000 0.490000 ;
+        RECT 620.430000 0.000000 620.570000 0.490000 ;
     END
   END la_data_in[53]
   PIN la_data_in[52]
@@ -1467,7 +1553,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 754.430000 0.000000 754.570000 0.490000 ;
+        RECT 616.530000 0.000000 616.670000 0.490000 ;
     END
   END la_data_in[52]
   PIN la_data_in[51]
@@ -1475,7 +1561,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 749.630000 0.000000 749.770000 0.490000 ;
+        RECT 612.630000 0.000000 612.770000 0.490000 ;
     END
   END la_data_in[51]
   PIN la_data_in[50]
@@ -1483,7 +1569,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 744.830000 0.000000 744.970000 0.490000 ;
+        RECT 608.730000 0.000000 608.870000 0.490000 ;
     END
   END la_data_in[50]
   PIN la_data_in[49]
@@ -1491,7 +1577,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 740.030000 0.000000 740.170000 0.490000 ;
+        RECT 604.830000 0.000000 604.970000 0.490000 ;
     END
   END la_data_in[49]
   PIN la_data_in[48]
@@ -1499,7 +1585,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 735.230000 0.000000 735.370000 0.490000 ;
+        RECT 600.930000 0.000000 601.070000 0.490000 ;
     END
   END la_data_in[48]
   PIN la_data_in[47]
@@ -1507,7 +1593,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 730.530000 0.000000 730.670000 0.490000 ;
+        RECT 596.930000 0.000000 597.070000 0.490000 ;
     END
   END la_data_in[47]
   PIN la_data_in[46]
@@ -1515,7 +1601,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 725.730000 0.000000 725.870000 0.490000 ;
+        RECT 593.030000 0.000000 593.170000 0.490000 ;
     END
   END la_data_in[46]
   PIN la_data_in[45]
@@ -1523,7 +1609,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 720.930000 0.000000 721.070000 0.490000 ;
+        RECT 589.130000 0.000000 589.270000 0.490000 ;
     END
   END la_data_in[45]
   PIN la_data_in[44]
@@ -1531,7 +1617,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 716.230000 0.000000 716.370000 0.490000 ;
+        RECT 585.230000 0.000000 585.370000 0.490000 ;
     END
   END la_data_in[44]
   PIN la_data_in[43]
@@ -1539,7 +1625,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 711.430000 0.000000 711.570000 0.490000 ;
+        RECT 581.330000 0.000000 581.470000 0.490000 ;
     END
   END la_data_in[43]
   PIN la_data_in[42]
@@ -1547,7 +1633,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 706.530000 0.000000 706.670000 0.490000 ;
+        RECT 577.530000 0.000000 577.670000 0.490000 ;
     END
   END la_data_in[42]
   PIN la_data_in[41]
@@ -1555,7 +1641,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 701.730000 0.000000 701.870000 0.490000 ;
+        RECT 573.630000 0.000000 573.770000 0.490000 ;
     END
   END la_data_in[41]
   PIN la_data_in[40]
@@ -1563,7 +1649,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 697.030000 0.000000 697.170000 0.490000 ;
+        RECT 569.630000 0.000000 569.770000 0.490000 ;
     END
   END la_data_in[40]
   PIN la_data_in[39]
@@ -1571,7 +1657,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 692.230000 0.000000 692.370000 0.490000 ;
+        RECT 565.730000 0.000000 565.870000 0.490000 ;
     END
   END la_data_in[39]
   PIN la_data_in[38]
@@ -1579,7 +1665,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 687.430000 0.000000 687.570000 0.490000 ;
+        RECT 561.830000 0.000000 561.970000 0.490000 ;
     END
   END la_data_in[38]
   PIN la_data_in[37]
@@ -1587,7 +1673,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 682.630000 0.000000 682.770000 0.490000 ;
+        RECT 557.930000 0.000000 558.070000 0.490000 ;
     END
   END la_data_in[37]
   PIN la_data_in[36]
@@ -1595,7 +1681,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 677.830000 0.000000 677.970000 0.490000 ;
+        RECT 554.130000 0.000000 554.270000 0.490000 ;
     END
   END la_data_in[36]
   PIN la_data_in[35]
@@ -1603,7 +1689,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 673.030000 0.000000 673.170000 0.490000 ;
+        RECT 550.230000 0.000000 550.370000 0.490000 ;
     END
   END la_data_in[35]
   PIN la_data_in[34]
@@ -1611,7 +1697,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 668.430000 0.000000 668.570000 0.490000 ;
+        RECT 546.330000 0.000000 546.470000 0.490000 ;
     END
   END la_data_in[34]
   PIN la_data_in[33]
@@ -1619,7 +1705,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 663.630000 0.000000 663.770000 0.490000 ;
+        RECT 542.330000 0.000000 542.470000 0.490000 ;
     END
   END la_data_in[33]
   PIN la_data_in[32]
@@ -1627,7 +1713,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 658.830000 0.000000 658.970000 0.490000 ;
+        RECT 538.430000 0.000000 538.570000 0.490000 ;
     END
   END la_data_in[32]
   PIN la_data_in[31]
@@ -1635,7 +1721,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 654.030000 0.000000 654.170000 0.490000 ;
+        RECT 534.530000 0.000000 534.670000 0.490000 ;
     END
   END la_data_in[31]
   PIN la_data_in[30]
@@ -1643,7 +1729,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 649.230000 0.000000 649.370000 0.490000 ;
+        RECT 530.630000 0.000000 530.770000 0.490000 ;
     END
   END la_data_in[30]
   PIN la_data_in[29]
@@ -1651,7 +1737,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 644.430000 0.000000 644.570000 0.490000 ;
+        RECT 526.730000 0.000000 526.870000 0.490000 ;
     END
   END la_data_in[29]
   PIN la_data_in[28]
@@ -1659,7 +1745,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 639.730000 0.000000 639.870000 0.490000 ;
+        RECT 522.830000 0.000000 522.970000 0.490000 ;
     END
   END la_data_in[28]
   PIN la_data_in[27]
@@ -1667,7 +1753,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 634.930000 0.000000 635.070000 0.490000 ;
+        RECT 518.830000 0.000000 518.970000 0.490000 ;
     END
   END la_data_in[27]
   PIN la_data_in[26]
@@ -1675,7 +1761,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 630.130000 0.000000 630.270000 0.490000 ;
+        RECT 514.930000 0.000000 515.070000 0.490000 ;
     END
   END la_data_in[26]
   PIN la_data_in[25]
@@ -1683,7 +1769,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 625.330000 0.000000 625.470000 0.490000 ;
+        RECT 511.030000 0.000000 511.170000 0.490000 ;
     END
   END la_data_in[25]
   PIN la_data_in[24]
@@ -1691,7 +1777,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 620.630000 0.000000 620.770000 0.490000 ;
+        RECT 507.230000 0.000000 507.370000 0.490000 ;
     END
   END la_data_in[24]
   PIN la_data_in[23]
@@ -1699,7 +1785,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 615.830000 0.000000 615.970000 0.490000 ;
+        RECT 503.330000 0.000000 503.470000 0.490000 ;
     END
   END la_data_in[23]
   PIN la_data_in[22]
@@ -1707,7 +1793,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 611.130000 0.000000 611.270000 0.490000 ;
+        RECT 499.430000 0.000000 499.570000 0.490000 ;
     END
   END la_data_in[22]
   PIN la_data_in[21]
@@ -1715,7 +1801,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 606.330000 0.000000 606.470000 0.490000 ;
+        RECT 495.530000 0.000000 495.670000 0.490000 ;
     END
   END la_data_in[21]
   PIN la_data_in[20]
@@ -1723,7 +1809,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 601.530000 0.000000 601.670000 0.490000 ;
+        RECT 491.630000 0.000000 491.770000 0.490000 ;
     END
   END la_data_in[20]
   PIN la_data_in[19]
@@ -1731,7 +1817,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 596.730000 0.000000 596.870000 0.490000 ;
+        RECT 487.730000 0.000000 487.870000 0.490000 ;
     END
   END la_data_in[19]
   PIN la_data_in[18]
@@ -1739,7 +1825,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 591.930000 0.000000 592.070000 0.490000 ;
+        RECT 483.830000 0.000000 483.970000 0.490000 ;
     END
   END la_data_in[18]
   PIN la_data_in[17]
@@ -1747,7 +1833,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 587.130000 0.000000 587.270000 0.490000 ;
+        RECT 479.930000 0.000000 480.070000 0.490000 ;
     END
   END la_data_in[17]
   PIN la_data_in[16]
@@ -1755,1159 +1841,1530 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 582.430000 0.000000 582.570000 0.490000 ;
+        RECT 476.030000 0.000000 476.170000 0.490000 ;
     END
   END la_data_in[16]
   PIN la_data_in[15]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.635 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 18.067 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.126 LAYER met2  ;
+    ANTENNAMAXAREACAR 35.2861 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 166.187 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.407937 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 577.630000 0.000000 577.770000 0.490000 ;
+        RECT 472.130000 0.000000 472.270000 0.490000 ;
     END
   END la_data_in[15]
   PIN la_data_in[14]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.9283 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 19.5335 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.126 LAYER met2  ;
+    ANTENNAMAXAREACAR 35.6694 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 168.103 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.407937 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 572.930000 0.000000 573.070000 0.490000 ;
+        RECT 468.230000 0.000000 468.370000 0.490000 ;
     END
   END la_data_in[14]
   PIN la_data_in[13]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.6924 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 18.354 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.126 LAYER met2  ;
+    ANTENNAMAXAREACAR 34.2321 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 155.345 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.407937 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 568.130000 0.000000 568.270000 0.490000 ;
+        RECT 464.230000 0.000000 464.370000 0.490000 ;
     END
   END la_data_in[13]
   PIN la_data_in[12]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.3718 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 16.751 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 14.9154 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 72.6081 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 563.330000 0.000000 563.470000 0.490000 ;
+        RECT 460.330000 0.000000 460.470000 0.490000 ;
     END
   END la_data_in[12]
   PIN la_data_in[11]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.4054 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 16.919 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 14.7909 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 71.9859 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 558.530000 0.000000 558.670000 0.490000 ;
+        RECT 456.430000 0.000000 456.570000 0.490000 ;
     END
   END la_data_in[11]
   PIN la_data_in[10]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3059 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.3685 LAYER met2  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via2  ;
+    ANTENNAPARTIALMETALAREA 5.5008 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 29.808 LAYER met3  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met3  ;
+    ANTENNAMAXAREACAR 61.5612 LAYER met3  ;
+    ANTENNAMAXSIDEAREACAR 313.923 LAYER met3  ;
+    ANTENNAMAXCUTCAR 0.369293 LAYER via3  ;
     PORT
       LAYER met2 ;
-        RECT 553.830000 0.000000 553.970000 0.490000 ;
+        RECT 452.530000 0.000000 452.670000 0.490000 ;
     END
   END la_data_in[10]
   PIN la_data_in[9]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.3956 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 16.87 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.126 LAYER met2  ;
+    ANTENNAMAXAREACAR 30.9639 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 144.575 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.407937 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 549.030000 0.000000 549.170000 0.490000 ;
+        RECT 448.630000 0.000000 448.770000 0.490000 ;
     END
   END la_data_in[9]
   PIN la_data_in[8]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 5.921 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 29.379 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 25.0372 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 121.889 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 544.230000 0.000000 544.370000 0.490000 ;
+        RECT 444.730000 0.000000 444.870000 0.490000 ;
     END
   END la_data_in[8]
   PIN la_data_in[7]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.7918 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 18.851 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 17.2661 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 80.5111 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 539.430000 0.000000 539.570000 0.490000 ;
+        RECT 440.730000 0.000000 440.870000 0.490000 ;
     END
   END la_data_in[7]
   PIN la_data_in[6]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.7764 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 18.774 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 16.1665 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 78.7455 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 534.630000 0.000000 534.770000 0.490000 ;
+        RECT 436.930000 0.000000 437.070000 0.490000 ;
     END
   END la_data_in[6]
   PIN la_data_in[5]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 5.553 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 27.657 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 32.7774 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 160.253 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 529.830000 0.000000 529.970000 0.490000 ;
+        RECT 433.130000 0.000000 433.270000 0.490000 ;
     END
   END la_data_in[5]
   PIN la_data_in[4]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.2054 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 30.919 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 34.6267 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 167.618 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 525.230000 0.000000 525.370000 0.490000 ;
+        RECT 429.230000 0.000000 429.370000 0.490000 ;
     END
   END la_data_in[4]
   PIN la_data_in[3]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.3634 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 16.709 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 17.1982 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 81.1333 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 520.430000 0.000000 520.570000 0.490000 ;
+        RECT 425.330000 0.000000 425.470000 0.490000 ;
     END
   END la_data_in[3]
   PIN la_data_in[2]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.425 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 17.017 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 14.8701 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 72.3818 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 515.630000 0.000000 515.770000 0.490000 ;
+        RECT 421.430000 0.000000 421.570000 0.490000 ;
     END
   END la_data_in[2]
   PIN la_data_in[1]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 4.867 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 24.227 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 24.4788 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 116.879 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 510.830000 0.000000 510.970000 0.490000 ;
+        RECT 417.530000 0.000000 417.670000 0.490000 ;
     END
   END la_data_in[1]
   PIN la_data_in[0]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.103 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.407 LAYER met2  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.2475 LAYER met2  ;
+    ANTENNAMAXAREACAR 13.7877 LAYER met2  ;
+    ANTENNAMAXSIDEAREACAR 65.5455 LAYER met2  ;
+    ANTENNAMAXCUTCAR 0.207677 LAYER via2  ;
     PORT
       LAYER met2 ;
-        RECT 506.030000 0.000000 506.170000 0.490000 ;
+        RECT 413.530000 0.000000 413.670000 0.490000 ;
     END
   END la_data_in[0]
   PIN la_data_out[127]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9014 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.399 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1723.930000 0.000000 1724.070000 0.490000 ;
+        RECT 1408.930000 0.000000 1409.070000 0.490000 ;
     END
   END la_data_out[127]
   PIN la_data_out[126]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9322 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.553 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1719.130000 0.000000 1719.270000 0.490000 ;
+        RECT 1405.030000 0.000000 1405.170000 0.490000 ;
     END
   END la_data_out[126]
   PIN la_data_out[125]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8958 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.371 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1714.330000 0.000000 1714.470000 0.490000 ;
+        RECT 1401.030000 0.000000 1401.170000 0.490000 ;
     END
   END la_data_out[125]
   PIN la_data_out[124]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.879 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.287 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1709.530000 0.000000 1709.670000 0.490000 ;
+        RECT 1397.130000 0.000000 1397.270000 0.490000 ;
     END
   END la_data_out[124]
   PIN la_data_out[123]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9098 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.441 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1704.730000 0.000000 1704.870000 0.490000 ;
+        RECT 1393.230000 0.000000 1393.370000 0.490000 ;
     END
   END la_data_out[123]
   PIN la_data_out[122]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9322 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.553 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1699.930000 0.000000 1700.070000 0.490000 ;
+        RECT 1389.330000 0.000000 1389.470000 0.490000 ;
     END
   END la_data_out[122]
   PIN la_data_out[121]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9014 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.399 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1695.230000 0.000000 1695.370000 0.490000 ;
+        RECT 1385.430000 0.000000 1385.570000 0.490000 ;
     END
   END la_data_out[121]
   PIN la_data_out[120]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.935 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.567 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1690.430000 0.000000 1690.570000 0.490000 ;
+        RECT 1381.530000 0.000000 1381.670000 0.490000 ;
     END
   END la_data_out[120]
   PIN la_data_out[119]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8902 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.343 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1685.630000 0.000000 1685.770000 0.490000 ;
+        RECT 1377.530000 0.000000 1377.670000 0.490000 ;
     END
   END la_data_out[119]
   PIN la_data_out[118]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9238 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.511 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1680.830000 0.000000 1680.970000 0.490000 ;
+        RECT 1373.630000 0.000000 1373.770000 0.490000 ;
     END
   END la_data_out[118]
   PIN la_data_out[117]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.907 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.427 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1676.130000 0.000000 1676.270000 0.490000 ;
+        RECT 1369.830000 0.000000 1369.970000 0.490000 ;
     END
   END la_data_out[117]
   PIN la_data_out[116]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8762 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.273 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1671.330000 0.000000 1671.470000 0.490000 ;
+        RECT 1365.930000 0.000000 1366.070000 0.490000 ;
     END
   END la_data_out[116]
   PIN la_data_out[115]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8986 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.385 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1666.630000 0.000000 1666.770000 0.490000 ;
+        RECT 1362.030000 0.000000 1362.170000 0.490000 ;
     END
   END la_data_out[115]
   PIN la_data_out[114]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.879 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.287 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1661.730000 0.000000 1661.870000 0.490000 ;
+        RECT 1358.130000 0.000000 1358.270000 0.490000 ;
     END
   END la_data_out[114]
   PIN la_data_out[113]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9126 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.455 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1656.930000 0.000000 1657.070000 0.490000 ;
+        RECT 1354.230000 0.000000 1354.370000 0.490000 ;
     END
   END la_data_out[113]
   PIN la_data_out[112]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8818 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.301 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1652.130000 0.000000 1652.270000 0.490000 ;
+        RECT 1350.330000 0.000000 1350.470000 0.490000 ;
     END
   END la_data_out[112]
   PIN la_data_out[111]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9154 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.469 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1647.330000 0.000000 1647.470000 0.490000 ;
+        RECT 1346.430000 0.000000 1346.570000 0.490000 ;
     END
   END la_data_out[111]
   PIN la_data_out[110]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8846 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.315 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1642.530000 0.000000 1642.670000 0.490000 ;
+        RECT 1342.530000 0.000000 1342.670000 0.490000 ;
     END
   END la_data_out[110]
   PIN la_data_out[109]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9182 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.483 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1637.730000 0.000000 1637.870000 0.490000 ;
+        RECT 1338.630000 0.000000 1338.770000 0.490000 ;
     END
   END la_data_out[109]
   PIN la_data_out[108]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8874 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.329 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1633.030000 0.000000 1633.170000 0.490000 ;
+        RECT 1334.730000 0.000000 1334.870000 0.490000 ;
     END
   END la_data_out[108]
   PIN la_data_out[107]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.921 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.497 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1628.330000 0.000000 1628.470000 0.490000 ;
+        RECT 1330.830000 0.000000 1330.970000 0.490000 ;
     END
   END la_data_out[107]
   PIN la_data_out[106]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9196 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.49 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1623.530000 0.000000 1623.670000 0.490000 ;
+        RECT 1326.930000 0.000000 1327.070000 0.490000 ;
     END
   END la_data_out[106]
   PIN la_data_out[105]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1618.730000 0.000000 1618.870000 0.490000 ;
+        RECT 1322.930000 0.000000 1323.070000 0.490000 ;
     END
   END la_data_out[105]
   PIN la_data_out[104]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9336 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.56 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1613.930000 0.000000 1614.070000 0.490000 ;
+        RECT 1319.030000 0.000000 1319.170000 0.490000 ;
     END
   END la_data_out[104]
   PIN la_data_out[103]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1609.130000 0.000000 1609.270000 0.490000 ;
+        RECT 1315.130000 0.000000 1315.270000 0.490000 ;
     END
   END la_data_out[103]
   PIN la_data_out[102]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9308 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.546 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1604.430000 0.000000 1604.570000 0.490000 ;
+        RECT 1311.230000 0.000000 1311.370000 0.490000 ;
     END
   END la_data_out[102]
   PIN la_data_out[101]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1599.630000 0.000000 1599.770000 0.490000 ;
+        RECT 1307.330000 0.000000 1307.470000 0.490000 ;
     END
   END la_data_out[101]
   PIN la_data_out[100]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8846 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.315 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1594.830000 0.000000 1594.970000 0.490000 ;
+        RECT 1303.430000 0.000000 1303.570000 0.490000 ;
     END
   END la_data_out[100]
   PIN la_data_out[99]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1590.030000 0.000000 1590.170000 0.490000 ;
+        RECT 1299.530000 0.000000 1299.670000 0.490000 ;
     END
   END la_data_out[99]
   PIN la_data_out[98]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1585.230000 0.000000 1585.370000 0.490000 ;
+        RECT 1295.730000 0.000000 1295.870000 0.490000 ;
     END
   END la_data_out[98]
   PIN la_data_out[97]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9392 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.588 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1580.530000 0.000000 1580.670000 0.490000 ;
+        RECT 1291.830000 0.000000 1291.970000 0.490000 ;
     END
   END la_data_out[97]
   PIN la_data_out[96]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9042 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.413 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1575.830000 0.000000 1575.970000 0.490000 ;
+        RECT 1287.930000 0.000000 1288.070000 0.490000 ;
     END
   END la_data_out[96]
   PIN la_data_out[95]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9392 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.588 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1571.030000 0.000000 1571.170000 0.490000 ;
+        RECT 1284.030000 0.000000 1284.170000 0.490000 ;
     END
   END la_data_out[95]
   PIN la_data_out[94]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1566.230000 0.000000 1566.370000 0.490000 ;
+        RECT 1280.130000 0.000000 1280.270000 0.490000 ;
     END
   END la_data_out[94]
   PIN la_data_out[93]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9364 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1561.430000 0.000000 1561.570000 0.490000 ;
+        RECT 1276.230000 0.000000 1276.370000 0.490000 ;
     END
   END la_data_out[93]
   PIN la_data_out[92]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1556.630000 0.000000 1556.770000 0.490000 ;
+        RECT 1272.230000 0.000000 1272.370000 0.490000 ;
     END
   END la_data_out[92]
   PIN la_data_out[91]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9336 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.56 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1551.830000 0.000000 1551.970000 0.490000 ;
+        RECT 1268.330000 0.000000 1268.470000 0.490000 ;
     END
   END la_data_out[91]
   PIN la_data_out[90]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1547.130000 0.000000 1547.270000 0.490000 ;
+        RECT 1264.430000 0.000000 1264.570000 0.490000 ;
     END
   END la_data_out[90]
   PIN la_data_out[89]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9364 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1542.330000 0.000000 1542.470000 0.490000 ;
+        RECT 1260.530000 0.000000 1260.670000 0.490000 ;
     END
   END la_data_out[89]
   PIN la_data_out[88]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1537.530000 0.000000 1537.670000 0.490000 ;
+        RECT 1256.630000 0.000000 1256.770000 0.490000 ;
     END
   END la_data_out[88]
   PIN la_data_out[87]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1532.830000 0.000000 1532.970000 0.490000 ;
+        RECT 1252.730000 0.000000 1252.870000 0.490000 ;
     END
   END la_data_out[87]
   PIN la_data_out[86]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9042 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.413 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1528.030000 0.000000 1528.170000 0.490000 ;
+        RECT 1248.830000 0.000000 1248.970000 0.490000 ;
     END
   END la_data_out[86]
   PIN la_data_out[85]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1523.230000 0.000000 1523.370000 0.490000 ;
+        RECT 1244.830000 0.000000 1244.970000 0.490000 ;
     END
   END la_data_out[85]
   PIN la_data_out[84]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9154 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.469 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1518.530000 0.000000 1518.670000 0.490000 ;
+        RECT 1240.930000 0.000000 1241.070000 0.490000 ;
     END
   END la_data_out[84]
   PIN la_data_out[83]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9308 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.546 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1513.730000 0.000000 1513.870000 0.490000 ;
+        RECT 1237.030000 0.000000 1237.170000 0.490000 ;
     END
   END la_data_out[83]
   PIN la_data_out[82]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9126 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.455 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1508.930000 0.000000 1509.070000 0.490000 ;
+        RECT 1233.130000 0.000000 1233.270000 0.490000 ;
     END
   END la_data_out[82]
   PIN la_data_out[81]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9336 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.56 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1504.130000 0.000000 1504.270000 0.490000 ;
+        RECT 1229.330000 0.000000 1229.470000 0.490000 ;
     END
   END la_data_out[81]
   PIN la_data_out[80]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1499.330000 0.000000 1499.470000 0.490000 ;
+        RECT 1225.430000 0.000000 1225.570000 0.490000 ;
     END
   END la_data_out[80]
   PIN la_data_out[79]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8818 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.301 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1494.530000 0.000000 1494.670000 0.490000 ;
+        RECT 1221.530000 0.000000 1221.670000 0.490000 ;
     END
   END la_data_out[79]
   PIN la_data_out[78]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9196 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.49 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1489.830000 0.000000 1489.970000 0.490000 ;
+        RECT 1217.630000 0.000000 1217.770000 0.490000 ;
     END
   END la_data_out[78]
   PIN la_data_out[77]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1485.130000 0.000000 1485.270000 0.490000 ;
+        RECT 1213.730000 0.000000 1213.870000 0.490000 ;
     END
   END la_data_out[77]
   PIN la_data_out[76]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1480.330000 0.000000 1480.470000 0.490000 ;
+        RECT 1209.830000 0.000000 1209.970000 0.490000 ;
     END
   END la_data_out[76]
   PIN la_data_out[75]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.921 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.497 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1475.530000 0.000000 1475.670000 0.490000 ;
+        RECT 1205.930000 0.000000 1206.070000 0.490000 ;
     END
   END la_data_out[75]
   PIN la_data_out[74]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9252 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.518 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1470.730000 0.000000 1470.870000 0.490000 ;
+        RECT 1202.030000 0.000000 1202.170000 0.490000 ;
     END
   END la_data_out[74]
   PIN la_data_out[73]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1465.930000 0.000000 1466.070000 0.490000 ;
+        RECT 1198.130000 0.000000 1198.270000 0.490000 ;
     END
   END la_data_out[73]
   PIN la_data_out[72]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.005 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.917 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1461.230000 0.000000 1461.370000 0.490000 ;
+        RECT 1194.130000 0.000000 1194.270000 0.490000 ;
     END
   END la_data_out[72]
   PIN la_data_out[71]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9336 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.56 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1456.430000 0.000000 1456.570000 0.490000 ;
+        RECT 1190.230000 0.000000 1190.370000 0.490000 ;
     END
   END la_data_out[71]
   PIN la_data_out[70]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1451.630000 0.000000 1451.770000 0.490000 ;
+        RECT 1186.330000 0.000000 1186.470000 0.490000 ;
     END
   END la_data_out[70]
   PIN la_data_out[69]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9308 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.546 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1446.830000 0.000000 1446.970000 0.490000 ;
+        RECT 1182.430000 0.000000 1182.570000 0.490000 ;
     END
   END la_data_out[69]
   PIN la_data_out[68]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.893 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.357 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1442.030000 0.000000 1442.170000 0.490000 ;
+        RECT 1178.530000 0.000000 1178.670000 0.490000 ;
     END
   END la_data_out[68]
   PIN la_data_out[67]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.928 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.532 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1437.330000 0.000000 1437.470000 0.490000 ;
+        RECT 1174.630000 0.000000 1174.770000 0.490000 ;
     END
   END la_data_out[67]
   PIN la_data_out[66]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9196 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.49 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1432.630000 0.000000 1432.770000 0.490000 ;
+        RECT 1170.730000 0.000000 1170.870000 0.490000 ;
     END
   END la_data_out[66]
   PIN la_data_out[65]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8874 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.329 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1427.830000 0.000000 1427.970000 0.490000 ;
+        RECT 1166.830000 0.000000 1166.970000 0.490000 ;
     END
   END la_data_out[65]
   PIN la_data_out[64]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1422.930000 0.000000 1423.070000 0.490000 ;
+        RECT 1162.930000 0.000000 1163.070000 0.490000 ;
     END
   END la_data_out[64]
   PIN la_data_out[63]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9196 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.49 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1418.130000 0.000000 1418.270000 0.490000 ;
+        RECT 1159.030000 0.000000 1159.170000 0.490000 ;
     END
   END la_data_out[63]
   PIN la_data_out[62]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.928 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.532 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1413.330000 0.000000 1413.470000 0.490000 ;
+        RECT 1155.130000 0.000000 1155.270000 0.490000 ;
     END
   END la_data_out[62]
   PIN la_data_out[61]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.907 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.427 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1408.530000 0.000000 1408.670000 0.490000 ;
+        RECT 1151.330000 0.000000 1151.470000 0.490000 ;
     END
   END la_data_out[61]
   PIN la_data_out[60]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1403.730000 0.000000 1403.870000 0.490000 ;
+        RECT 1147.430000 0.000000 1147.570000 0.490000 ;
     END
   END la_data_out[60]
   PIN la_data_out[59]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1399.030000 0.000000 1399.170000 0.490000 ;
+        RECT 1143.430000 0.000000 1143.570000 0.490000 ;
     END
   END la_data_out[59]
   PIN la_data_out[58]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9336 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.56 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1394.230000 0.000000 1394.370000 0.490000 ;
+        RECT 1139.530000 0.000000 1139.670000 0.490000 ;
     END
   END la_data_out[58]
   PIN la_data_out[57]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1389.530000 0.000000 1389.670000 0.490000 ;
+        RECT 1135.630000 0.000000 1135.770000 0.490000 ;
     END
   END la_data_out[57]
   PIN la_data_out[56]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9364 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1384.730000 0.000000 1384.870000 0.490000 ;
+        RECT 1131.730000 0.000000 1131.870000 0.490000 ;
     END
   END la_data_out[56]
   PIN la_data_out[55]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1379.930000 0.000000 1380.070000 0.490000 ;
+        RECT 1127.830000 0.000000 1127.970000 0.490000 ;
     END
   END la_data_out[55]
   PIN la_data_out[54]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8734 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.259 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1375.130000 0.000000 1375.270000 0.490000 ;
+        RECT 1123.930000 0.000000 1124.070000 0.490000 ;
     END
   END la_data_out[54]
   PIN la_data_out[53]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1370.430000 0.000000 1370.570000 0.490000 ;
+        RECT 1120.030000 0.000000 1120.170000 0.490000 ;
     END
   END la_data_out[53]
   PIN la_data_out[52]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.425 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 17.017 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1365.630000 0.000000 1365.770000 0.490000 ;
+        RECT 1116.030000 0.000000 1116.170000 0.490000 ;
     END
   END la_data_out[52]
   PIN la_data_out[51]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9154 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.469 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1360.830000 0.000000 1360.970000 0.490000 ;
+        RECT 1112.130000 0.000000 1112.270000 0.490000 ;
     END
   END la_data_out[51]
   PIN la_data_out[50]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1356.030000 0.000000 1356.170000 0.490000 ;
+        RECT 1108.330000 0.000000 1108.470000 0.490000 ;
     END
   END la_data_out[50]
   PIN la_data_out[49]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1351.230000 0.000000 1351.370000 0.490000 ;
+        RECT 1104.430000 0.000000 1104.570000 0.490000 ;
     END
   END la_data_out[49]
   PIN la_data_out[48]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1346.430000 0.000000 1346.570000 0.490000 ;
+        RECT 1100.530000 0.000000 1100.670000 0.490000 ;
     END
   END la_data_out[48]
   PIN la_data_out[47]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8958 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.371 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1341.830000 0.000000 1341.970000 0.490000 ;
+        RECT 1096.630000 0.000000 1096.770000 0.490000 ;
     END
   END la_data_out[47]
   PIN la_data_out[46]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9308 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.546 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1337.030000 0.000000 1337.170000 0.490000 ;
+        RECT 1092.730000 0.000000 1092.870000 0.490000 ;
     END
   END la_data_out[46]
   PIN la_data_out[45]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1332.230000 0.000000 1332.370000 0.490000 ;
+        RECT 1088.730000 0.000000 1088.870000 0.490000 ;
     END
   END la_data_out[45]
   PIN la_data_out[44]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9392 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.588 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1327.430000 0.000000 1327.570000 0.490000 ;
+        RECT 1084.830000 0.000000 1084.970000 0.490000 ;
     END
   END la_data_out[44]
   PIN la_data_out[43]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1322.630000 0.000000 1322.770000 0.490000 ;
+        RECT 1081.030000 0.000000 1081.170000 0.490000 ;
     END
   END la_data_out[43]
   PIN la_data_out[42]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9252 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.518 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1317.830000 0.000000 1317.970000 0.490000 ;
+        RECT 1077.130000 0.000000 1077.270000 0.490000 ;
     END
   END la_data_out[42]
   PIN la_data_out[41]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9252 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.518 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1313.130000 0.000000 1313.270000 0.490000 ;
+        RECT 1073.230000 0.000000 1073.370000 0.490000 ;
     END
   END la_data_out[41]
   PIN la_data_out[40]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8902 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.343 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1308.330000 0.000000 1308.470000 0.490000 ;
+        RECT 1069.330000 0.000000 1069.470000 0.490000 ;
     END
   END la_data_out[40]
   PIN la_data_out[39]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1303.530000 0.000000 1303.670000 0.490000 ;
+        RECT 1065.330000 0.000000 1065.470000 0.490000 ;
     END
   END la_data_out[39]
   PIN la_data_out[38]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1298.730000 0.000000 1298.870000 0.490000 ;
+        RECT 1061.430000 0.000000 1061.570000 0.490000 ;
     END
   END la_data_out[38]
   PIN la_data_out[37]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1294.030000 0.000000 1294.170000 0.490000 ;
+        RECT 1057.530000 0.000000 1057.670000 0.490000 ;
     END
   END la_data_out[37]
   PIN la_data_out[36]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9308 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.546 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1289.230000 0.000000 1289.370000 0.490000 ;
+        RECT 1053.630000 0.000000 1053.770000 0.490000 ;
     END
   END la_data_out[36]
   PIN la_data_out[35]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1284.530000 0.000000 1284.670000 0.490000 ;
+        RECT 1049.730000 0.000000 1049.870000 0.490000 ;
     END
   END la_data_out[35]
   PIN la_data_out[34]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1279.730000 0.000000 1279.870000 0.490000 ;
+        RECT 1045.930000 0.000000 1046.070000 0.490000 ;
     END
   END la_data_out[34]
   PIN la_data_out[33]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9364 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1274.930000 0.000000 1275.070000 0.490000 ;
+        RECT 1042.030000 0.000000 1042.170000 0.490000 ;
     END
   END la_data_out[33]
   PIN la_data_out[32]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1270.130000 0.000000 1270.270000 0.490000 ;
+        RECT 1038.030000 0.000000 1038.170000 0.490000 ;
     END
   END la_data_out[32]
   PIN la_data_out[31]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9252 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.518 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1265.330000 0.000000 1265.470000 0.490000 ;
+        RECT 1034.130000 0.000000 1034.270000 0.490000 ;
     END
   END la_data_out[31]
   PIN la_data_out[30]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9196 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.49 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1260.530000 0.000000 1260.670000 0.490000 ;
+        RECT 1030.230000 0.000000 1030.370000 0.490000 ;
     END
   END la_data_out[30]
   PIN la_data_out[29]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.928 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.532 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1255.830000 0.000000 1255.970000 0.490000 ;
+        RECT 1026.330000 0.000000 1026.470000 0.490000 ;
     END
   END la_data_out[29]
   PIN la_data_out[28]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9196 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.49 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1251.030000 0.000000 1251.170000 0.490000 ;
+        RECT 1022.430000 0.000000 1022.570000 0.490000 ;
     END
   END la_data_out[28]
   PIN la_data_out[27]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9308 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.546 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1246.330000 0.000000 1246.470000 0.490000 ;
+        RECT 1018.530000 0.000000 1018.670000 0.490000 ;
     END
   END la_data_out[27]
   PIN la_data_out[26]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1241.530000 0.000000 1241.670000 0.490000 ;
+        RECT 1014.630000 0.000000 1014.770000 0.490000 ;
     END
   END la_data_out[26]
   PIN la_data_out[25]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9336 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.56 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1236.730000 0.000000 1236.870000 0.490000 ;
+        RECT 1010.730000 0.000000 1010.870000 0.490000 ;
     END
   END la_data_out[25]
   PIN la_data_out[24]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1231.930000 0.000000 1232.070000 0.490000 ;
+        RECT 1006.830000 0.000000 1006.970000 0.490000 ;
     END
   END la_data_out[24]
   PIN la_data_out[23]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1227.230000 0.000000 1227.370000 0.490000 ;
+        RECT 1002.930000 0.000000 1003.070000 0.490000 ;
     END
   END la_data_out[23]
   PIN la_data_out[22]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9966 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.875 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1222.430000 0.000000 1222.570000 0.490000 ;
+        RECT 999.030000 0.000000 999.170000 0.490000 ;
     END
   END la_data_out[22]
   PIN la_data_out[21]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.872 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.252 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1217.630000 0.000000 1217.770000 0.490000 ;
+        RECT 995.130000 0.000000 995.270000 0.490000 ;
     END
   END la_data_out[21]
   PIN la_data_out[20]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9042 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.413 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1212.830000 0.000000 1212.970000 0.490000 ;
+        RECT 991.230000 0.000000 991.370000 0.490000 ;
     END
   END la_data_out[20]
   PIN la_data_out[19]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.935 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.567 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1208.030000 0.000000 1208.170000 0.490000 ;
+        RECT 987.330000 0.000000 987.470000 0.490000 ;
     END
   END la_data_out[19]
   PIN la_data_out[18]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9014 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.399 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1203.230000 0.000000 1203.370000 0.490000 ;
+        RECT 983.430000 0.000000 983.570000 0.490000 ;
     END
   END la_data_out[18]
   PIN la_data_out[17]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9322 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.553 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1198.630000 0.000000 1198.770000 0.490000 ;
+        RECT 979.530000 0.000000 979.670000 0.490000 ;
     END
   END la_data_out[17]
   PIN la_data_out[16]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9098 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.441 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1193.830000 0.000000 1193.970000 0.490000 ;
+        RECT 975.630000 0.000000 975.770000 0.490000 ;
     END
   END la_data_out[16]
   PIN la_data_out[15]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.879 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.287 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1189.030000 0.000000 1189.170000 0.490000 ;
+        RECT 971.730000 0.000000 971.870000 0.490000 ;
     END
   END la_data_out[15]
   PIN la_data_out[14]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9126 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.455 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1184.130000 0.000000 1184.270000 0.490000 ;
+        RECT 967.830000 0.000000 967.970000 0.490000 ;
     END
   END la_data_out[14]
   PIN la_data_out[13]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9266 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.525 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1179.330000 0.000000 1179.470000 0.490000 ;
+        RECT 963.930000 0.000000 964.070000 0.490000 ;
     END
   END la_data_out[13]
   PIN la_data_out[12]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9014 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.399 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1174.530000 0.000000 1174.670000 0.490000 ;
+        RECT 959.930000 0.000000 960.070000 0.490000 ;
     END
   END la_data_out[12]
   PIN la_data_out[11]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.935 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.567 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1169.730000 0.000000 1169.870000 0.490000 ;
+        RECT 956.030000 0.000000 956.170000 0.490000 ;
     END
   END la_data_out[11]
   PIN la_data_out[10]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9042 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.413 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1165.030000 0.000000 1165.170000 0.490000 ;
+        RECT 952.130000 0.000000 952.270000 0.490000 ;
     END
   END la_data_out[10]
   PIN la_data_out[9]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.935 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.567 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1160.230000 0.000000 1160.370000 0.490000 ;
+        RECT 948.230000 0.000000 948.370000 0.490000 ;
     END
   END la_data_out[9]
   PIN la_data_out[8]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9014 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.399 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1155.430000 0.000000 1155.570000 0.490000 ;
+        RECT 944.330000 0.000000 944.470000 0.490000 ;
     END
   END la_data_out[8]
   PIN la_data_out[7]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9322 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.553 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1150.730000 0.000000 1150.870000 0.490000 ;
+        RECT 940.430000 0.000000 940.570000 0.490000 ;
     END
   END la_data_out[7]
   PIN la_data_out[6]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9238 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.511 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1145.930000 0.000000 1146.070000 0.490000 ;
+        RECT 936.630000 0.000000 936.770000 0.490000 ;
     END
   END la_data_out[6]
   PIN la_data_out[5]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9294 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.539 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1141.130000 0.000000 1141.270000 0.490000 ;
+        RECT 932.630000 0.000000 932.770000 0.490000 ;
     END
   END la_data_out[5]
   PIN la_data_out[4]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9126 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.455 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1136.430000 0.000000 1136.570000 0.490000 ;
+        RECT 928.730000 0.000000 928.870000 0.490000 ;
     END
   END la_data_out[4]
   PIN la_data_out[3]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9126 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.455 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1131.630000 0.000000 1131.770000 0.490000 ;
+        RECT 924.930000 0.000000 925.070000 0.490000 ;
     END
   END la_data_out[3]
   PIN la_data_out[2]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9294 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.539 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1126.830000 0.000000 1126.970000 0.490000 ;
+        RECT 921.030000 0.000000 921.170000 0.490000 ;
     END
   END la_data_out[2]
   PIN la_data_out[1]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9098 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.441 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1122.030000 0.000000 1122.170000 0.490000 ;
+        RECT 917.130000 0.000000 917.270000 0.490000 ;
     END
   END la_data_out[1]
   PIN la_data_out[0]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.8762 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.273 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1117.230000 0.000000 1117.370000 0.490000 ;
+        RECT 913.230000 0.000000 913.370000 0.490000 ;
     END
   END la_data_out[0]
   PIN la_oenb[127]
@@ -2915,7 +3372,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2335.130000 0.000000 2335.270000 0.490000 ;
+        RECT 1908.530000 0.000000 1908.670000 0.490000 ;
     END
   END la_oenb[127]
   PIN la_oenb[126]
@@ -2923,7 +3380,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2330.330000 0.000000 2330.470000 0.490000 ;
+        RECT 1904.630000 0.000000 1904.770000 0.490000 ;
     END
   END la_oenb[126]
   PIN la_oenb[125]
@@ -2931,7 +3388,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2325.530000 0.000000 2325.670000 0.490000 ;
+        RECT 1900.730000 0.000000 1900.870000 0.490000 ;
     END
   END la_oenb[125]
   PIN la_oenb[124]
@@ -2939,7 +3396,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2320.730000 0.000000 2320.870000 0.490000 ;
+        RECT 1896.730000 0.000000 1896.870000 0.490000 ;
     END
   END la_oenb[124]
   PIN la_oenb[123]
@@ -2947,7 +3404,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2315.930000 0.000000 2316.070000 0.490000 ;
+        RECT 1892.830000 0.000000 1892.970000 0.490000 ;
     END
   END la_oenb[123]
   PIN la_oenb[122]
@@ -2955,7 +3412,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2311.130000 0.000000 2311.270000 0.490000 ;
+        RECT 1888.930000 0.000000 1889.070000 0.490000 ;
     END
   END la_oenb[122]
   PIN la_oenb[121]
@@ -2963,7 +3420,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2306.430000 0.000000 2306.570000 0.490000 ;
+        RECT 1885.030000 0.000000 1885.170000 0.490000 ;
     END
   END la_oenb[121]
   PIN la_oenb[120]
@@ -2971,7 +3428,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2301.730000 0.000000 2301.870000 0.490000 ;
+        RECT 1881.130000 0.000000 1881.270000 0.490000 ;
     END
   END la_oenb[120]
   PIN la_oenb[119]
@@ -2979,7 +3436,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2296.930000 0.000000 2297.070000 0.490000 ;
+        RECT 1877.230000 0.000000 1877.370000 0.490000 ;
     END
   END la_oenb[119]
   PIN la_oenb[118]
@@ -2987,7 +3444,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2292.130000 0.000000 2292.270000 0.490000 ;
+        RECT 1873.330000 0.000000 1873.470000 0.490000 ;
     END
   END la_oenb[118]
   PIN la_oenb[117]
@@ -2995,7 +3452,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2287.330000 0.000000 2287.470000 0.490000 ;
+        RECT 1869.430000 0.000000 1869.570000 0.490000 ;
     END
   END la_oenb[117]
   PIN la_oenb[116]
@@ -3003,7 +3460,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2282.530000 0.000000 2282.670000 0.490000 ;
+        RECT 1865.530000 0.000000 1865.670000 0.490000 ;
     END
   END la_oenb[116]
   PIN la_oenb[115]
@@ -3011,7 +3468,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2277.830000 0.000000 2277.970000 0.490000 ;
+        RECT 1861.630000 0.000000 1861.770000 0.490000 ;
     END
   END la_oenb[115]
   PIN la_oenb[114]
@@ -3019,7 +3476,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2273.030000 0.000000 2273.170000 0.490000 ;
+        RECT 1857.730000 0.000000 1857.870000 0.490000 ;
     END
   END la_oenb[114]
   PIN la_oenb[113]
@@ -3027,7 +3484,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2268.230000 0.000000 2268.370000 0.490000 ;
+        RECT 1853.830000 0.000000 1853.970000 0.490000 ;
     END
   END la_oenb[113]
   PIN la_oenb[112]
@@ -3035,7 +3492,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2263.430000 0.000000 2263.570000 0.490000 ;
+        RECT 1849.930000 0.000000 1850.070000 0.490000 ;
     END
   END la_oenb[112]
   PIN la_oenb[111]
@@ -3043,7 +3500,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2258.630000 0.000000 2258.770000 0.490000 ;
+        RECT 1846.030000 0.000000 1846.170000 0.490000 ;
     END
   END la_oenb[111]
   PIN la_oenb[110]
@@ -3051,7 +3508,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2253.930000 0.000000 2254.070000 0.490000 ;
+        RECT 1842.130000 0.000000 1842.270000 0.490000 ;
     END
   END la_oenb[110]
   PIN la_oenb[109]
@@ -3059,7 +3516,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2249.230000 0.000000 2249.370000 0.490000 ;
+        RECT 1838.230000 0.000000 1838.370000 0.490000 ;
     END
   END la_oenb[109]
   PIN la_oenb[108]
@@ -3067,7 +3524,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2244.430000 0.000000 2244.570000 0.490000 ;
+        RECT 1834.330000 0.000000 1834.470000 0.490000 ;
     END
   END la_oenb[108]
   PIN la_oenb[107]
@@ -3075,7 +3532,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2239.630000 0.000000 2239.770000 0.490000 ;
+        RECT 1830.430000 0.000000 1830.570000 0.490000 ;
     END
   END la_oenb[107]
   PIN la_oenb[106]
@@ -3083,7 +3540,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2234.830000 0.000000 2234.970000 0.490000 ;
+        RECT 1826.530000 0.000000 1826.670000 0.490000 ;
     END
   END la_oenb[106]
   PIN la_oenb[105]
@@ -3091,7 +3548,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2230.030000 0.000000 2230.170000 0.490000 ;
+        RECT 1822.630000 0.000000 1822.770000 0.490000 ;
     END
   END la_oenb[105]
   PIN la_oenb[104]
@@ -3099,7 +3556,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2225.230000 0.000000 2225.370000 0.490000 ;
+        RECT 1818.630000 0.000000 1818.770000 0.490000 ;
     END
   END la_oenb[104]
   PIN la_oenb[103]
@@ -3107,7 +3564,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2220.530000 0.000000 2220.670000 0.490000 ;
+        RECT 1814.730000 0.000000 1814.870000 0.490000 ;
     END
   END la_oenb[103]
   PIN la_oenb[102]
@@ -3115,7 +3572,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2215.730000 0.000000 2215.870000 0.490000 ;
+        RECT 1810.830000 0.000000 1810.970000 0.490000 ;
     END
   END la_oenb[102]
   PIN la_oenb[101]
@@ -3123,7 +3580,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2210.930000 0.000000 2211.070000 0.490000 ;
+        RECT 1806.930000 0.000000 1807.070000 0.490000 ;
     END
   END la_oenb[101]
   PIN la_oenb[100]
@@ -3131,7 +3588,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2206.230000 0.000000 2206.370000 0.490000 ;
+        RECT 1803.030000 0.000000 1803.170000 0.490000 ;
     END
   END la_oenb[100]
   PIN la_oenb[99]
@@ -3139,7 +3596,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2201.430000 0.000000 2201.570000 0.490000 ;
+        RECT 1799.230000 0.000000 1799.370000 0.490000 ;
     END
   END la_oenb[99]
   PIN la_oenb[98]
@@ -3147,7 +3604,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2196.630000 0.000000 2196.770000 0.490000 ;
+        RECT 1795.330000 0.000000 1795.470000 0.490000 ;
     END
   END la_oenb[98]
   PIN la_oenb[97]
@@ -3155,7 +3612,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2191.930000 0.000000 2192.070000 0.490000 ;
+        RECT 1791.330000 0.000000 1791.470000 0.490000 ;
     END
   END la_oenb[97]
   PIN la_oenb[96]
@@ -3163,7 +3620,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2187.130000 0.000000 2187.270000 0.490000 ;
+        RECT 1787.530000 0.000000 1787.670000 0.490000 ;
     END
   END la_oenb[96]
   PIN la_oenb[95]
@@ -3171,7 +3628,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2182.330000 0.000000 2182.470000 0.490000 ;
+        RECT 1783.630000 0.000000 1783.770000 0.490000 ;
     END
   END la_oenb[95]
   PIN la_oenb[94]
@@ -3179,7 +3636,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2177.530000 0.000000 2177.670000 0.490000 ;
+        RECT 1779.730000 0.000000 1779.870000 0.490000 ;
     END
   END la_oenb[94]
   PIN la_oenb[93]
@@ -3187,7 +3644,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2172.730000 0.000000 2172.870000 0.490000 ;
+        RECT 1775.830000 0.000000 1775.970000 0.490000 ;
     END
   END la_oenb[93]
   PIN la_oenb[92]
@@ -3195,7 +3652,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2167.930000 0.000000 2168.070000 0.490000 ;
+        RECT 1771.930000 0.000000 1772.070000 0.490000 ;
     END
   END la_oenb[92]
   PIN la_oenb[91]
@@ -3203,7 +3660,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2163.230000 0.000000 2163.370000 0.490000 ;
+        RECT 1767.930000 0.000000 1768.070000 0.490000 ;
     END
   END la_oenb[91]
   PIN la_oenb[90]
@@ -3211,7 +3668,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2158.530000 0.000000 2158.670000 0.490000 ;
+        RECT 1764.030000 0.000000 1764.170000 0.490000 ;
     END
   END la_oenb[90]
   PIN la_oenb[89]
@@ -3219,7 +3676,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2153.730000 0.000000 2153.870000 0.490000 ;
+        RECT 1760.130000 0.000000 1760.270000 0.490000 ;
     END
   END la_oenb[89]
   PIN la_oenb[88]
@@ -3227,7 +3684,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2148.930000 0.000000 2149.070000 0.490000 ;
+        RECT 1756.230000 0.000000 1756.370000 0.490000 ;
     END
   END la_oenb[88]
   PIN la_oenb[87]
@@ -3235,7 +3692,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2144.130000 0.000000 2144.270000 0.490000 ;
+        RECT 1752.330000 0.000000 1752.470000 0.490000 ;
     END
   END la_oenb[87]
   PIN la_oenb[86]
@@ -3243,7 +3700,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2139.230000 0.000000 2139.370000 0.490000 ;
+        RECT 1748.430000 0.000000 1748.570000 0.490000 ;
     END
   END la_oenb[86]
   PIN la_oenb[85]
@@ -3251,7 +3708,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2134.530000 0.000000 2134.670000 0.490000 ;
+        RECT 1744.530000 0.000000 1744.670000 0.490000 ;
     END
   END la_oenb[85]
   PIN la_oenb[84]
@@ -3259,7 +3716,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2129.730000 0.000000 2129.870000 0.490000 ;
+        RECT 1740.530000 0.000000 1740.670000 0.490000 ;
     END
   END la_oenb[84]
   PIN la_oenb[83]
@@ -3267,7 +3724,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2124.930000 0.000000 2125.070000 0.490000 ;
+        RECT 1736.630000 0.000000 1736.770000 0.490000 ;
     END
   END la_oenb[83]
   PIN la_oenb[82]
@@ -3275,7 +3732,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2120.130000 0.000000 2120.270000 0.490000 ;
+        RECT 1732.730000 0.000000 1732.870000 0.490000 ;
     END
   END la_oenb[82]
   PIN la_oenb[81]
@@ -3283,7 +3740,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2115.330000 0.000000 2115.470000 0.490000 ;
+        RECT 1728.930000 0.000000 1729.070000 0.490000 ;
     END
   END la_oenb[81]
   PIN la_oenb[80]
@@ -3291,7 +3748,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2110.530000 0.000000 2110.670000 0.490000 ;
+        RECT 1725.030000 0.000000 1725.170000 0.490000 ;
     END
   END la_oenb[80]
   PIN la_oenb[79]
@@ -3299,7 +3756,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2105.930000 0.000000 2106.070000 0.490000 ;
+        RECT 1721.230000 0.000000 1721.370000 0.490000 ;
     END
   END la_oenb[79]
   PIN la_oenb[78]
@@ -3307,7 +3764,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2101.130000 0.000000 2101.270000 0.490000 ;
+        RECT 1717.330000 0.000000 1717.470000 0.490000 ;
     END
   END la_oenb[78]
   PIN la_oenb[77]
@@ -3315,7 +3772,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2096.330000 0.000000 2096.470000 0.490000 ;
+        RECT 1713.330000 0.000000 1713.470000 0.490000 ;
     END
   END la_oenb[77]
   PIN la_oenb[76]
@@ -3323,7 +3780,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2091.530000 0.000000 2091.670000 0.490000 ;
+        RECT 1709.430000 0.000000 1709.570000 0.490000 ;
     END
   END la_oenb[76]
   PIN la_oenb[75]
@@ -3331,7 +3788,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2086.730000 0.000000 2086.870000 0.490000 ;
+        RECT 1705.530000 0.000000 1705.670000 0.490000 ;
     END
   END la_oenb[75]
   PIN la_oenb[74]
@@ -3339,7 +3796,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2081.930000 0.000000 2082.070000 0.490000 ;
+        RECT 1701.630000 0.000000 1701.770000 0.490000 ;
     END
   END la_oenb[74]
   PIN la_oenb[73]
@@ -3347,7 +3804,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2077.130000 0.000000 2077.270000 0.490000 ;
+        RECT 1697.730000 0.000000 1697.870000 0.490000 ;
     END
   END la_oenb[73]
   PIN la_oenb[72]
@@ -3355,7 +3812,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2072.430000 0.000000 2072.570000 0.490000 ;
+        RECT 1693.830000 0.000000 1693.970000 0.490000 ;
     END
   END la_oenb[72]
   PIN la_oenb[71]
@@ -3363,7 +3820,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2067.630000 0.000000 2067.770000 0.490000 ;
+        RECT 1689.830000 0.000000 1689.970000 0.490000 ;
     END
   END la_oenb[71]
   PIN la_oenb[70]
@@ -3371,7 +3828,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2062.830000 0.000000 2062.970000 0.490000 ;
+        RECT 1685.930000 0.000000 1686.070000 0.490000 ;
     END
   END la_oenb[70]
   PIN la_oenb[69]
@@ -3379,7 +3836,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2058.130000 0.000000 2058.270000 0.490000 ;
+        RECT 1682.030000 0.000000 1682.170000 0.490000 ;
     END
   END la_oenb[69]
   PIN la_oenb[68]
@@ -3387,7 +3844,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2053.330000 0.000000 2053.470000 0.490000 ;
+        RECT 1678.130000 0.000000 1678.270000 0.490000 ;
     END
   END la_oenb[68]
   PIN la_oenb[67]
@@ -3395,7 +3852,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2048.530000 0.000000 2048.670000 0.490000 ;
+        RECT 1674.230000 0.000000 1674.370000 0.490000 ;
     END
   END la_oenb[67]
   PIN la_oenb[66]
@@ -3403,7 +3860,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2043.830000 0.000000 2043.970000 0.490000 ;
+        RECT 1670.330000 0.000000 1670.470000 0.490000 ;
     END
   END la_oenb[66]
   PIN la_oenb[65]
@@ -3411,7 +3868,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2039.030000 0.000000 2039.170000 0.490000 ;
+        RECT 1666.430000 0.000000 1666.570000 0.490000 ;
     END
   END la_oenb[65]
   PIN la_oenb[64]
@@ -3419,7 +3876,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2034.230000 0.000000 2034.370000 0.490000 ;
+        RECT 1662.530000 0.000000 1662.670000 0.490000 ;
     END
   END la_oenb[64]
   PIN la_oenb[63]
@@ -3427,7 +3884,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2029.430000 0.000000 2029.570000 0.490000 ;
+        RECT 1658.630000 0.000000 1658.770000 0.490000 ;
     END
   END la_oenb[63]
   PIN la_oenb[62]
@@ -3435,7 +3892,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2024.630000 0.000000 2024.770000 0.490000 ;
+        RECT 1654.830000 0.000000 1654.970000 0.490000 ;
     END
   END la_oenb[62]
   PIN la_oenb[61]
@@ -3443,7 +3900,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2019.830000 0.000000 2019.970000 0.490000 ;
+        RECT 1650.930000 0.000000 1651.070000 0.490000 ;
     END
   END la_oenb[61]
   PIN la_oenb[60]
@@ -3451,7 +3908,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2015.130000 0.000000 2015.270000 0.490000 ;
+        RECT 1647.030000 0.000000 1647.170000 0.490000 ;
     END
   END la_oenb[60]
   PIN la_oenb[59]
@@ -3459,7 +3916,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2010.430000 0.000000 2010.570000 0.490000 ;
+        RECT 1643.130000 0.000000 1643.270000 0.490000 ;
     END
   END la_oenb[59]
   PIN la_oenb[58]
@@ -3467,7 +3924,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2005.630000 0.000000 2005.770000 0.490000 ;
+        RECT 1639.230000 0.000000 1639.370000 0.490000 ;
     END
   END la_oenb[58]
   PIN la_oenb[57]
@@ -3475,7 +3932,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2000.830000 0.000000 2000.970000 0.490000 ;
+        RECT 1635.230000 0.000000 1635.370000 0.490000 ;
     END
   END la_oenb[57]
   PIN la_oenb[56]
@@ -3483,7 +3940,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1996.030000 0.000000 1996.170000 0.490000 ;
+        RECT 1631.330000 0.000000 1631.470000 0.490000 ;
     END
   END la_oenb[56]
   PIN la_oenb[55]
@@ -3491,7 +3948,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1991.230000 0.000000 1991.370000 0.490000 ;
+        RECT 1627.430000 0.000000 1627.570000 0.490000 ;
     END
   END la_oenb[55]
   PIN la_oenb[54]
@@ -3499,7 +3956,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1986.530000 0.000000 1986.670000 0.490000 ;
+        RECT 1623.530000 0.000000 1623.670000 0.490000 ;
     END
   END la_oenb[54]
   PIN la_oenb[53]
@@ -3507,7 +3964,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1981.730000 0.000000 1981.870000 0.490000 ;
+        RECT 1619.630000 0.000000 1619.770000 0.490000 ;
     END
   END la_oenb[53]
   PIN la_oenb[52]
@@ -3515,7 +3972,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1976.930000 0.000000 1977.070000 0.490000 ;
+        RECT 1615.730000 0.000000 1615.870000 0.490000 ;
     END
   END la_oenb[52]
   PIN la_oenb[51]
@@ -3523,7 +3980,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1972.130000 0.000000 1972.270000 0.490000 ;
+        RECT 1611.730000 0.000000 1611.870000 0.490000 ;
     END
   END la_oenb[51]
   PIN la_oenb[50]
@@ -3531,7 +3988,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1967.330000 0.000000 1967.470000 0.490000 ;
+        RECT 1607.830000 0.000000 1607.970000 0.490000 ;
     END
   END la_oenb[50]
   PIN la_oenb[49]
@@ -3539,7 +3996,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1962.630000 0.000000 1962.770000 0.490000 ;
+        RECT 1603.930000 0.000000 1604.070000 0.490000 ;
     END
   END la_oenb[49]
   PIN la_oenb[48]
@@ -3547,7 +4004,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1957.930000 0.000000 1958.070000 0.490000 ;
+        RECT 1600.130000 0.000000 1600.270000 0.490000 ;
     END
   END la_oenb[48]
   PIN la_oenb[47]
@@ -3555,7 +4012,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1953.130000 0.000000 1953.270000 0.490000 ;
+        RECT 1596.230000 0.000000 1596.370000 0.490000 ;
     END
   END la_oenb[47]
   PIN la_oenb[46]
@@ -3563,7 +4020,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1948.330000 0.000000 1948.470000 0.490000 ;
+        RECT 1592.330000 0.000000 1592.470000 0.490000 ;
     END
   END la_oenb[46]
   PIN la_oenb[45]
@@ -3571,7 +4028,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1943.530000 0.000000 1943.670000 0.490000 ;
+        RECT 1588.430000 0.000000 1588.570000 0.490000 ;
     END
   END la_oenb[45]
   PIN la_oenb[44]
@@ -3579,7 +4036,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1938.730000 0.000000 1938.870000 0.490000 ;
+        RECT 1584.530000 0.000000 1584.670000 0.490000 ;
     END
   END la_oenb[44]
   PIN la_oenb[43]
@@ -3587,7 +4044,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1933.930000 0.000000 1934.070000 0.490000 ;
+        RECT 1580.630000 0.000000 1580.770000 0.490000 ;
     END
   END la_oenb[43]
   PIN la_oenb[42]
@@ -3595,7 +4052,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1929.230000 0.000000 1929.370000 0.490000 ;
+        RECT 1576.730000 0.000000 1576.870000 0.490000 ;
     END
   END la_oenb[42]
   PIN la_oenb[41]
@@ -3603,7 +4060,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1924.430000 0.000000 1924.570000 0.490000 ;
+        RECT 1572.830000 0.000000 1572.970000 0.490000 ;
     END
   END la_oenb[41]
   PIN la_oenb[40]
@@ -3611,7 +4068,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1919.630000 0.000000 1919.770000 0.490000 ;
+        RECT 1568.930000 0.000000 1569.070000 0.490000 ;
     END
   END la_oenb[40]
   PIN la_oenb[39]
@@ -3619,7 +4076,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1914.930000 0.000000 1915.070000 0.490000 ;
+        RECT 1565.030000 0.000000 1565.170000 0.490000 ;
     END
   END la_oenb[39]
   PIN la_oenb[38]
@@ -3627,7 +4084,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1910.130000 0.000000 1910.270000 0.490000 ;
+        RECT 1561.130000 0.000000 1561.270000 0.490000 ;
     END
   END la_oenb[38]
   PIN la_oenb[37]
@@ -3635,7 +4092,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1905.330000 0.000000 1905.470000 0.490000 ;
+        RECT 1557.130000 0.000000 1557.270000 0.490000 ;
     END
   END la_oenb[37]
   PIN la_oenb[36]
@@ -3643,7 +4100,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1900.530000 0.000000 1900.670000 0.490000 ;
+        RECT 1553.230000 0.000000 1553.370000 0.490000 ;
     END
   END la_oenb[36]
   PIN la_oenb[35]
@@ -3651,7 +4108,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1895.730000 0.000000 1895.870000 0.490000 ;
+        RECT 1549.330000 0.000000 1549.470000 0.490000 ;
     END
   END la_oenb[35]
   PIN la_oenb[34]
@@ -3659,7 +4116,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1890.930000 0.000000 1891.070000 0.490000 ;
+        RECT 1545.430000 0.000000 1545.570000 0.490000 ;
     END
   END la_oenb[34]
   PIN la_oenb[33]
@@ -3667,7 +4124,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1886.130000 0.000000 1886.270000 0.490000 ;
+        RECT 1541.530000 0.000000 1541.670000 0.490000 ;
     END
   END la_oenb[33]
   PIN la_oenb[32]
@@ -3675,7 +4132,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1881.330000 0.000000 1881.470000 0.490000 ;
+        RECT 1537.730000 0.000000 1537.870000 0.490000 ;
     END
   END la_oenb[32]
   PIN la_oenb[31]
@@ -3683,7 +4140,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1876.530000 0.000000 1876.670000 0.490000 ;
+        RECT 1533.730000 0.000000 1533.870000 0.490000 ;
     END
   END la_oenb[31]
   PIN la_oenb[30]
@@ -3691,7 +4148,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1871.730000 0.000000 1871.870000 0.490000 ;
+        RECT 1529.830000 0.000000 1529.970000 0.490000 ;
     END
   END la_oenb[30]
   PIN la_oenb[29]
@@ -3699,7 +4156,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1867.130000 0.000000 1867.270000 0.490000 ;
+        RECT 1525.930000 0.000000 1526.070000 0.490000 ;
     END
   END la_oenb[29]
   PIN la_oenb[28]
@@ -3707,7 +4164,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1862.330000 0.000000 1862.470000 0.490000 ;
+        RECT 1522.030000 0.000000 1522.170000 0.490000 ;
     END
   END la_oenb[28]
   PIN la_oenb[27]
@@ -3715,7 +4172,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1857.530000 0.000000 1857.670000 0.490000 ;
+        RECT 1518.130000 0.000000 1518.270000 0.490000 ;
     END
   END la_oenb[27]
   PIN la_oenb[26]
@@ -3723,7 +4180,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1852.730000 0.000000 1852.870000 0.490000 ;
+        RECT 1514.230000 0.000000 1514.370000 0.490000 ;
     END
   END la_oenb[26]
   PIN la_oenb[25]
@@ -3731,7 +4188,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1847.930000 0.000000 1848.070000 0.490000 ;
+        RECT 1510.430000 0.000000 1510.570000 0.490000 ;
     END
   END la_oenb[25]
   PIN la_oenb[24]
@@ -3739,7 +4196,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1843.130000 0.000000 1843.270000 0.490000 ;
+        RECT 1506.430000 0.000000 1506.570000 0.490000 ;
     END
   END la_oenb[24]
   PIN la_oenb[23]
@@ -3747,7 +4204,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1838.430000 0.000000 1838.570000 0.490000 ;
+        RECT 1502.530000 0.000000 1502.670000 0.490000 ;
     END
   END la_oenb[23]
   PIN la_oenb[22]
@@ -3755,7 +4212,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1833.630000 0.000000 1833.770000 0.490000 ;
+        RECT 1498.630000 0.000000 1498.770000 0.490000 ;
     END
   END la_oenb[22]
   PIN la_oenb[21]
@@ -3763,7 +4220,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1828.830000 0.000000 1828.970000 0.490000 ;
+        RECT 1494.730000 0.000000 1494.870000 0.490000 ;
     END
   END la_oenb[21]
   PIN la_oenb[20]
@@ -3771,7 +4228,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1824.030000 0.000000 1824.170000 0.490000 ;
+        RECT 1490.830000 0.000000 1490.970000 0.490000 ;
     END
   END la_oenb[20]
   PIN la_oenb[19]
@@ -3779,7 +4236,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1819.330000 0.000000 1819.470000 0.490000 ;
+        RECT 1486.930000 0.000000 1487.070000 0.490000 ;
     END
   END la_oenb[19]
   PIN la_oenb[18]
@@ -3787,7 +4244,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1814.530000 0.000000 1814.670000 0.490000 ;
+        RECT 1483.030000 0.000000 1483.170000 0.490000 ;
     END
   END la_oenb[18]
   PIN la_oenb[17]
@@ -3795,7 +4252,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1809.830000 0.000000 1809.970000 0.490000 ;
+        RECT 1479.130000 0.000000 1479.270000 0.490000 ;
     END
   END la_oenb[17]
   PIN la_oenb[16]
@@ -3803,7 +4260,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1805.030000 0.000000 1805.170000 0.490000 ;
+        RECT 1475.230000 0.000000 1475.370000 0.490000 ;
     END
   END la_oenb[16]
   PIN la_oenb[15]
@@ -3811,7 +4268,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1800.230000 0.000000 1800.370000 0.490000 ;
+        RECT 1471.330000 0.000000 1471.470000 0.490000 ;
     END
   END la_oenb[15]
   PIN la_oenb[14]
@@ -3819,7 +4276,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1795.430000 0.000000 1795.570000 0.490000 ;
+        RECT 1467.430000 0.000000 1467.570000 0.490000 ;
     END
   END la_oenb[14]
   PIN la_oenb[13]
@@ -3827,7 +4284,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1790.630000 0.000000 1790.770000 0.490000 ;
+        RECT 1463.530000 0.000000 1463.670000 0.490000 ;
     END
   END la_oenb[13]
   PIN la_oenb[12]
@@ -3835,7 +4292,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1785.830000 0.000000 1785.970000 0.490000 ;
+        RECT 1459.630000 0.000000 1459.770000 0.490000 ;
     END
   END la_oenb[12]
   PIN la_oenb[11]
@@ -3843,7 +4300,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1781.130000 0.000000 1781.270000 0.490000 ;
+        RECT 1455.630000 0.000000 1455.770000 0.490000 ;
     END
   END la_oenb[11]
   PIN la_oenb[10]
@@ -3851,7 +4308,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1776.330000 0.000000 1776.470000 0.490000 ;
+        RECT 1451.730000 0.000000 1451.870000 0.490000 ;
     END
   END la_oenb[10]
   PIN la_oenb[9]
@@ -3859,7 +4316,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1771.630000 0.000000 1771.770000 0.490000 ;
+        RECT 1447.830000 0.000000 1447.970000 0.490000 ;
     END
   END la_oenb[9]
   PIN la_oenb[8]
@@ -3867,7 +4324,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1766.830000 0.000000 1766.970000 0.490000 ;
+        RECT 1443.930000 0.000000 1444.070000 0.490000 ;
     END
   END la_oenb[8]
   PIN la_oenb[7]
@@ -3875,7 +4332,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1762.030000 0.000000 1762.170000 0.490000 ;
+        RECT 1440.130000 0.000000 1440.270000 0.490000 ;
     END
   END la_oenb[7]
   PIN la_oenb[6]
@@ -3883,7 +4340,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1757.230000 0.000000 1757.370000 0.490000 ;
+        RECT 1436.230000 0.000000 1436.370000 0.490000 ;
     END
   END la_oenb[6]
   PIN la_oenb[5]
@@ -3891,7 +4348,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1752.530000 0.000000 1752.670000 0.490000 ;
+        RECT 1432.330000 0.000000 1432.470000 0.490000 ;
     END
   END la_oenb[5]
   PIN la_oenb[4]
@@ -3899,7 +4356,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1747.730000 0.000000 1747.870000 0.490000 ;
+        RECT 1428.330000 0.000000 1428.470000 0.490000 ;
     END
   END la_oenb[4]
   PIN la_oenb[3]
@@ -3907,7 +4364,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1742.930000 0.000000 1743.070000 0.490000 ;
+        RECT 1424.430000 0.000000 1424.570000 0.490000 ;
     END
   END la_oenb[3]
   PIN la_oenb[2]
@@ -3915,7 +4372,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1738.130000 0.000000 1738.270000 0.490000 ;
+        RECT 1420.530000 0.000000 1420.670000 0.490000 ;
     END
   END la_oenb[2]
   PIN la_oenb[1]
@@ -3923,7 +4380,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1733.330000 0.000000 1733.470000 0.490000 ;
+        RECT 1416.730000 0.000000 1416.870000 0.490000 ;
     END
   END la_oenb[1]
   PIN la_oenb[0]
@@ -3931,7 +4388,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1728.530000 0.000000 1728.670000 0.490000 ;
+        RECT 1412.830000 0.000000 1412.970000 0.490000 ;
     END
   END la_oenb[0]
   PIN io_in[37]
@@ -3939,23 +4396,43 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 83.735000 0.800000 84.035000 ;
+        RECT 0.000000 94.890000 0.800000 95.190000 ;
     END
   END io_in[37]
   PIN io_in[36]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.8788 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 5.152 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 3.9618 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 21.6 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.159 LAYER met4  ;
+    ANTENNAMAXAREACAR 43.1336 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 211.717 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.826415 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 209.800000 0.800000 210.100000 ;
+        RECT 0.000000 237.835000 0.800000 238.135000 ;
     END
   END io_in[36]
   PIN io_in[35]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 93.0316 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 496.16 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 0.6516 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 4.416 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.318 LAYER met4  ;
+    ANTENNAMAXAREACAR 23.989 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 122.601 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.413208 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 335.865000 0.800000 336.165000 ;
+        RECT 0.000000 380.780000 0.800000 381.080000 ;
     END
   END io_in[35]
   PIN io_in[34]
@@ -3963,87 +4440,185 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 504.300000 0.800000 504.600000 ;
+        RECT 0.000000 571.530000 0.800000 571.830000 ;
     END
   END io_in[34]
   PIN io_in[33]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 9.8919 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 53.2 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNADIFFAREA 0.4347 LAYER met4  ;
+    ANTENNAPARTIALMETALAREA 4.1448 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 22.576 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.159 LAYER met4  ;
+    ANTENNAMAXAREACAR 31.5399 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 167.918 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.826415 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 672.355000 0.800000 672.655000 ;
+        RECT 0.000000 761.995000 0.800000 762.295000 ;
     END
   END io_in[33]
   PIN io_in[32]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 177.903 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 949.28 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNADIFFAREA 0.4347 LAYER met4  ;
+    ANTENNAPARTIALMETALAREA 76.6032 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 410.432 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.6312 LAYER met4  ;
+    ANTENNAMAXAREACAR 150.942 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 791.152 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.208175 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 840.600000 0.800000 840.900000 ;
+        RECT 0.000000 952.650000 0.800000 952.950000 ;
     END
   END io_in[32]
   PIN io_in[31]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.2843 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 12.648 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 4.3758 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 23.808 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.495 LAYER met4  ;
+    ANTENNAMAXAREACAR 22.0808 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 110.399 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.460202 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1008.845000 0.800000 1009.145000 ;
+        RECT 0.000000 1143.500000 0.800000 1143.800000 ;
     END
   END io_in[31]
   PIN io_in[30]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.2909 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 7.328 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 4.3758 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 23.808 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.8685 LAYER met4  ;
+    ANTENNAMAXAREACAR 11.5846 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 56.284 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.381719 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1176.900000 0.800000 1177.200000 ;
+        RECT 0.000000 1333.865000 0.800000 1334.165000 ;
     END
   END io_in[30]
   PIN io_in[29]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.4803 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 8.36 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 4.1448 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 22.576 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.1965 LAYER met4  ;
+    ANTENNAMAXAREACAR 32.312 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 168.941 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.668702 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1345.145000 0.800000 1345.445000 ;
+        RECT 0.000000 1524.520000 0.800000 1524.820000 ;
     END
   END io_in[29]
   PIN io_in[28]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNADIFFAREA 2.6082 LAYER met3  ;
+    ANTENNAPARTIALMETALAREA 0.3984 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.6 LAYER met3  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 2.8047 LAYER met3  ;
+    ANTENNAMAXAREACAR 82.1333 LAYER met3  ;
+    ANTENNAMAXSIDEAREACAR 389.566 LAYER met3  ;
+    ANTENNAMAXCUTCAR 0.169358 LAYER via3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1513.485000 0.800000 1513.785000 ;
+        RECT 0.000000 1715.180000 0.800000 1715.480000 ;
     END
   END io_in[28]
   PIN io_in[27]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.1308 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 6.496 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 4.1448 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 22.576 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.1965 LAYER met4  ;
+    ANTENNAMAXAREACAR 38.6763 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 201.964 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.668702 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1681.540000 0.800000 1681.840000 ;
+        RECT 0.000000 1905.835000 0.800000 1906.135000 ;
     END
   END io_in[27]
   PIN io_in[26]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 110.238 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 588.4 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 0.6516 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 4.416 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.159 LAYER met4  ;
+    ANTENNAMAXAREACAR 62.1865 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 316.843 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.826415 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1849.880000 0.800000 1850.180000 ;
+        RECT 0.000000 2096.490000 0.800000 2096.790000 ;
     END
   END io_in[26]
   PIN io_in[25]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNADIFFAREA 2.1735 LAYER met3  ;
+    ANTENNAPARTIALMETALAREA 7.7349 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 41.728 LAYER met3  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 2.3325 LAYER met3  ;
+    ANTENNAMAXAREACAR 84.0192 LAYER met3  ;
+    ANTENNAMAXSIDEAREACAR 403.358 LAYER met3  ;
+    ANTENNAMAXCUTCAR 0.135391 LAYER via3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2018.030000 0.800000 2018.330000 ;
+        RECT 0.000000 2287.145000 0.800000 2287.445000 ;
     END
   END io_in[25]
   PIN io_in[24]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 107.603 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 574.344 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 0.6516 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 4.416 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.159 LAYER met4  ;
+    ANTENNAMAXAREACAR 19.1884 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 104.377 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.826415 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2186.180000 0.800000 2186.480000 ;
+        RECT 0.000000 2477.705000 0.800000 2478.005000 ;
     END
   END io_in[24]
   PIN io_in[23]
@@ -4051,7 +4626,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 134.330000 2289.750000 134.470000 2290.240000 ;
+        RECT 109.730000 2594.730000 109.870000 2595.220000 ;
     END
   END io_in[23]
   PIN io_in[22]
@@ -4059,7 +4634,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 403.530000 2289.750000 403.670000 2290.240000 ;
+        RECT 329.630000 2594.730000 329.770000 2595.220000 ;
     END
   END io_in[22]
   PIN io_in[21]
@@ -4067,7 +4642,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 672.530000 2289.750000 672.670000 2290.240000 ;
+        RECT 549.530000 2594.730000 549.670000 2595.220000 ;
     END
   END io_in[21]
   PIN io_in[20]
@@ -4075,7 +4650,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 941.730000 2289.750000 941.870000 2290.240000 ;
+        RECT 769.430000 2594.730000 769.570000 2595.220000 ;
     END
   END io_in[20]
   PIN io_in[19]
@@ -4083,7 +4658,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1210.830000 2289.750000 1210.970000 2290.240000 ;
+        RECT 989.430000 2594.730000 989.570000 2595.220000 ;
     END
   END io_in[19]
   PIN io_in[18]
@@ -4091,7 +4666,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1480.030000 2289.750000 1480.170000 2290.240000 ;
+        RECT 1209.330000 2594.730000 1209.470000 2595.220000 ;
     END
   END io_in[18]
   PIN io_in[17]
@@ -4099,7 +4674,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1749.130000 2289.750000 1749.270000 2290.240000 ;
+        RECT 1429.230000 2594.730000 1429.370000 2595.220000 ;
     END
   END io_in[17]
   PIN io_in[16]
@@ -4107,7 +4682,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2018.330000 2289.750000 2018.470000 2290.240000 ;
+        RECT 1649.130000 2594.730000 1649.270000 2595.220000 ;
     END
   END io_in[16]
   PIN io_in[15]
@@ -4115,7 +4690,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2287.430000 2289.750000 2287.570000 2290.240000 ;
+        RECT 1869.130000 2594.730000 1869.270000 2595.220000 ;
     END
   END io_in[15]
   PIN io_in[14]
@@ -4123,7 +4698,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 2142.575000 2369.460000 2142.875000 ;
+        RECT 1925.680000 2428.265000 1926.480000 2428.565000 ;
     END
   END io_in[14]
   PIN io_in[13]
@@ -4131,7 +4706,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1971.005000 2369.460000 1971.305000 ;
+        RECT 1925.680000 2233.865000 1926.480000 2234.165000 ;
     END
   END io_in[13]
   PIN io_in[12]
@@ -4139,7 +4714,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1799.625000 2369.460000 1799.925000 ;
+        RECT 1925.680000 2039.660000 1926.480000 2039.960000 ;
     END
   END io_in[12]
   PIN io_in[11]
@@ -4147,7 +4722,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1628.245000 2369.460000 1628.545000 ;
+        RECT 1925.680000 1845.355000 1926.480000 1845.655000 ;
     END
   END io_in[11]
   PIN io_in[10]
@@ -4155,7 +4730,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1456.675000 2369.460000 1456.975000 ;
+        RECT 1925.680000 1651.050000 1926.480000 1651.350000 ;
     END
   END io_in[10]
   PIN io_in[9]
@@ -4163,15 +4738,29 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1285.390000 2369.460000 1285.690000 ;
+        RECT 1925.680000 1456.840000 1926.480000 1457.140000 ;
     END
   END io_in[9]
   PIN io_in[8]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 2.139 LAYER met3  ;
+    ANTENNAMAXAREACAR 62.7853 LAYER met3  ;
+    ANTENNAMAXSIDEAREACAR 324.304 LAYER met3  ;
+    ANTENNAMAXCUTCAR 0.445596 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 77.1888 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 414.008 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 8.1708 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 44.048 LAYER met4  ;
+    ANTENNAGATEAREA 2.3355 LAYER met4  ;
+    ANTENNAMAXAREACAR 66.2838 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 343.165 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.668702 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1113.915000 2369.460000 1114.215000 ;
+        RECT 1925.680000 1262.440000 1926.480000 1262.740000 ;
     END
   END io_in[8]
   PIN io_in[7]
@@ -4179,7 +4768,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 942.440000 2369.460000 942.740000 ;
+        RECT 1925.680000 1068.140000 1926.480000 1068.440000 ;
     END
   END io_in[7]
   PIN io_in[6]
@@ -4187,15 +4776,26 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 770.965000 2369.460000 771.265000 ;
+        RECT 1925.680000 873.930000 1926.480000 874.230000 ;
     END
   END io_in[6]
   PIN io_in[5]
     DIRECTION INPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.0546 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 5.616 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNADIFFAREA 0.4347 LAYER met4  ;
+    ANTENNAPARTIALMETALAREA 45.3918 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 242.56 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.6312 LAYER met4  ;
+    ANTENNAMAXAREACAR 101.204 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 517.655 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.208175 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 642.430000 2369.460000 642.730000 ;
+        RECT 1925.680000 728.300000 1926.480000 728.600000 ;
     END
   END io_in[5]
   PIN io_in[4]
@@ -4203,7 +4803,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 513.895000 2369.460000 514.195000 ;
+        RECT 1925.680000 582.475000 1926.480000 582.775000 ;
     END
   END io_in[4]
   PIN io_in[3]
@@ -4211,7 +4811,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 385.360000 2369.460000 385.660000 ;
+        RECT 1925.680000 436.745000 1926.480000 437.045000 ;
     END
   END io_in[3]
   PIN io_in[2]
@@ -4219,7 +4819,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 256.730000 2369.460000 257.030000 ;
+        RECT 1925.680000 291.115000 1926.480000 291.415000 ;
     END
   END io_in[2]
   PIN io_in[1]
@@ -4227,7 +4827,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 128.100000 2369.460000 128.400000 ;
+        RECT 1925.680000 145.385000 1926.480000 145.685000 ;
     END
   END io_in[1]
   PIN io_in[0]
@@ -4235,615 +4835,806 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 2.415000 2369.460000 2.715000 ;
+        RECT 1925.680000 1.865000 1926.480000 2.165000 ;
     END
   END io_in[0]
   PIN io_out[37]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 37.9363 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 202.792 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNADIFFAREA 0.4455 LAYER met4  ;
+    ANTENNAPARTIALMETALAREA 5.7918 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 31.36 LAYER met4  ;
+    ANTENNAMODEL OXIDE1 ;
+    ANTENNAGATEAREA 0.159 LAYER met4  ;
+    ANTENNAMAXAREACAR 48.8588 LAYER met4  ;
+    ANTENNAMAXSIDEAREACAR 257.415 LAYER met4  ;
+    ANTENNAMAXCUTCAR 0.826415 LAYER via4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 41.650000 0.800000 41.950000 ;
+        RECT 0.000000 47.180000 0.800000 47.480000 ;
     END
   END io_out[37]
   PIN io_out[36]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3354 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.784 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 167.715000 0.800000 168.015000 ;
+        RECT 0.000000 190.120000 0.800000 190.420000 ;
     END
   END io_out[36]
   PIN io_out[35]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.0964 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 11.176 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 293.970000 0.800000 294.270000 ;
+        RECT 0.000000 333.160000 0.800000 333.460000 ;
     END
   END io_out[35]
   PIN io_out[34]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 131.997 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 704.448 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNADIFFAREA 0.4455 LAYER met4  ;
+    ANTENNAPARTIALMETALAREA 61.2888 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 327.344 LAYER met4  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 462.120000 0.800000 462.420000 ;
+        RECT 0.000000 523.820000 0.800000 524.120000 ;
     END
   END io_out[34]
   PIN io_out[33]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1644 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.872 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 630.270000 0.800000 630.570000 ;
+        RECT 0.000000 714.475000 0.800000 714.775000 ;
     END
   END io_out[33]
   PIN io_out[32]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1644 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.872 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 798.515000 0.800000 798.815000 ;
+        RECT 0.000000 904.940000 0.800000 905.240000 ;
     END
   END io_out[32]
   PIN io_out[31]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1644 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.872 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 966.760000 0.800000 967.060000 ;
+        RECT 0.000000 1095.785000 0.800000 1096.085000 ;
     END
   END io_out[31]
   PIN io_out[30]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1644 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.872 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1134.910000 0.800000 1135.210000 ;
+        RECT 0.000000 1286.250000 0.800000 1286.550000 ;
     END
   END io_out[30]
   PIN io_out[29]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1644 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.872 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1303.155000 0.800000 1303.455000 ;
+        RECT 0.000000 1476.810000 0.800000 1477.110000 ;
     END
   END io_out[29]
   PIN io_out[28]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.9264 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 20.936 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1471.305000 0.800000 1471.605000 ;
+        RECT 0.000000 1667.660000 0.800000 1667.960000 ;
     END
   END io_out[28]
   PIN io_out[27]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3174 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.688 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1639.455000 0.800000 1639.755000 ;
+        RECT 0.000000 1858.220000 0.800000 1858.520000 ;
     END
   END io_out[27]
   PIN io_out[26]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 5.0994 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 27.192 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1807.795000 0.800000 1808.095000 ;
+        RECT 0.000000 2048.680000 0.800000 2048.980000 ;
     END
   END io_out[26]
   PIN io_out[25]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2499 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.328 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1976.040000 0.800000 1976.340000 ;
+        RECT 0.000000 2239.435000 0.800000 2239.735000 ;
     END
   END io_out[25]
   PIN io_out[24]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1989 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.056 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2144.190000 0.800000 2144.490000 ;
+        RECT 0.000000 2430.185000 0.800000 2430.485000 ;
     END
   END io_out[24]
   PIN io_out[23]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.11 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.442 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 67.030000 2289.750000 67.170000 2290.240000 ;
+        RECT 54.830000 2594.730000 54.970000 2595.220000 ;
     END
   END io_out[23]
   PIN io_out[22]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.11 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.442 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 336.230000 2289.750000 336.370000 2290.240000 ;
+        RECT 274.630000 2594.730000 274.770000 2595.220000 ;
     END
   END io_out[22]
   PIN io_out[21]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.11 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.442 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 605.330000 2289.750000 605.470000 2290.240000 ;
+        RECT 494.530000 2594.730000 494.670000 2595.220000 ;
     END
   END io_out[21]
   PIN io_out[20]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.11 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.442 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 874.430000 2289.750000 874.570000 2290.240000 ;
+        RECT 714.430000 2594.730000 714.570000 2595.220000 ;
     END
   END io_out[20]
   PIN io_out[19]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.268 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 16.114 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1143.530000 2289.750000 1143.670000 2290.240000 ;
+        RECT 934.530000 2594.730000 934.670000 2595.220000 ;
     END
   END io_out[19]
   PIN io_out[18]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.2582 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 16.065 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1412.730000 2289.750000 1412.870000 2290.240000 ;
+        RECT 1154.430000 2594.730000 1154.570000 2595.220000 ;
     END
   END io_out[18]
   PIN io_out[17]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNADIFFAREA 3.1808 LAYER met2  ;
+    ANTENNAPARTIALMETALAREA 4.8325 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 24.0345 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1681.830000 2289.750000 1681.970000 2290.240000 ;
+        RECT 1374.330000 2594.730000 1374.470000 2595.220000 ;
     END
   END io_out[17]
   PIN io_out[16]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNADIFFAREA 3.1808 LAYER met2  ;
+    ANTENNAPARTIALMETALAREA 0.4143 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.0615 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1950.930000 2289.750000 1951.070000 2290.240000 ;
+        RECT 1594.230000 2594.730000 1594.370000 2595.220000 ;
     END
   END io_out[16]
   PIN io_out[15]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 74.4791 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 372.117 LAYER met2  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via2  ;
+    ANTENNAPARTIALMETALAREA 18.3768 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 98.48 LAYER met3  ;
     PORT
       LAYER met2 ;
-        RECT 2220.030000 2289.750000 2220.170000 2290.240000 ;
+        RECT 1814.230000 2594.730000 1814.370000 2595.220000 ;
     END
   END io_out[15]
   PIN io_out[14]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.4404 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.344 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 2185.420000 2369.460000 2185.720000 ;
+        RECT 1925.680000 2476.840000 1926.480000 2477.140000 ;
     END
   END io_out[14]
   PIN io_out[13]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.7334 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 9.24 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 2013.945000 2369.460000 2014.245000 ;
+        RECT 1925.680000 2282.440000 1926.480000 2282.740000 ;
     END
   END io_out[13]
   PIN io_out[12]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.4704 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.504 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1842.565000 2369.460000 1842.865000 ;
+        RECT 1925.680000 2088.140000 1926.480000 2088.440000 ;
     END
   END io_out[12]
   PIN io_out[11]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3444 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.832 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1671.090000 2369.460000 1671.390000 ;
+        RECT 1925.680000 1894.120000 1926.480000 1894.420000 ;
     END
   END io_out[11]
   PIN io_out[10]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.5784 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 3.08 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1499.615000 2369.460000 1499.915000 ;
+        RECT 1925.680000 1699.720000 1926.480000 1700.020000 ;
     END
   END io_out[10]
   PIN io_out[9]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 7.1874 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 38.328 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1328.330000 2369.460000 1328.630000 ;
+        RECT 1925.680000 1505.320000 1926.480000 1505.620000 ;
     END
   END io_out[9]
   PIN io_out[8]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.3764 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 7.336 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1156.855000 2369.460000 1157.155000 ;
+        RECT 1925.680000 1311.020000 1926.480000 1311.320000 ;
     END
   END io_out[8]
   PIN io_out[7]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.3849 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 18.048 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 985.380000 2369.460000 985.680000 ;
+        RECT 1925.680000 1116.715000 1926.480000 1117.015000 ;
     END
   END io_out[7]
   PIN io_out[6]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNADIFFAREA 0.3406 LAYER met3  ;
+    ANTENNAPARTIALMETALAREA 4.3344 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 23.592 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNADIFFAREA 0.4455 LAYER met4  ;
+    ANTENNAPARTIALMETALAREA 135.611 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 723.728 LAYER met4  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 814.000000 2369.460000 814.300000 ;
+        RECT 1925.680000 922.410000 1926.480000 922.710000 ;
     END
   END io_out[6]
   PIN io_out[5]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.4404 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.344 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 685.370000 2369.460000 685.670000 ;
+        RECT 1925.680000 776.680000 1926.480000 776.980000 ;
     END
   END io_out[5]
   PIN io_out[4]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.5062 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 13.832 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 556.645000 2369.460000 556.945000 ;
+        RECT 1925.680000 631.050000 1926.480000 631.350000 ;
     END
   END io_out[4]
   PIN io_out[3]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.6069 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 19.232 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 428.205000 2369.460000 428.505000 ;
+        RECT 1925.680000 485.225000 1926.480000 485.525000 ;
     END
   END io_out[3]
   PIN io_out[2]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3596 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.616 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 11.6478 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 62.592 LAYER met4  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 299.575000 2369.460000 299.875000 ;
+        RECT 1925.680000 339.500000 1926.480000 339.800000 ;
     END
   END io_out[2]
   PIN io_out[1]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.6489 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 3.456 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 170.945000 2369.460000 171.245000 ;
+        RECT 1925.680000 193.865000 1926.480000 194.165000 ;
     END
   END io_out[1]
   PIN io_out[0]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1644 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.872 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 42.505000 2369.460000 42.805000 ;
+        RECT 1925.680000 47.945000 1926.480000 48.245000 ;
     END
   END io_out[0]
   PIN io_oeb[37]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3624 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.928 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1.655000 0.800000 1.955000 ;
+        RECT 0.000000 0.620000 0.800000 0.920000 ;
     END
   END io_oeb[37]
   PIN io_oeb[36]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.4029 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.144 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 125.820000 0.800000 126.120000 ;
+        RECT 0.000000 142.505000 0.800000 142.805000 ;
     END
   END io_oeb[36]
   PIN io_oeb[35]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.5244 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.792 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 251.980000 0.800000 252.280000 ;
+        RECT 0.000000 285.450000 0.800000 285.750000 ;
     END
   END io_oeb[35]
   PIN io_oeb[34]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1644 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.872 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 420.130000 0.800000 420.430000 ;
+        RECT 0.000000 476.010000 0.800000 476.310000 ;
     END
   END io_oeb[34]
   PIN io_oeb[33]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1644 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.872 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 588.280000 0.800000 588.580000 ;
+        RECT 0.000000 666.860000 0.800000 667.160000 ;
     END
   END io_oeb[33]
   PIN io_oeb[32]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.1644 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.872 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 756.430000 0.800000 756.730000 ;
+        RECT 0.000000 857.320000 0.800000 857.620000 ;
     END
   END io_oeb[32]
   PIN io_oeb[31]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.5154 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.744 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 924.675000 0.800000 924.975000 ;
+        RECT 0.000000 1047.980000 0.800000 1048.280000 ;
     END
   END io_oeb[31]
   PIN io_oeb[30]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.7664 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 9.416 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1092.920000 0.800000 1093.220000 ;
+        RECT 0.000000 1238.730000 0.800000 1239.030000 ;
     END
   END io_oeb[30]
   PIN io_oeb[29]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.0009 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 32 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1261.070000 0.800000 1261.370000 ;
+        RECT 0.000000 1429.195000 0.800000 1429.495000 ;
     END
   END io_oeb[29]
   PIN io_oeb[28]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 5.8719 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 31.312 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1429.410000 0.800000 1429.710000 ;
+        RECT 0.000000 1619.945000 0.800000 1620.245000 ;
     END
   END io_oeb[28]
   PIN io_oeb[27]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2199 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.168 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1597.465000 0.800000 1597.765000 ;
+        RECT 0.000000 1810.505000 0.800000 1810.805000 ;
     END
   END io_oeb[27]
   PIN io_oeb[26]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3204 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.704 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1765.710000 0.800000 1766.010000 ;
+        RECT 0.000000 2001.160000 0.800000 2001.460000 ;
     END
   END io_oeb[26]
   PIN io_oeb[25]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2394 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.272 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1933.860000 0.800000 1934.160000 ;
+        RECT 0.000000 2191.820000 0.800000 2192.120000 ;
     END
   END io_oeb[25]
   PIN io_oeb[24]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.8727 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 5.12 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2102.105000 0.800000 2102.405000 ;
+        RECT 0.000000 2382.475000 0.800000 2382.775000 ;
     END
   END io_oeb[24]
   PIN io_oeb[23]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.1107 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.4455 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 4.730000 2289.755000 4.870000 2290.240000 ;
+        RECT 3.630000 2594.735000 3.770000 2595.220000 ;
     END
   END io_oeb[23]
   PIN io_oeb[22]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.11 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.442 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 268.930000 2289.750000 269.070000 2290.240000 ;
+        RECT 219.730000 2594.730000 219.870000 2595.220000 ;
     END
   END io_oeb[22]
   PIN io_oeb[21]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.11 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.442 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 538.130000 2289.750000 538.270000 2290.240000 ;
+        RECT 439.630000 2594.730000 439.770000 2595.220000 ;
     END
   END io_oeb[21]
   PIN io_oeb[20]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.11 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.442 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 807.230000 2289.750000 807.370000 2290.240000 ;
+        RECT 659.530000 2594.730000 659.670000 2595.220000 ;
     END
   END io_oeb[20]
   PIN io_oeb[19]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.6348 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 3.066 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1076.330000 2289.750000 1076.470000 2290.240000 ;
+        RECT 879.530000 2594.730000 879.670000 2595.220000 ;
     END
   END io_oeb[19]
   PIN io_oeb[18]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3212 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.498 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1345.430000 2289.750000 1345.570000 2290.240000 ;
+        RECT 1099.430000 2594.730000 1099.570000 2595.220000 ;
     END
   END io_oeb[18]
   PIN io_oeb[17]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 73.9232 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 369.39 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1614.630000 2289.750000 1614.770000 2290.240000 ;
+        RECT 1319.330000 2594.730000 1319.470000 2595.220000 ;
     END
   END io_oeb[17]
   PIN io_oeb[16]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNADIFFAREA 3.1808 LAYER met2  ;
+    ANTENNAPARTIALMETALAREA 0.1168 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 0.574 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 1883.730000 2289.750000 1883.870000 2290.240000 ;
+        RECT 1539.230000 2594.730000 1539.370000 2595.220000 ;
     END
   END io_oeb[16]
   PIN io_oeb[15]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.6207 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.8035 LAYER met2  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via2  ;
+    ANTENNAPARTIALMETALAREA 11.2968 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 60.72 LAYER met3  ;
     PORT
       LAYER met2 ;
-        RECT 2152.830000 2289.750000 2152.970000 2290.240000 ;
+        RECT 1759.230000 2594.730000 1759.370000 2595.220000 ;
     END
   END io_oeb[15]
   PIN io_oeb[14]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3444 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.832 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 2225.225000 2369.460000 2225.525000 ;
+        RECT 1925.680000 2523.400000 1926.480000 2523.700000 ;
     END
   END io_oeb[14]
   PIN io_oeb[13]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.3269 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 7.072 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 2056.885000 2369.460000 2057.185000 ;
+        RECT 1925.680000 2331.115000 1926.480000 2331.415000 ;
     END
   END io_oeb[13]
   PIN io_oeb[12]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.4314 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.296 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1885.315000 2369.460000 1885.615000 ;
+        RECT 1925.680000 2136.810000 1926.480000 2137.110000 ;
     END
   END io_oeb[12]
   PIN io_oeb[11]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.3699 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 33.968 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1713.935000 2369.460000 1714.235000 ;
+        RECT 1925.680000 1942.505000 1926.480000 1942.805000 ;
     END
   END io_oeb[11]
   PIN io_oeb[10]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.3774 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.008 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1542.460000 2369.460000 1542.760000 ;
+        RECT 1925.680000 1748.200000 1926.480000 1748.500000 ;
     END
   END io_oeb[10]
   PIN io_oeb[9]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2679 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.424 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1371.080000 2369.460000 1371.380000 ;
+        RECT 1925.680000 1553.995000 1926.480000 1554.295000 ;
     END
   END io_oeb[9]
   PIN io_oeb[8]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.7036 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 19.744 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 80.6466 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 431.056 LAYER met4  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1199.700000 2369.460000 1200.000000 ;
+        RECT 1925.680000 1359.690000 1926.480000 1359.990000 ;
     END
   END io_oeb[8]
   PIN io_oeb[7]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2979 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.584 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1028.320000 2369.460000 1028.620000 ;
+        RECT 1925.680000 1165.385000 1926.480000 1165.685000 ;
     END
   END io_oeb[7]
   PIN io_oeb[6]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.2229 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.184 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 856.845000 2369.460000 857.145000 ;
+        RECT 1925.680000 970.985000 1926.480000 971.285000 ;
     END
   END io_oeb[6]
   PIN io_oeb[5]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.4139 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 7.536 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 728.215000 2369.460000 728.515000 ;
+        RECT 1925.680000 825.355000 1926.480000 825.655000 ;
     END
   END io_oeb[5]
   PIN io_oeb[4]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.5259 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 2.8 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 599.585000 2369.460000 599.885000 ;
+        RECT 1925.680000 679.625000 1926.480000 679.925000 ;
     END
   END io_oeb[4]
   PIN io_oeb[3]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 6.9564 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 37.096 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 471.050000 2369.460000 471.350000 ;
+        RECT 1925.680000 533.900000 1926.480000 534.200000 ;
     END
   END io_oeb[3]
   PIN io_oeb[2]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 1.0149 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 5.408 LAYER met3  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 342.515000 2369.460000 342.815000 ;
+        RECT 1925.680000 388.075000 1926.480000 388.375000 ;
     END
   END io_oeb[2]
   PIN io_oeb[1]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.36235 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 1.624 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 22.8108 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 122.128 LAYER met4  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 213.885000 2369.460000 214.185000 ;
+        RECT 1925.680000 242.345000 1926.480000 242.645000 ;
     END
   END io_oeb[1]
   PIN io_oeb[0]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 0.6121 LAYER met3  ;
+    ANTENNAPARTIALMETALSIDEAREA 3.256 LAYER met3  ;
+    ANTENNAPARTIALCUTAREA 0.04 LAYER via3  ;
+    ANTENNAPARTIALMETALAREA 6.8898 LAYER met4  ;
+    ANTENNAPARTIALMETALSIDEAREA 37.216 LAYER met4  ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 85.255000 2369.460000 85.555000 ;
+        RECT 1925.680000 96.715000 1926.480000 97.015000 ;
     END
   END io_oeb[0]
   PIN analog_io[28]
@@ -4851,7 +5642,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 378.045000 0.800000 378.345000 ;
+        RECT 0.000000 428.490000 0.800000 428.790000 ;
     END
   END analog_io[28]
   PIN analog_io[27]
@@ -4859,7 +5650,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 546.290000 0.800000 546.590000 ;
+        RECT 0.000000 619.145000 0.800000 619.445000 ;
     END
   END analog_io[27]
   PIN analog_io[26]
@@ -4867,7 +5658,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 714.535000 0.800000 714.835000 ;
+        RECT 0.000000 809.610000 0.800000 809.910000 ;
     END
   END analog_io[26]
   PIN analog_io[25]
@@ -4875,7 +5666,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 882.590000 0.800000 882.890000 ;
+        RECT 0.000000 1000.265000 0.800000 1000.565000 ;
     END
   END analog_io[25]
   PIN analog_io[24]
@@ -4883,7 +5674,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1050.835000 0.800000 1051.135000 ;
+        RECT 0.000000 1191.020000 0.800000 1191.320000 ;
     END
   END analog_io[24]
   PIN analog_io[23]
@@ -4891,7 +5682,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1219.080000 0.800000 1219.380000 ;
+        RECT 0.000000 1381.580000 0.800000 1381.880000 ;
     END
   END analog_io[23]
   PIN analog_io[22]
@@ -4899,7 +5690,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1387.325000 0.800000 1387.625000 ;
+        RECT 0.000000 1572.330000 0.800000 1572.630000 ;
     END
   END analog_io[22]
   PIN analog_io[21]
@@ -4907,7 +5698,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1555.475000 0.800000 1555.775000 ;
+        RECT 0.000000 1762.890000 0.800000 1763.190000 ;
     END
   END analog_io[21]
   PIN analog_io[20]
@@ -4915,7 +5706,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1723.625000 0.800000 1723.925000 ;
+        RECT 0.000000 1953.450000 0.800000 1953.750000 ;
     END
   END analog_io[20]
   PIN analog_io[19]
@@ -4923,7 +5714,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 1891.775000 0.800000 1892.075000 ;
+        RECT 0.000000 2144.105000 0.800000 2144.405000 ;
     END
   END analog_io[19]
   PIN analog_io[18]
@@ -4931,7 +5722,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2059.925000 0.800000 2060.225000 ;
+        RECT 0.000000 2334.665000 0.800000 2334.965000 ;
     END
   END analog_io[18]
   PIN analog_io[17]
@@ -4939,7 +5730,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 0.000000 2226.175000 0.800000 2226.475000 ;
+        RECT 0.000000 2522.825000 0.800000 2523.125000 ;
     END
   END analog_io[17]
   PIN analog_io[16]
@@ -4947,7 +5738,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 201.630000 2289.750000 201.770000 2290.240000 ;
+        RECT 164.730000 2594.730000 164.870000 2595.220000 ;
     END
   END analog_io[16]
   PIN analog_io[15]
@@ -4955,7 +5746,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 470.730000 2289.750000 470.870000 2290.240000 ;
+        RECT 384.630000 2594.730000 384.770000 2595.220000 ;
     END
   END analog_io[15]
   PIN analog_io[14]
@@ -4963,7 +5754,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 739.830000 2289.750000 739.970000 2290.240000 ;
+        RECT 604.530000 2594.730000 604.670000 2595.220000 ;
     END
   END analog_io[14]
   PIN analog_io[13]
@@ -4971,7 +5762,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1009.130000 2289.750000 1009.270000 2290.240000 ;
+        RECT 824.530000 2594.730000 824.670000 2595.220000 ;
     END
   END analog_io[13]
   PIN analog_io[12]
@@ -4979,7 +5770,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1278.230000 2289.750000 1278.370000 2290.240000 ;
+        RECT 1044.430000 2594.730000 1044.570000 2595.220000 ;
     END
   END analog_io[12]
   PIN analog_io[11]
@@ -4987,7 +5778,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1547.330000 2289.750000 1547.470000 2290.240000 ;
+        RECT 1264.330000 2594.730000 1264.470000 2595.220000 ;
     END
   END analog_io[11]
   PIN analog_io[10]
@@ -4995,7 +5786,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 1816.430000 2289.750000 1816.570000 2290.240000 ;
+        RECT 1484.230000 2594.730000 1484.370000 2595.220000 ;
     END
   END analog_io[10]
   PIN analog_io[9]
@@ -5003,7 +5794,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2085.630000 2289.750000 2085.770000 2290.240000 ;
+        RECT 1704.230000 2594.730000 1704.370000 2595.220000 ;
     END
   END analog_io[9]
   PIN analog_io[8]
@@ -5011,7 +5802,7 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2350.030000 2289.755000 2350.170000 2290.240000 ;
+        RECT 1921.030000 2594.735000 1921.170000 2595.220000 ;
     END
   END analog_io[8]
   PIN analog_io[7]
@@ -5019,7 +5810,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 2099.635000 2369.460000 2099.935000 ;
+        RECT 1925.680000 2379.785000 1926.480000 2380.085000 ;
     END
   END analog_io[7]
   PIN analog_io[6]
@@ -5027,7 +5818,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1928.255000 2369.460000 1928.555000 ;
+        RECT 1925.680000 2185.385000 1926.480000 2185.685000 ;
     END
   END analog_io[6]
   PIN analog_io[5]
@@ -5035,7 +5826,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1756.780000 2369.460000 1757.080000 ;
+        RECT 1925.680000 1991.080000 1926.480000 1991.380000 ;
     END
   END analog_io[5]
   PIN analog_io[4]
@@ -5043,7 +5834,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1585.305000 2369.460000 1585.605000 ;
+        RECT 1925.680000 1796.780000 1926.480000 1797.080000 ;
     END
   END analog_io[4]
   PIN analog_io[3]
@@ -5051,7 +5842,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1413.925000 2369.460000 1414.225000 ;
+        RECT 1925.680000 1602.380000 1926.480000 1602.680000 ;
     END
   END analog_io[3]
   PIN analog_io[2]
@@ -5059,7 +5850,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1242.640000 2369.460000 1242.940000 ;
+        RECT 1925.680000 1408.075000 1926.480000 1408.375000 ;
     END
   END analog_io[2]
   PIN analog_io[1]
@@ -5067,7 +5858,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 1071.070000 2369.460000 1071.370000 ;
+        RECT 1925.680000 1214.060000 1926.480000 1214.360000 ;
     END
   END analog_io[1]
   PIN analog_io[0]
@@ -5075,7 +5866,7 @@
     USE SIGNAL ;
     PORT
       LAYER met3 ;
-        RECT 2368.660000 899.690000 2369.460000 899.990000 ;
+        RECT 1925.680000 1019.660000 1926.480000 1019.960000 ;
     END
   END analog_io[0]
   PIN user_clock2
@@ -5083,89 +5874,79 @@
     USE SIGNAL ;
     PORT
       LAYER met2 ;
-        RECT 2339.930000 0.000000 2340.070000 0.490000 ;
+        RECT 1912.330000 0.000000 1912.470000 0.490000 ;
     END
   END user_clock2
   PIN user_irq[2]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9735 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.7595 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 2356.730000 0.000000 2356.870000 0.485000 ;
+        RECT 1922.730000 0.000000 1922.870000 0.485000 ;
     END
   END user_irq[2]
   PIN user_irq[1]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 3.0874 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 15.211 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 2349.430000 0.000000 2349.570000 0.490000 ;
+        RECT 1920.130000 0.000000 1920.270000 0.490000 ;
     END
   END user_irq[1]
   PIN user_irq[0]
     DIRECTION OUTPUT ;
     USE SIGNAL ;
+    ANTENNAPARTIALMETALAREA 2.9672 LAYER met2  ;
+    ANTENNAPARTIALMETALSIDEAREA 14.728 LAYER met2  ;
     PORT
       LAYER met2 ;
-        RECT 2344.630000 0.000000 2344.770000 0.490000 ;
+        RECT 1916.230000 0.000000 1916.370000 0.490000 ;
     END
   END user_irq[0]
   PIN vssd1
     DIRECTION INOUT ;
     USE GROUND ;
-    PORT
-      LAYER met3 ;
-        RECT 7.980000 8.260000 2361.480000 12.260000 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 7.980000 2277.300000 2361.480000 2281.300000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2357.480000 8.260000 2361.480000 2281.300000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 7.980000 8.260000 11.980000 2281.300000 ;
-    END
 
 # P/G pin shape extracted from block 'tcam_32x28'
     PORT
       LAYER met4 ;
-        RECT 668.435000 1811.260000 670.175000 2206.040000 ;
+        RECT 824.905000 2125.920000 826.645000 2520.700000 ;
       LAYER met3 ;
-        RECT 668.435000 2204.300000 1145.495000 2206.040000 ;
+        RECT 824.905000 2518.960000 1301.965000 2520.700000 ;
       LAYER met3 ;
-        RECT 668.435000 1811.260000 1145.495000 1813.000000 ;
+        RECT 824.905000 2125.920000 1301.965000 2127.660000 ;
       LAYER met4 ;
-        RECT 1143.755000 1811.260000 1145.495000 2206.040000 ;
+        RECT 1300.225000 2125.920000 1301.965000 2520.700000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1230.575000 1811.355000 1232.315000 2206.135000 ;
+        RECT 1387.045000 2126.015000 1388.785000 2520.795000 ;
       LAYER met3 ;
-        RECT 1230.575000 2204.395000 1707.635000 2206.135000 ;
+        RECT 1387.045000 2519.055000 1864.105000 2520.795000 ;
       LAYER met3 ;
-        RECT 1230.575000 1811.355000 1707.635000 1813.095000 ;
+        RECT 1387.045000 2126.015000 1864.105000 2127.755000 ;
       LAYER met4 ;
-        RECT 1705.895000 1811.355000 1707.635000 2206.135000 ;
+        RECT 1862.365000 2126.015000 1864.105000 2520.795000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 642.365000 1771.825000 644.365000 2241.665000 ;
+        RECT 798.835000 2086.485000 800.835000 2556.325000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1731.905000 1771.825000 1733.905000 2241.665000 ;
+        RECT 1888.375000 2086.485000 1890.375000 2556.325000 ;
     END
     PORT
       LAYER met3 ;
-        RECT 642.365000 2239.665000 1733.905000 2241.665000 ;
+        RECT 798.835000 2554.325000 1890.375000 2556.325000 ;
     END
     PORT
       LAYER met3 ;
-        RECT 642.365000 1771.825000 1733.905000 1773.825000 ;
+        RECT 798.835000 2086.485000 1890.375000 2088.485000 ;
     END
 # end of P/G pin shape extracted from block 'tcam_32x28'
 
@@ -5173,13 +5954,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 513.045000 525.515000 514.785000 920.295000 ;
+        RECT 1897.460000 83.190000 1899.200000 477.970000 ;
       LAYER met3 ;
-        RECT 37.725000 525.515000 514.785000 527.255000 ;
+        RECT 1422.140000 83.190000 1899.200000 84.930000 ;
       LAYER met3 ;
-        RECT 37.725000 918.555000 514.785000 920.295000 ;
+        RECT 1422.140000 476.230000 1899.200000 477.970000 ;
       LAYER met4 ;
-        RECT 37.725000 525.515000 39.465000 920.295000 ;
+        RECT 1422.140000 83.190000 1423.880000 477.970000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5187,13 +5968,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 513.045000 967.215000 514.785000 1361.995000 ;
+        RECT 1897.460000 592.890000 1899.200000 987.670000 ;
       LAYER met3 ;
-        RECT 37.725000 967.215000 514.785000 968.955000 ;
+        RECT 1422.140000 592.890000 1899.200000 594.630000 ;
       LAYER met3 ;
-        RECT 37.725000 1360.255000 514.785000 1361.995000 ;
+        RECT 1422.140000 985.930000 1899.200000 987.670000 ;
       LAYER met4 ;
-        RECT 37.725000 967.215000 39.465000 1361.995000 ;
+        RECT 1422.140000 592.890000 1423.880000 987.670000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5201,13 +5982,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 513.045000 1408.915000 514.785000 1803.695000 ;
+        RECT 1897.460000 1102.590000 1899.200000 1497.370000 ;
       LAYER met3 ;
-        RECT 37.725000 1408.915000 514.785000 1410.655000 ;
+        RECT 1422.140000 1102.590000 1899.200000 1104.330000 ;
       LAYER met3 ;
-        RECT 37.725000 1801.955000 514.785000 1803.695000 ;
+        RECT 1422.140000 1495.630000 1899.200000 1497.370000 ;
       LAYER met4 ;
-        RECT 37.725000 1408.915000 39.465000 1803.695000 ;
+        RECT 1422.140000 1102.590000 1423.880000 1497.370000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5215,13 +5996,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 513.045000 1850.615000 514.785000 2245.395000 ;
+        RECT 1897.460000 1612.290000 1899.200000 2007.070000 ;
       LAYER met3 ;
-        RECT 37.725000 1850.615000 514.785000 1852.355000 ;
+        RECT 1422.140000 1612.290000 1899.200000 1614.030000 ;
       LAYER met3 ;
-        RECT 37.725000 2243.655000 514.785000 2245.395000 ;
+        RECT 1422.140000 2005.330000 1899.200000 2007.070000 ;
       LAYER met4 ;
-        RECT 37.725000 1850.615000 39.465000 2245.395000 ;
+        RECT 1422.140000 1612.290000 1423.880000 2007.070000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5229,13 +6010,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 2335.145000 525.515000 2336.885000 920.295000 ;
+        RECT 1264.680000 83.190000 1266.420000 477.970000 ;
       LAYER met3 ;
-        RECT 1859.825000 525.515000 2336.885000 527.255000 ;
+        RECT 789.360000 83.190000 1266.420000 84.930000 ;
       LAYER met3 ;
-        RECT 1859.825000 918.555000 2336.885000 920.295000 ;
+        RECT 789.360000 476.230000 1266.420000 477.970000 ;
       LAYER met4 ;
-        RECT 1859.825000 525.515000 1861.565000 920.295000 ;
+        RECT 789.360000 83.190000 791.100000 477.970000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5243,13 +6024,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 2335.145000 967.215000 2336.885000 1361.995000 ;
+        RECT 1264.680000 592.890000 1266.420000 987.670000 ;
       LAYER met3 ;
-        RECT 1859.825000 967.215000 2336.885000 968.955000 ;
+        RECT 789.360000 592.890000 1266.420000 594.630000 ;
       LAYER met3 ;
-        RECT 1859.825000 1360.255000 2336.885000 1361.995000 ;
+        RECT 789.360000 985.930000 1266.420000 987.670000 ;
       LAYER met4 ;
-        RECT 1859.825000 967.215000 1861.565000 1361.995000 ;
+        RECT 789.360000 592.890000 791.100000 987.670000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5257,13 +6038,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 2335.145000 1408.915000 2336.885000 1803.695000 ;
+        RECT 1264.680000 1102.590000 1266.420000 1497.370000 ;
       LAYER met3 ;
-        RECT 1859.825000 1408.915000 2336.885000 1410.655000 ;
+        RECT 789.360000 1102.590000 1266.420000 1104.330000 ;
       LAYER met3 ;
-        RECT 1859.825000 1801.955000 2336.885000 1803.695000 ;
+        RECT 789.360000 1495.630000 1266.420000 1497.370000 ;
       LAYER met4 ;
-        RECT 1859.825000 1408.915000 1861.565000 1803.695000 ;
+        RECT 789.360000 1102.590000 791.100000 1497.370000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5271,13 +6052,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met4 ;
-        RECT 2335.145000 1850.615000 2336.885000 2245.395000 ;
+        RECT 1264.680000 1612.290000 1266.420000 2007.070000 ;
       LAYER met3 ;
-        RECT 1859.825000 1850.615000 2336.885000 1852.355000 ;
+        RECT 789.360000 1612.290000 1266.420000 1614.030000 ;
       LAYER met3 ;
-        RECT 1859.825000 2243.655000 2336.885000 2245.395000 ;
+        RECT 789.360000 2005.330000 1266.420000 2007.070000 ;
       LAYER met4 ;
-        RECT 1859.825000 1850.615000 1861.565000 2245.395000 ;
+        RECT 789.360000 1612.290000 791.100000 2007.070000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5285,59 +6066,43 @@
   PIN vccd1
     DIRECTION INOUT ;
     USE POWER ;
-    PORT
-      LAYER met3 ;
-        RECT 13.780000 14.060000 2355.680000 18.060000 ;
-    END
-    PORT
-      LAYER met3 ;
-        RECT 13.780000 2271.500000 2355.680000 2275.500000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2351.680000 14.060000 2355.680000 2275.500000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 13.780000 14.060000 17.780000 2275.500000 ;
-    END
 
 # P/G pin shape extracted from block 'tcam_32x28'
     PORT
       LAYER met4 ;
-        RECT 1140.355000 1814.660000 1142.095000 2202.640000 ;
+        RECT 1296.825000 2129.320000 1298.565000 2517.300000 ;
       LAYER met4 ;
-        RECT 671.835000 1814.660000 673.575000 2202.640000 ;
+        RECT 828.305000 2129.320000 830.045000 2517.300000 ;
       LAYER met3 ;
-        RECT 671.835000 1814.660000 1142.095000 1816.400000 ;
+        RECT 828.305000 2129.320000 1298.565000 2131.060000 ;
       LAYER met3 ;
-        RECT 671.835000 2200.900000 1142.095000 2202.640000 ;
+        RECT 828.305000 2515.560000 1298.565000 2517.300000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1702.495000 1814.755000 1704.235000 2202.735000 ;
+        RECT 1858.965000 2129.415000 1860.705000 2517.395000 ;
       LAYER met4 ;
-        RECT 1233.975000 1814.755000 1235.715000 2202.735000 ;
+        RECT 1390.445000 2129.415000 1392.185000 2517.395000 ;
       LAYER met3 ;
-        RECT 1233.975000 1814.755000 1704.235000 1816.495000 ;
+        RECT 1390.445000 2129.415000 1860.705000 2131.155000 ;
       LAYER met3 ;
-        RECT 1233.975000 2200.995000 1704.235000 2202.735000 ;
+        RECT 1390.445000 2515.655000 1860.705000 2517.395000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 646.165000 1775.625000 648.165000 2237.865000 ;
+        RECT 802.635000 2090.285000 804.635000 2552.525000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1728.105000 1775.625000 1730.105000 2237.865000 ;
+        RECT 1884.575000 2090.285000 1886.575000 2552.525000 ;
     END
     PORT
       LAYER met3 ;
-        RECT 646.165000 2235.865000 1730.105000 2237.865000 ;
+        RECT 802.635000 2550.525000 1886.575000 2552.525000 ;
     END
     PORT
       LAYER met3 ;
-        RECT 646.165000 1775.625000 1730.105000 1777.625000 ;
+        RECT 802.635000 2090.285000 1886.575000 2092.285000 ;
     END
 # end of P/G pin shape extracted from block 'tcam_32x28'
 
@@ -5345,13 +6110,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 41.125000 915.155000 511.385000 916.895000 ;
+        RECT 1425.540000 472.830000 1895.800000 474.570000 ;
       LAYER met3 ;
-        RECT 41.125000 528.915000 511.385000 530.655000 ;
+        RECT 1425.540000 86.590000 1895.800000 88.330000 ;
       LAYER met4 ;
-        RECT 41.125000 528.915000 42.865000 916.895000 ;
+        RECT 1425.540000 86.590000 1427.280000 474.570000 ;
       LAYER met4 ;
-        RECT 509.645000 528.915000 511.385000 916.895000 ;
+        RECT 1894.060000 86.590000 1895.800000 474.570000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5359,13 +6124,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 41.125000 1356.855000 511.385000 1358.595000 ;
+        RECT 1425.540000 982.530000 1895.800000 984.270000 ;
       LAYER met3 ;
-        RECT 41.125000 970.615000 511.385000 972.355000 ;
+        RECT 1425.540000 596.290000 1895.800000 598.030000 ;
       LAYER met4 ;
-        RECT 41.125000 970.615000 42.865000 1358.595000 ;
+        RECT 1425.540000 596.290000 1427.280000 984.270000 ;
       LAYER met4 ;
-        RECT 509.645000 970.615000 511.385000 1358.595000 ;
+        RECT 1894.060000 596.290000 1895.800000 984.270000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5373,13 +6138,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 41.125000 1798.555000 511.385000 1800.295000 ;
+        RECT 1425.540000 1492.230000 1895.800000 1493.970000 ;
       LAYER met3 ;
-        RECT 41.125000 1412.315000 511.385000 1414.055000 ;
+        RECT 1425.540000 1105.990000 1895.800000 1107.730000 ;
       LAYER met4 ;
-        RECT 41.125000 1412.315000 42.865000 1800.295000 ;
+        RECT 1425.540000 1105.990000 1427.280000 1493.970000 ;
       LAYER met4 ;
-        RECT 509.645000 1412.315000 511.385000 1800.295000 ;
+        RECT 1894.060000 1105.990000 1895.800000 1493.970000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5387,13 +6152,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 41.125000 2240.255000 511.385000 2241.995000 ;
+        RECT 1425.540000 2001.930000 1895.800000 2003.670000 ;
       LAYER met3 ;
-        RECT 41.125000 1854.015000 511.385000 1855.755000 ;
+        RECT 1425.540000 1615.690000 1895.800000 1617.430000 ;
       LAYER met4 ;
-        RECT 41.125000 1854.015000 42.865000 2241.995000 ;
+        RECT 1425.540000 1615.690000 1427.280000 2003.670000 ;
       LAYER met4 ;
-        RECT 509.645000 1854.015000 511.385000 2241.995000 ;
+        RECT 1894.060000 1615.690000 1895.800000 2003.670000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5401,13 +6166,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 1863.225000 915.155000 2333.485000 916.895000 ;
+        RECT 792.760000 472.830000 1263.020000 474.570000 ;
       LAYER met3 ;
-        RECT 1863.225000 528.915000 2333.485000 530.655000 ;
+        RECT 792.760000 86.590000 1263.020000 88.330000 ;
       LAYER met4 ;
-        RECT 1863.225000 528.915000 1864.965000 916.895000 ;
+        RECT 792.760000 86.590000 794.500000 474.570000 ;
       LAYER met4 ;
-        RECT 2331.745000 528.915000 2333.485000 916.895000 ;
+        RECT 1261.280000 86.590000 1263.020000 474.570000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5415,13 +6180,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 1863.225000 1356.855000 2333.485000 1358.595000 ;
+        RECT 792.760000 982.530000 1263.020000 984.270000 ;
       LAYER met3 ;
-        RECT 1863.225000 970.615000 2333.485000 972.355000 ;
+        RECT 792.760000 596.290000 1263.020000 598.030000 ;
       LAYER met4 ;
-        RECT 1863.225000 970.615000 1864.965000 1358.595000 ;
+        RECT 792.760000 596.290000 794.500000 984.270000 ;
       LAYER met4 ;
-        RECT 2331.745000 970.615000 2333.485000 1358.595000 ;
+        RECT 1261.280000 596.290000 1263.020000 984.270000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5429,13 +6194,13 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 1863.225000 1798.555000 2333.485000 1800.295000 ;
+        RECT 792.760000 1492.230000 1263.020000 1493.970000 ;
       LAYER met3 ;
-        RECT 1863.225000 1412.315000 2333.485000 1414.055000 ;
+        RECT 792.760000 1105.990000 1263.020000 1107.730000 ;
       LAYER met4 ;
-        RECT 1863.225000 1412.315000 1864.965000 1800.295000 ;
+        RECT 792.760000 1105.990000 794.500000 1493.970000 ;
       LAYER met4 ;
-        RECT 2331.745000 1412.315000 2333.485000 1800.295000 ;
+        RECT 1261.280000 1105.990000 1263.020000 1493.970000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
@@ -5443,798 +6208,778 @@
 # P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
     PORT
       LAYER met3 ;
-        RECT 1863.225000 2240.255000 2333.485000 2241.995000 ;
+        RECT 792.760000 2001.930000 1263.020000 2003.670000 ;
       LAYER met3 ;
-        RECT 1863.225000 1854.015000 2333.485000 1855.755000 ;
+        RECT 792.760000 1615.690000 1263.020000 1617.430000 ;
       LAYER met4 ;
-        RECT 1863.225000 1854.015000 1864.965000 2241.995000 ;
+        RECT 792.760000 1615.690000 794.500000 2003.670000 ;
       LAYER met4 ;
-        RECT 2331.745000 1854.015000 2333.485000 2241.995000 ;
+        RECT 1261.280000 1615.690000 1263.020000 2003.670000 ;
     END
 # end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
 
   END vccd1
   OBS
     LAYER li1 ;
-      RECT 0.000000 0.000000 2369.460000 2290.240000 ;
+      RECT 0.000000 0.000000 1926.480000 2595.220000 ;
     LAYER met1 ;
-      RECT 0.000000 0.000000 2369.460000 2290.240000 ;
+      RECT 0.000000 0.000000 1926.480000 2595.220000 ;
     LAYER met2 ;
-      RECT 2350.310000 2289.615000 2369.460000 2290.240000 ;
-      RECT 2287.710000 2289.615000 2349.890000 2290.240000 ;
-      RECT 5.010000 2289.615000 66.890000 2290.240000 ;
-      RECT 0.000000 2289.615000 4.590000 2290.240000 ;
-      RECT 2287.710000 2289.610000 2369.460000 2289.615000 ;
-      RECT 2220.310000 2289.610000 2287.290000 2290.240000 ;
-      RECT 2153.110000 2289.610000 2219.890000 2290.240000 ;
-      RECT 2085.910000 2289.610000 2152.690000 2290.240000 ;
-      RECT 2018.610000 2289.610000 2085.490000 2290.240000 ;
-      RECT 1951.210000 2289.610000 2018.190000 2290.240000 ;
-      RECT 1884.010000 2289.610000 1950.790000 2290.240000 ;
-      RECT 1816.710000 2289.610000 1883.590000 2290.240000 ;
-      RECT 1749.410000 2289.610000 1816.290000 2290.240000 ;
-      RECT 1682.110000 2289.610000 1748.990000 2290.240000 ;
-      RECT 1614.910000 2289.610000 1681.690000 2290.240000 ;
-      RECT 1547.610000 2289.610000 1614.490000 2290.240000 ;
-      RECT 1480.310000 2289.610000 1547.190000 2290.240000 ;
-      RECT 1413.010000 2289.610000 1479.890000 2290.240000 ;
-      RECT 1345.710000 2289.610000 1412.590000 2290.240000 ;
-      RECT 1278.510000 2289.610000 1345.290000 2290.240000 ;
-      RECT 1211.110000 2289.610000 1278.090000 2290.240000 ;
-      RECT 1143.810000 2289.610000 1210.690000 2290.240000 ;
-      RECT 1076.610000 2289.610000 1143.390000 2290.240000 ;
-      RECT 1009.410000 2289.610000 1076.190000 2290.240000 ;
-      RECT 942.010000 2289.610000 1008.990000 2290.240000 ;
-      RECT 874.710000 2289.610000 941.590000 2290.240000 ;
-      RECT 807.510000 2289.610000 874.290000 2290.240000 ;
-      RECT 740.110000 2289.610000 807.090000 2290.240000 ;
-      RECT 672.810000 2289.610000 739.690000 2290.240000 ;
-      RECT 605.610000 2289.610000 672.390000 2290.240000 ;
-      RECT 538.410000 2289.610000 605.190000 2290.240000 ;
-      RECT 471.010000 2289.610000 537.990000 2290.240000 ;
-      RECT 403.810000 2289.610000 470.590000 2290.240000 ;
-      RECT 336.510000 2289.610000 403.390000 2290.240000 ;
-      RECT 269.210000 2289.610000 336.090000 2290.240000 ;
-      RECT 201.910000 2289.610000 268.790000 2290.240000 ;
-      RECT 134.610000 2289.610000 201.490000 2290.240000 ;
-      RECT 67.310000 2289.610000 134.190000 2290.240000 ;
-      RECT 0.000000 2289.610000 66.890000 2289.615000 ;
-      RECT 0.000000 0.630000 2369.460000 2289.610000 ;
-      RECT 2349.710000 0.625000 2369.460000 0.630000 ;
-      RECT 0.000000 0.625000 4.390000 0.630000 ;
-      RECT 2357.010000 0.000000 2369.460000 0.625000 ;
-      RECT 2349.710000 0.000000 2356.590000 0.625000 ;
-      RECT 2344.910000 0.000000 2349.290000 0.630000 ;
-      RECT 2340.210000 0.000000 2344.490000 0.630000 ;
-      RECT 2335.410000 0.000000 2339.790000 0.630000 ;
-      RECT 2330.610000 0.000000 2334.990000 0.630000 ;
-      RECT 2325.810000 0.000000 2330.190000 0.630000 ;
-      RECT 2321.010000 0.000000 2325.390000 0.630000 ;
-      RECT 2316.210000 0.000000 2320.590000 0.630000 ;
-      RECT 2311.410000 0.000000 2315.790000 0.630000 ;
-      RECT 2306.710000 0.000000 2310.990000 0.630000 ;
-      RECT 2302.010000 0.000000 2306.290000 0.630000 ;
-      RECT 2297.210000 0.000000 2301.590000 0.630000 ;
-      RECT 2292.410000 0.000000 2296.790000 0.630000 ;
-      RECT 2287.610000 0.000000 2291.990000 0.630000 ;
-      RECT 2282.810000 0.000000 2287.190000 0.630000 ;
-      RECT 2278.110000 0.000000 2282.390000 0.630000 ;
-      RECT 2273.310000 0.000000 2277.690000 0.630000 ;
-      RECT 2268.510000 0.000000 2272.890000 0.630000 ;
-      RECT 2263.710000 0.000000 2268.090000 0.630000 ;
-      RECT 2258.910000 0.000000 2263.290000 0.630000 ;
-      RECT 2254.210000 0.000000 2258.490000 0.630000 ;
-      RECT 2249.510000 0.000000 2253.790000 0.630000 ;
-      RECT 2244.710000 0.000000 2249.090000 0.630000 ;
-      RECT 2239.910000 0.000000 2244.290000 0.630000 ;
-      RECT 2235.110000 0.000000 2239.490000 0.630000 ;
-      RECT 2230.310000 0.000000 2234.690000 0.630000 ;
-      RECT 2225.510000 0.000000 2229.890000 0.630000 ;
-      RECT 2220.810000 0.000000 2225.090000 0.630000 ;
-      RECT 2216.010000 0.000000 2220.390000 0.630000 ;
-      RECT 2211.210000 0.000000 2215.590000 0.630000 ;
-      RECT 2206.510000 0.000000 2210.790000 0.630000 ;
-      RECT 2201.710000 0.000000 2206.090000 0.630000 ;
-      RECT 2196.910000 0.000000 2201.290000 0.630000 ;
-      RECT 2192.210000 0.000000 2196.490000 0.630000 ;
-      RECT 2187.410000 0.000000 2191.790000 0.630000 ;
-      RECT 2182.610000 0.000000 2186.990000 0.630000 ;
-      RECT 2177.810000 0.000000 2182.190000 0.630000 ;
-      RECT 2173.010000 0.000000 2177.390000 0.630000 ;
-      RECT 2168.210000 0.000000 2172.590000 0.630000 ;
-      RECT 2163.510000 0.000000 2167.790000 0.630000 ;
-      RECT 2158.810000 0.000000 2163.090000 0.630000 ;
-      RECT 2154.010000 0.000000 2158.390000 0.630000 ;
-      RECT 2149.210000 0.000000 2153.590000 0.630000 ;
-      RECT 2144.410000 0.000000 2148.790000 0.630000 ;
-      RECT 2139.510000 0.000000 2143.990000 0.630000 ;
-      RECT 2134.810000 0.000000 2139.090000 0.630000 ;
-      RECT 2130.010000 0.000000 2134.390000 0.630000 ;
-      RECT 2125.210000 0.000000 2129.590000 0.630000 ;
-      RECT 2120.410000 0.000000 2124.790000 0.630000 ;
-      RECT 2115.610000 0.000000 2119.990000 0.630000 ;
-      RECT 2110.810000 0.000000 2115.190000 0.630000 ;
-      RECT 2106.210000 0.000000 2110.390000 0.630000 ;
-      RECT 2101.410000 0.000000 2105.790000 0.630000 ;
-      RECT 2096.610000 0.000000 2100.990000 0.630000 ;
-      RECT 2091.810000 0.000000 2096.190000 0.630000 ;
-      RECT 2087.010000 0.000000 2091.390000 0.630000 ;
-      RECT 2082.210000 0.000000 2086.590000 0.630000 ;
-      RECT 2077.410000 0.000000 2081.790000 0.630000 ;
-      RECT 2072.710000 0.000000 2076.990000 0.630000 ;
-      RECT 2067.910000 0.000000 2072.290000 0.630000 ;
-      RECT 2063.110000 0.000000 2067.490000 0.630000 ;
-      RECT 2058.410000 0.000000 2062.690000 0.630000 ;
-      RECT 2053.610000 0.000000 2057.990000 0.630000 ;
-      RECT 2048.810000 0.000000 2053.190000 0.630000 ;
-      RECT 2044.110000 0.000000 2048.390000 0.630000 ;
-      RECT 2039.310000 0.000000 2043.690000 0.630000 ;
-      RECT 2034.510000 0.000000 2038.890000 0.630000 ;
-      RECT 2029.710000 0.000000 2034.090000 0.630000 ;
-      RECT 2024.910000 0.000000 2029.290000 0.630000 ;
-      RECT 2020.110000 0.000000 2024.490000 0.630000 ;
-      RECT 2015.410000 0.000000 2019.690000 0.630000 ;
-      RECT 2010.710000 0.000000 2014.990000 0.630000 ;
-      RECT 2005.910000 0.000000 2010.290000 0.630000 ;
-      RECT 2001.110000 0.000000 2005.490000 0.630000 ;
-      RECT 1996.310000 0.000000 2000.690000 0.630000 ;
-      RECT 1991.510000 0.000000 1995.890000 0.630000 ;
-      RECT 1986.810000 0.000000 1991.090000 0.630000 ;
-      RECT 1982.010000 0.000000 1986.390000 0.630000 ;
-      RECT 1977.210000 0.000000 1981.590000 0.630000 ;
-      RECT 1972.410000 0.000000 1976.790000 0.630000 ;
-      RECT 1967.610000 0.000000 1971.990000 0.630000 ;
-      RECT 1962.910000 0.000000 1967.190000 0.630000 ;
-      RECT 1958.210000 0.000000 1962.490000 0.630000 ;
-      RECT 1953.410000 0.000000 1957.790000 0.630000 ;
-      RECT 1948.610000 0.000000 1952.990000 0.630000 ;
-      RECT 1943.810000 0.000000 1948.190000 0.630000 ;
-      RECT 1939.010000 0.000000 1943.390000 0.630000 ;
-      RECT 1934.210000 0.000000 1938.590000 0.630000 ;
-      RECT 1929.510000 0.000000 1933.790000 0.630000 ;
-      RECT 1924.710000 0.000000 1929.090000 0.630000 ;
-      RECT 1919.910000 0.000000 1924.290000 0.630000 ;
-      RECT 1915.210000 0.000000 1919.490000 0.630000 ;
-      RECT 1910.410000 0.000000 1914.790000 0.630000 ;
-      RECT 1905.610000 0.000000 1909.990000 0.630000 ;
-      RECT 1900.810000 0.000000 1905.190000 0.630000 ;
-      RECT 1896.010000 0.000000 1900.390000 0.630000 ;
-      RECT 1891.210000 0.000000 1895.590000 0.630000 ;
-      RECT 1886.410000 0.000000 1890.790000 0.630000 ;
-      RECT 1881.610000 0.000000 1885.990000 0.630000 ;
-      RECT 1876.810000 0.000000 1881.190000 0.630000 ;
-      RECT 1872.010000 0.000000 1876.390000 0.630000 ;
-      RECT 1867.410000 0.000000 1871.590000 0.630000 ;
-      RECT 1862.610000 0.000000 1866.990000 0.630000 ;
-      RECT 1857.810000 0.000000 1862.190000 0.630000 ;
-      RECT 1853.010000 0.000000 1857.390000 0.630000 ;
-      RECT 1848.210000 0.000000 1852.590000 0.630000 ;
-      RECT 1843.410000 0.000000 1847.790000 0.630000 ;
-      RECT 1838.710000 0.000000 1842.990000 0.630000 ;
-      RECT 1833.910000 0.000000 1838.290000 0.630000 ;
-      RECT 1829.110000 0.000000 1833.490000 0.630000 ;
-      RECT 1824.310000 0.000000 1828.690000 0.630000 ;
-      RECT 1819.610000 0.000000 1823.890000 0.630000 ;
-      RECT 1814.810000 0.000000 1819.190000 0.630000 ;
-      RECT 1810.110000 0.000000 1814.390000 0.630000 ;
-      RECT 1805.310000 0.000000 1809.690000 0.630000 ;
-      RECT 1800.510000 0.000000 1804.890000 0.630000 ;
-      RECT 1795.710000 0.000000 1800.090000 0.630000 ;
-      RECT 1790.910000 0.000000 1795.290000 0.630000 ;
-      RECT 1786.110000 0.000000 1790.490000 0.630000 ;
-      RECT 1781.410000 0.000000 1785.690000 0.630000 ;
-      RECT 1776.610000 0.000000 1780.990000 0.630000 ;
-      RECT 1771.910000 0.000000 1776.190000 0.630000 ;
-      RECT 1767.110000 0.000000 1771.490000 0.630000 ;
-      RECT 1762.310000 0.000000 1766.690000 0.630000 ;
-      RECT 1757.510000 0.000000 1761.890000 0.630000 ;
-      RECT 1752.810000 0.000000 1757.090000 0.630000 ;
-      RECT 1748.010000 0.000000 1752.390000 0.630000 ;
-      RECT 1743.210000 0.000000 1747.590000 0.630000 ;
-      RECT 1738.410000 0.000000 1742.790000 0.630000 ;
-      RECT 1733.610000 0.000000 1737.990000 0.630000 ;
-      RECT 1728.810000 0.000000 1733.190000 0.630000 ;
-      RECT 1724.210000 0.000000 1728.390000 0.630000 ;
-      RECT 1719.410000 0.000000 1723.790000 0.630000 ;
-      RECT 1714.610000 0.000000 1718.990000 0.630000 ;
-      RECT 1709.810000 0.000000 1714.190000 0.630000 ;
-      RECT 1705.010000 0.000000 1709.390000 0.630000 ;
-      RECT 1700.210000 0.000000 1704.590000 0.630000 ;
-      RECT 1695.510000 0.000000 1699.790000 0.630000 ;
-      RECT 1690.710000 0.000000 1695.090000 0.630000 ;
-      RECT 1685.910000 0.000000 1690.290000 0.630000 ;
-      RECT 1681.110000 0.000000 1685.490000 0.630000 ;
-      RECT 1676.410000 0.000000 1680.690000 0.630000 ;
-      RECT 1671.610000 0.000000 1675.990000 0.630000 ;
-      RECT 1666.910000 0.000000 1671.190000 0.630000 ;
-      RECT 1662.010000 0.000000 1666.490000 0.630000 ;
-      RECT 1657.210000 0.000000 1661.590000 0.630000 ;
-      RECT 1652.410000 0.000000 1656.790000 0.630000 ;
-      RECT 1647.610000 0.000000 1651.990000 0.630000 ;
-      RECT 1642.810000 0.000000 1647.190000 0.630000 ;
-      RECT 1638.010000 0.000000 1642.390000 0.630000 ;
-      RECT 1633.310000 0.000000 1637.590000 0.630000 ;
-      RECT 1628.610000 0.000000 1632.890000 0.630000 ;
-      RECT 1623.810000 0.000000 1628.190000 0.630000 ;
-      RECT 1619.010000 0.000000 1623.390000 0.630000 ;
-      RECT 1614.210000 0.000000 1618.590000 0.630000 ;
-      RECT 1609.410000 0.000000 1613.790000 0.630000 ;
-      RECT 1604.710000 0.000000 1608.990000 0.630000 ;
-      RECT 1599.910000 0.000000 1604.290000 0.630000 ;
-      RECT 1595.110000 0.000000 1599.490000 0.630000 ;
-      RECT 1590.310000 0.000000 1594.690000 0.630000 ;
-      RECT 1585.510000 0.000000 1589.890000 0.630000 ;
-      RECT 1580.810000 0.000000 1585.090000 0.630000 ;
-      RECT 1576.110000 0.000000 1580.390000 0.630000 ;
-      RECT 1571.310000 0.000000 1575.690000 0.630000 ;
-      RECT 1566.510000 0.000000 1570.890000 0.630000 ;
-      RECT 1561.710000 0.000000 1566.090000 0.630000 ;
-      RECT 1556.910000 0.000000 1561.290000 0.630000 ;
-      RECT 1552.110000 0.000000 1556.490000 0.630000 ;
-      RECT 1547.410000 0.000000 1551.690000 0.630000 ;
-      RECT 1542.610000 0.000000 1546.990000 0.630000 ;
-      RECT 1537.810000 0.000000 1542.190000 0.630000 ;
-      RECT 1533.110000 0.000000 1537.390000 0.630000 ;
-      RECT 1528.310000 0.000000 1532.690000 0.630000 ;
-      RECT 1523.510000 0.000000 1527.890000 0.630000 ;
-      RECT 1518.810000 0.000000 1523.090000 0.630000 ;
-      RECT 1514.010000 0.000000 1518.390000 0.630000 ;
-      RECT 1509.210000 0.000000 1513.590000 0.630000 ;
-      RECT 1504.410000 0.000000 1508.790000 0.630000 ;
-      RECT 1499.610000 0.000000 1503.990000 0.630000 ;
-      RECT 1494.810000 0.000000 1499.190000 0.630000 ;
-      RECT 1490.110000 0.000000 1494.390000 0.630000 ;
-      RECT 1485.410000 0.000000 1489.690000 0.630000 ;
-      RECT 1480.610000 0.000000 1484.990000 0.630000 ;
-      RECT 1475.810000 0.000000 1480.190000 0.630000 ;
-      RECT 1471.010000 0.000000 1475.390000 0.630000 ;
-      RECT 1466.210000 0.000000 1470.590000 0.630000 ;
-      RECT 1461.510000 0.000000 1465.790000 0.630000 ;
-      RECT 1456.710000 0.000000 1461.090000 0.630000 ;
-      RECT 1451.910000 0.000000 1456.290000 0.630000 ;
-      RECT 1447.110000 0.000000 1451.490000 0.630000 ;
-      RECT 1442.310000 0.000000 1446.690000 0.630000 ;
-      RECT 1437.610000 0.000000 1441.890000 0.630000 ;
-      RECT 1432.910000 0.000000 1437.190000 0.630000 ;
-      RECT 1428.110000 0.000000 1432.490000 0.630000 ;
-      RECT 1423.210000 0.000000 1427.690000 0.630000 ;
-      RECT 1418.410000 0.000000 1422.790000 0.630000 ;
-      RECT 1413.610000 0.000000 1417.990000 0.630000 ;
-      RECT 1408.810000 0.000000 1413.190000 0.630000 ;
-      RECT 1404.010000 0.000000 1408.390000 0.630000 ;
-      RECT 1399.310000 0.000000 1403.590000 0.630000 ;
-      RECT 1394.510000 0.000000 1398.890000 0.630000 ;
-      RECT 1389.810000 0.000000 1394.090000 0.630000 ;
-      RECT 1385.010000 0.000000 1389.390000 0.630000 ;
-      RECT 1380.210000 0.000000 1384.590000 0.630000 ;
-      RECT 1375.410000 0.000000 1379.790000 0.630000 ;
-      RECT 1370.710000 0.000000 1374.990000 0.630000 ;
-      RECT 1365.910000 0.000000 1370.290000 0.630000 ;
-      RECT 1361.110000 0.000000 1365.490000 0.630000 ;
-      RECT 1356.310000 0.000000 1360.690000 0.630000 ;
-      RECT 1351.510000 0.000000 1355.890000 0.630000 ;
-      RECT 1346.710000 0.000000 1351.090000 0.630000 ;
-      RECT 1342.110000 0.000000 1346.290000 0.630000 ;
-      RECT 1337.310000 0.000000 1341.690000 0.630000 ;
-      RECT 1332.510000 0.000000 1336.890000 0.630000 ;
-      RECT 1327.710000 0.000000 1332.090000 0.630000 ;
-      RECT 1322.910000 0.000000 1327.290000 0.630000 ;
-      RECT 1318.110000 0.000000 1322.490000 0.630000 ;
-      RECT 1313.410000 0.000000 1317.690000 0.630000 ;
-      RECT 1308.610000 0.000000 1312.990000 0.630000 ;
-      RECT 1303.810000 0.000000 1308.190000 0.630000 ;
-      RECT 1299.010000 0.000000 1303.390000 0.630000 ;
-      RECT 1294.310000 0.000000 1298.590000 0.630000 ;
-      RECT 1289.510000 0.000000 1293.890000 0.630000 ;
-      RECT 1284.810000 0.000000 1289.090000 0.630000 ;
-      RECT 1280.010000 0.000000 1284.390000 0.630000 ;
-      RECT 1275.210000 0.000000 1279.590000 0.630000 ;
-      RECT 1270.410000 0.000000 1274.790000 0.630000 ;
-      RECT 1265.610000 0.000000 1269.990000 0.630000 ;
-      RECT 1260.810000 0.000000 1265.190000 0.630000 ;
-      RECT 1256.110000 0.000000 1260.390000 0.630000 ;
-      RECT 1251.310000 0.000000 1255.690000 0.630000 ;
-      RECT 1246.610000 0.000000 1250.890000 0.630000 ;
-      RECT 1241.810000 0.000000 1246.190000 0.630000 ;
-      RECT 1237.010000 0.000000 1241.390000 0.630000 ;
-      RECT 1232.210000 0.000000 1236.590000 0.630000 ;
-      RECT 1227.510000 0.000000 1231.790000 0.630000 ;
-      RECT 1222.710000 0.000000 1227.090000 0.630000 ;
-      RECT 1217.910000 0.000000 1222.290000 0.630000 ;
-      RECT 1213.110000 0.000000 1217.490000 0.630000 ;
-      RECT 1208.310000 0.000000 1212.690000 0.630000 ;
-      RECT 1203.510000 0.000000 1207.890000 0.630000 ;
-      RECT 1198.910000 0.000000 1203.090000 0.630000 ;
-      RECT 1194.110000 0.000000 1198.490000 0.630000 ;
-      RECT 1189.310000 0.000000 1193.690000 0.630000 ;
-      RECT 1184.410000 0.000000 1188.890000 0.630000 ;
-      RECT 1179.610000 0.000000 1183.990000 0.630000 ;
-      RECT 1174.810000 0.000000 1179.190000 0.630000 ;
-      RECT 1170.010000 0.000000 1174.390000 0.630000 ;
-      RECT 1165.310000 0.000000 1169.590000 0.630000 ;
-      RECT 1160.510000 0.000000 1164.890000 0.630000 ;
-      RECT 1155.710000 0.000000 1160.090000 0.630000 ;
-      RECT 1151.010000 0.000000 1155.290000 0.630000 ;
-      RECT 1146.210000 0.000000 1150.590000 0.630000 ;
-      RECT 1141.410000 0.000000 1145.790000 0.630000 ;
-      RECT 1136.710000 0.000000 1140.990000 0.630000 ;
-      RECT 1131.910000 0.000000 1136.290000 0.630000 ;
-      RECT 1127.110000 0.000000 1131.490000 0.630000 ;
-      RECT 1122.310000 0.000000 1126.690000 0.630000 ;
-      RECT 1117.510000 0.000000 1121.890000 0.630000 ;
-      RECT 1112.710000 0.000000 1117.090000 0.630000 ;
-      RECT 1108.010000 0.000000 1112.290000 0.630000 ;
-      RECT 1103.310000 0.000000 1107.590000 0.630000 ;
-      RECT 1098.510000 0.000000 1102.890000 0.630000 ;
-      RECT 1093.710000 0.000000 1098.090000 0.630000 ;
-      RECT 1088.910000 0.000000 1093.290000 0.630000 ;
-      RECT 1084.110000 0.000000 1088.490000 0.630000 ;
-      RECT 1079.410000 0.000000 1083.690000 0.630000 ;
-      RECT 1074.610000 0.000000 1078.990000 0.630000 ;
-      RECT 1069.810000 0.000000 1074.190000 0.630000 ;
-      RECT 1065.010000 0.000000 1069.390000 0.630000 ;
-      RECT 1060.210000 0.000000 1064.590000 0.630000 ;
-      RECT 1055.510000 0.000000 1059.790000 0.630000 ;
-      RECT 1050.810000 0.000000 1055.090000 0.630000 ;
-      RECT 1046.010000 0.000000 1050.390000 0.630000 ;
-      RECT 1041.210000 0.000000 1045.590000 0.630000 ;
-      RECT 1036.410000 0.000000 1040.790000 0.630000 ;
-      RECT 1031.610000 0.000000 1035.990000 0.630000 ;
-      RECT 1026.810000 0.000000 1031.190000 0.630000 ;
-      RECT 1022.110000 0.000000 1026.390000 0.630000 ;
-      RECT 1017.310000 0.000000 1021.690000 0.630000 ;
-      RECT 1012.510000 0.000000 1016.890000 0.630000 ;
-      RECT 1007.810000 0.000000 1012.090000 0.630000 ;
-      RECT 1003.010000 0.000000 1007.390000 0.630000 ;
-      RECT 998.210000 0.000000 1002.590000 0.630000 ;
-      RECT 993.510000 0.000000 997.790000 0.630000 ;
-      RECT 988.710000 0.000000 993.090000 0.630000 ;
-      RECT 983.910000 0.000000 988.290000 0.630000 ;
-      RECT 979.110000 0.000000 983.490000 0.630000 ;
-      RECT 974.310000 0.000000 978.690000 0.630000 ;
-      RECT 969.510000 0.000000 973.890000 0.630000 ;
-      RECT 964.810000 0.000000 969.090000 0.630000 ;
-      RECT 960.110000 0.000000 964.390000 0.630000 ;
-      RECT 955.310000 0.000000 959.690000 0.630000 ;
-      RECT 950.510000 0.000000 954.890000 0.630000 ;
-      RECT 945.610000 0.000000 950.090000 0.630000 ;
-      RECT 940.810000 0.000000 945.190000 0.630000 ;
-      RECT 936.010000 0.000000 940.390000 0.630000 ;
-      RECT 931.310000 0.000000 935.590000 0.630000 ;
-      RECT 926.510000 0.000000 930.890000 0.630000 ;
-      RECT 921.710000 0.000000 926.090000 0.630000 ;
-      RECT 916.910000 0.000000 921.290000 0.630000 ;
-      RECT 912.110000 0.000000 916.490000 0.630000 ;
-      RECT 907.410000 0.000000 911.690000 0.630000 ;
-      RECT 902.710000 0.000000 906.990000 0.630000 ;
-      RECT 897.910000 0.000000 902.290000 0.630000 ;
-      RECT 893.110000 0.000000 897.490000 0.630000 ;
-      RECT 888.310000 0.000000 892.690000 0.630000 ;
-      RECT 883.510000 0.000000 887.890000 0.630000 ;
-      RECT 878.710000 0.000000 883.090000 0.630000 ;
-      RECT 874.010000 0.000000 878.290000 0.630000 ;
-      RECT 869.210000 0.000000 873.590000 0.630000 ;
-      RECT 864.410000 0.000000 868.790000 0.630000 ;
-      RECT 859.710000 0.000000 863.990000 0.630000 ;
-      RECT 854.910000 0.000000 859.290000 0.630000 ;
-      RECT 850.110000 0.000000 854.490000 0.630000 ;
-      RECT 845.410000 0.000000 849.690000 0.630000 ;
-      RECT 840.610000 0.000000 844.990000 0.630000 ;
-      RECT 835.810000 0.000000 840.190000 0.630000 ;
-      RECT 831.010000 0.000000 835.390000 0.630000 ;
-      RECT 826.210000 0.000000 830.590000 0.630000 ;
-      RECT 821.410000 0.000000 825.790000 0.630000 ;
-      RECT 816.710000 0.000000 820.990000 0.630000 ;
-      RECT 812.010000 0.000000 816.290000 0.630000 ;
-      RECT 807.210000 0.000000 811.590000 0.630000 ;
-      RECT 802.410000 0.000000 806.790000 0.630000 ;
-      RECT 797.610000 0.000000 801.990000 0.630000 ;
-      RECT 792.810000 0.000000 797.190000 0.630000 ;
-      RECT 788.110000 0.000000 792.390000 0.630000 ;
-      RECT 783.310000 0.000000 787.690000 0.630000 ;
-      RECT 778.510000 0.000000 782.890000 0.630000 ;
-      RECT 773.710000 0.000000 778.090000 0.630000 ;
-      RECT 768.910000 0.000000 773.290000 0.630000 ;
-      RECT 764.210000 0.000000 768.490000 0.630000 ;
-      RECT 759.510000 0.000000 763.790000 0.630000 ;
-      RECT 754.710000 0.000000 759.090000 0.630000 ;
-      RECT 749.910000 0.000000 754.290000 0.630000 ;
-      RECT 745.110000 0.000000 749.490000 0.630000 ;
-      RECT 740.310000 0.000000 744.690000 0.630000 ;
-      RECT 735.510000 0.000000 739.890000 0.630000 ;
-      RECT 730.810000 0.000000 735.090000 0.630000 ;
-      RECT 726.010000 0.000000 730.390000 0.630000 ;
-      RECT 721.210000 0.000000 725.590000 0.630000 ;
-      RECT 716.510000 0.000000 720.790000 0.630000 ;
-      RECT 711.710000 0.000000 716.090000 0.630000 ;
-      RECT 706.810000 0.000000 711.290000 0.630000 ;
-      RECT 702.010000 0.000000 706.390000 0.630000 ;
-      RECT 697.310000 0.000000 701.590000 0.630000 ;
-      RECT 692.510000 0.000000 696.890000 0.630000 ;
-      RECT 687.710000 0.000000 692.090000 0.630000 ;
-      RECT 682.910000 0.000000 687.290000 0.630000 ;
-      RECT 678.110000 0.000000 682.490000 0.630000 ;
-      RECT 673.310000 0.000000 677.690000 0.630000 ;
-      RECT 668.710000 0.000000 672.890000 0.630000 ;
-      RECT 663.910000 0.000000 668.290000 0.630000 ;
-      RECT 659.110000 0.000000 663.490000 0.630000 ;
-      RECT 654.310000 0.000000 658.690000 0.630000 ;
-      RECT 649.510000 0.000000 653.890000 0.630000 ;
-      RECT 644.710000 0.000000 649.090000 0.630000 ;
-      RECT 640.010000 0.000000 644.290000 0.630000 ;
-      RECT 635.210000 0.000000 639.590000 0.630000 ;
-      RECT 630.410000 0.000000 634.790000 0.630000 ;
-      RECT 625.610000 0.000000 629.990000 0.630000 ;
-      RECT 620.910000 0.000000 625.190000 0.630000 ;
-      RECT 616.110000 0.000000 620.490000 0.630000 ;
-      RECT 611.410000 0.000000 615.690000 0.630000 ;
-      RECT 606.610000 0.000000 610.990000 0.630000 ;
-      RECT 601.810000 0.000000 606.190000 0.630000 ;
-      RECT 597.010000 0.000000 601.390000 0.630000 ;
-      RECT 592.210000 0.000000 596.590000 0.630000 ;
-      RECT 587.410000 0.000000 591.790000 0.630000 ;
-      RECT 582.710000 0.000000 586.990000 0.630000 ;
-      RECT 577.910000 0.000000 582.290000 0.630000 ;
-      RECT 573.210000 0.000000 577.490000 0.630000 ;
-      RECT 568.410000 0.000000 572.790000 0.630000 ;
-      RECT 563.610000 0.000000 567.990000 0.630000 ;
-      RECT 558.810000 0.000000 563.190000 0.630000 ;
-      RECT 554.110000 0.000000 558.390000 0.630000 ;
-      RECT 549.310000 0.000000 553.690000 0.630000 ;
-      RECT 544.510000 0.000000 548.890000 0.630000 ;
-      RECT 539.710000 0.000000 544.090000 0.630000 ;
-      RECT 534.910000 0.000000 539.290000 0.630000 ;
-      RECT 530.110000 0.000000 534.490000 0.630000 ;
-      RECT 525.510000 0.000000 529.690000 0.630000 ;
-      RECT 520.710000 0.000000 525.090000 0.630000 ;
-      RECT 515.910000 0.000000 520.290000 0.630000 ;
-      RECT 511.110000 0.000000 515.490000 0.630000 ;
-      RECT 506.310000 0.000000 510.690000 0.630000 ;
-      RECT 501.510000 0.000000 505.890000 0.630000 ;
-      RECT 496.810000 0.000000 501.090000 0.630000 ;
-      RECT 492.010000 0.000000 496.390000 0.630000 ;
-      RECT 487.210000 0.000000 491.590000 0.630000 ;
-      RECT 482.410000 0.000000 486.790000 0.630000 ;
-      RECT 477.710000 0.000000 481.990000 0.630000 ;
-      RECT 472.910000 0.000000 477.290000 0.630000 ;
-      RECT 468.010000 0.000000 472.490000 0.630000 ;
-      RECT 463.310000 0.000000 467.590000 0.630000 ;
-      RECT 458.510000 0.000000 462.890000 0.630000 ;
-      RECT 453.710000 0.000000 458.090000 0.630000 ;
-      RECT 448.910000 0.000000 453.290000 0.630000 ;
-      RECT 444.110000 0.000000 448.490000 0.630000 ;
-      RECT 439.310000 0.000000 443.690000 0.630000 ;
-      RECT 434.610000 0.000000 438.890000 0.630000 ;
-      RECT 429.910000 0.000000 434.190000 0.630000 ;
-      RECT 425.110000 0.000000 429.490000 0.630000 ;
-      RECT 420.310000 0.000000 424.690000 0.630000 ;
-      RECT 415.510000 0.000000 419.890000 0.630000 ;
-      RECT 410.710000 0.000000 415.090000 0.630000 ;
-      RECT 406.010000 0.000000 410.290000 0.630000 ;
-      RECT 401.210000 0.000000 405.590000 0.630000 ;
-      RECT 396.410000 0.000000 400.790000 0.630000 ;
-      RECT 391.610000 0.000000 395.990000 0.630000 ;
-      RECT 386.810000 0.000000 391.190000 0.630000 ;
-      RECT 382.110000 0.000000 386.390000 0.630000 ;
-      RECT 377.410000 0.000000 381.690000 0.630000 ;
-      RECT 372.610000 0.000000 376.990000 0.630000 ;
-      RECT 367.810000 0.000000 372.190000 0.630000 ;
-      RECT 363.010000 0.000000 367.390000 0.630000 ;
-      RECT 358.210000 0.000000 362.590000 0.630000 ;
-      RECT 353.410000 0.000000 357.790000 0.630000 ;
-      RECT 348.710000 0.000000 352.990000 0.630000 ;
-      RECT 343.910000 0.000000 348.290000 0.630000 ;
-      RECT 339.110000 0.000000 343.490000 0.630000 ;
-      RECT 334.410000 0.000000 338.690000 0.630000 ;
-      RECT 329.610000 0.000000 333.990000 0.630000 ;
-      RECT 324.810000 0.000000 329.190000 0.630000 ;
-      RECT 320.110000 0.000000 324.390000 0.630000 ;
-      RECT 315.310000 0.000000 319.690000 0.630000 ;
-      RECT 310.510000 0.000000 314.890000 0.630000 ;
-      RECT 305.710000 0.000000 310.090000 0.630000 ;
-      RECT 300.910000 0.000000 305.290000 0.630000 ;
-      RECT 296.110000 0.000000 300.490000 0.630000 ;
-      RECT 291.410000 0.000000 295.690000 0.630000 ;
-      RECT 286.710000 0.000000 290.990000 0.630000 ;
-      RECT 281.910000 0.000000 286.290000 0.630000 ;
-      RECT 277.110000 0.000000 281.490000 0.630000 ;
-      RECT 272.310000 0.000000 276.690000 0.630000 ;
-      RECT 267.510000 0.000000 271.890000 0.630000 ;
-      RECT 262.810000 0.000000 267.090000 0.630000 ;
-      RECT 258.010000 0.000000 262.390000 0.630000 ;
-      RECT 253.210000 0.000000 257.590000 0.630000 ;
-      RECT 248.410000 0.000000 252.790000 0.630000 ;
-      RECT 243.610000 0.000000 247.990000 0.630000 ;
-      RECT 238.910000 0.000000 243.190000 0.630000 ;
-      RECT 234.210000 0.000000 238.490000 0.630000 ;
-      RECT 229.310000 0.000000 233.790000 0.630000 ;
-      RECT 224.510000 0.000000 228.890000 0.630000 ;
-      RECT 219.710000 0.000000 224.090000 0.630000 ;
-      RECT 214.910000 0.000000 219.290000 0.630000 ;
-      RECT 210.110000 0.000000 214.490000 0.630000 ;
-      RECT 205.310000 0.000000 209.690000 0.630000 ;
-      RECT 200.610000 0.000000 204.890000 0.630000 ;
-      RECT 195.810000 0.000000 200.190000 0.630000 ;
-      RECT 191.110000 0.000000 195.390000 0.630000 ;
-      RECT 186.310000 0.000000 190.690000 0.630000 ;
-      RECT 181.510000 0.000000 185.890000 0.630000 ;
-      RECT 176.710000 0.000000 181.090000 0.630000 ;
-      RECT 172.010000 0.000000 176.290000 0.630000 ;
-      RECT 167.210000 0.000000 171.590000 0.630000 ;
-      RECT 162.410000 0.000000 166.790000 0.630000 ;
-      RECT 157.610000 0.000000 161.990000 0.630000 ;
-      RECT 152.810000 0.000000 157.190000 0.630000 ;
-      RECT 148.010000 0.000000 152.390000 0.630000 ;
-      RECT 143.410000 0.000000 147.590000 0.630000 ;
-      RECT 138.610000 0.000000 142.990000 0.630000 ;
-      RECT 133.810000 0.000000 138.190000 0.630000 ;
-      RECT 129.010000 0.000000 133.390000 0.630000 ;
-      RECT 124.210000 0.000000 128.590000 0.630000 ;
-      RECT 119.410000 0.000000 123.790000 0.630000 ;
-      RECT 114.710000 0.000000 118.990000 0.630000 ;
-      RECT 109.910000 0.000000 114.290000 0.630000 ;
-      RECT 105.110000 0.000000 109.490000 0.630000 ;
-      RECT 100.310000 0.000000 104.690000 0.630000 ;
-      RECT 95.610000 0.000000 99.890000 0.630000 ;
-      RECT 90.810000 0.000000 95.190000 0.630000 ;
-      RECT 86.110000 0.000000 90.390000 0.630000 ;
-      RECT 81.310000 0.000000 85.690000 0.630000 ;
-      RECT 76.510000 0.000000 80.890000 0.630000 ;
-      RECT 71.710000 0.000000 76.090000 0.630000 ;
-      RECT 66.910000 0.000000 71.290000 0.630000 ;
-      RECT 62.110000 0.000000 66.490000 0.630000 ;
-      RECT 57.410000 0.000000 61.690000 0.630000 ;
-      RECT 52.610000 0.000000 56.990000 0.630000 ;
-      RECT 47.910000 0.000000 52.190000 0.630000 ;
-      RECT 43.110000 0.000000 47.490000 0.630000 ;
-      RECT 38.310000 0.000000 42.690000 0.630000 ;
-      RECT 33.510000 0.000000 37.890000 0.630000 ;
-      RECT 28.810000 0.000000 33.090000 0.630000 ;
-      RECT 24.010000 0.000000 28.390000 0.630000 ;
-      RECT 19.210000 0.000000 23.590000 0.630000 ;
-      RECT 14.410000 0.000000 18.790000 0.630000 ;
-      RECT 9.610000 0.000000 13.990000 0.630000 ;
-      RECT 4.810000 0.000000 9.190000 0.630000 ;
-      RECT 2.210000 0.000000 4.390000 0.625000 ;
+      RECT 1921.310000 2594.595000 1926.480000 2595.220000 ;
+      RECT 1869.410000 2594.595000 1920.890000 2595.220000 ;
+      RECT 3.910000 2594.595000 54.690000 2595.220000 ;
+      RECT 0.000000 2594.595000 3.490000 2595.220000 ;
+      RECT 1869.410000 2594.590000 1926.480000 2594.595000 ;
+      RECT 1814.510000 2594.590000 1868.990000 2595.220000 ;
+      RECT 1759.510000 2594.590000 1814.090000 2595.220000 ;
+      RECT 1704.510000 2594.590000 1759.090000 2595.220000 ;
+      RECT 1649.410000 2594.590000 1704.090000 2595.220000 ;
+      RECT 1594.510000 2594.590000 1648.990000 2595.220000 ;
+      RECT 1539.510000 2594.590000 1594.090000 2595.220000 ;
+      RECT 1484.510000 2594.590000 1539.090000 2595.220000 ;
+      RECT 1429.510000 2594.590000 1484.090000 2595.220000 ;
+      RECT 1374.610000 2594.590000 1429.090000 2595.220000 ;
+      RECT 1319.610000 2594.590000 1374.190000 2595.220000 ;
+      RECT 1264.610000 2594.590000 1319.190000 2595.220000 ;
+      RECT 1209.610000 2594.590000 1264.190000 2595.220000 ;
+      RECT 1154.710000 2594.590000 1209.190000 2595.220000 ;
+      RECT 1099.710000 2594.590000 1154.290000 2595.220000 ;
+      RECT 1044.710000 2594.590000 1099.290000 2595.220000 ;
+      RECT 989.710000 2594.590000 1044.290000 2595.220000 ;
+      RECT 934.810000 2594.590000 989.290000 2595.220000 ;
+      RECT 879.810000 2594.590000 934.390000 2595.220000 ;
+      RECT 824.810000 2594.590000 879.390000 2595.220000 ;
+      RECT 769.710000 2594.590000 824.390000 2595.220000 ;
+      RECT 714.710000 2594.590000 769.290000 2595.220000 ;
+      RECT 659.810000 2594.590000 714.290000 2595.220000 ;
+      RECT 604.810000 2594.590000 659.390000 2595.220000 ;
+      RECT 549.810000 2594.590000 604.390000 2595.220000 ;
+      RECT 494.810000 2594.590000 549.390000 2595.220000 ;
+      RECT 439.910000 2594.590000 494.390000 2595.220000 ;
+      RECT 384.910000 2594.590000 439.490000 2595.220000 ;
+      RECT 329.910000 2594.590000 384.490000 2595.220000 ;
+      RECT 274.910000 2594.590000 329.490000 2595.220000 ;
+      RECT 220.010000 2594.590000 274.490000 2595.220000 ;
+      RECT 165.010000 2594.590000 219.590000 2595.220000 ;
+      RECT 110.010000 2594.590000 164.590000 2595.220000 ;
+      RECT 55.110000 2594.590000 109.590000 2595.220000 ;
+      RECT 0.000000 2594.590000 54.690000 2594.595000 ;
+      RECT 0.000000 0.630000 1926.480000 2594.590000 ;
+      RECT 1920.410000 0.625000 1926.480000 0.630000 ;
+      RECT 0.000000 0.625000 3.590000 0.630000 ;
+      RECT 1923.010000 0.000000 1926.480000 0.625000 ;
+      RECT 1920.410000 0.000000 1922.590000 0.625000 ;
+      RECT 1916.510000 0.000000 1919.990000 0.630000 ;
+      RECT 1912.610000 0.000000 1916.090000 0.630000 ;
+      RECT 1908.810000 0.000000 1912.190000 0.630000 ;
+      RECT 1904.910000 0.000000 1908.390000 0.630000 ;
+      RECT 1901.010000 0.000000 1904.490000 0.630000 ;
+      RECT 1897.010000 0.000000 1900.590000 0.630000 ;
+      RECT 1893.110000 0.000000 1896.590000 0.630000 ;
+      RECT 1889.210000 0.000000 1892.690000 0.630000 ;
+      RECT 1885.310000 0.000000 1888.790000 0.630000 ;
+      RECT 1881.410000 0.000000 1884.890000 0.630000 ;
+      RECT 1877.510000 0.000000 1880.990000 0.630000 ;
+      RECT 1873.610000 0.000000 1877.090000 0.630000 ;
+      RECT 1869.710000 0.000000 1873.190000 0.630000 ;
+      RECT 1865.810000 0.000000 1869.290000 0.630000 ;
+      RECT 1861.910000 0.000000 1865.390000 0.630000 ;
+      RECT 1858.010000 0.000000 1861.490000 0.630000 ;
+      RECT 1854.110000 0.000000 1857.590000 0.630000 ;
+      RECT 1850.210000 0.000000 1853.690000 0.630000 ;
+      RECT 1846.310000 0.000000 1849.790000 0.630000 ;
+      RECT 1842.410000 0.000000 1845.890000 0.630000 ;
+      RECT 1838.510000 0.000000 1841.990000 0.630000 ;
+      RECT 1834.610000 0.000000 1838.090000 0.630000 ;
+      RECT 1830.710000 0.000000 1834.190000 0.630000 ;
+      RECT 1826.810000 0.000000 1830.290000 0.630000 ;
+      RECT 1822.910000 0.000000 1826.390000 0.630000 ;
+      RECT 1818.910000 0.000000 1822.490000 0.630000 ;
+      RECT 1815.010000 0.000000 1818.490000 0.630000 ;
+      RECT 1811.110000 0.000000 1814.590000 0.630000 ;
+      RECT 1807.210000 0.000000 1810.690000 0.630000 ;
+      RECT 1803.310000 0.000000 1806.790000 0.630000 ;
+      RECT 1799.510000 0.000000 1802.890000 0.630000 ;
+      RECT 1795.610000 0.000000 1799.090000 0.630000 ;
+      RECT 1791.610000 0.000000 1795.190000 0.630000 ;
+      RECT 1787.810000 0.000000 1791.190000 0.630000 ;
+      RECT 1783.910000 0.000000 1787.390000 0.630000 ;
+      RECT 1780.010000 0.000000 1783.490000 0.630000 ;
+      RECT 1776.110000 0.000000 1779.590000 0.630000 ;
+      RECT 1772.210000 0.000000 1775.690000 0.630000 ;
+      RECT 1768.210000 0.000000 1771.790000 0.630000 ;
+      RECT 1764.310000 0.000000 1767.790000 0.630000 ;
+      RECT 1760.410000 0.000000 1763.890000 0.630000 ;
+      RECT 1756.510000 0.000000 1759.990000 0.630000 ;
+      RECT 1752.610000 0.000000 1756.090000 0.630000 ;
+      RECT 1748.710000 0.000000 1752.190000 0.630000 ;
+      RECT 1744.810000 0.000000 1748.290000 0.630000 ;
+      RECT 1740.810000 0.000000 1744.390000 0.630000 ;
+      RECT 1736.910000 0.000000 1740.390000 0.630000 ;
+      RECT 1733.010000 0.000000 1736.490000 0.630000 ;
+      RECT 1729.210000 0.000000 1732.590000 0.630000 ;
+      RECT 1725.310000 0.000000 1728.790000 0.630000 ;
+      RECT 1721.510000 0.000000 1724.890000 0.630000 ;
+      RECT 1717.610000 0.000000 1721.090000 0.630000 ;
+      RECT 1713.610000 0.000000 1717.190000 0.630000 ;
+      RECT 1709.710000 0.000000 1713.190000 0.630000 ;
+      RECT 1705.810000 0.000000 1709.290000 0.630000 ;
+      RECT 1701.910000 0.000000 1705.390000 0.630000 ;
+      RECT 1698.010000 0.000000 1701.490000 0.630000 ;
+      RECT 1694.110000 0.000000 1697.590000 0.630000 ;
+      RECT 1690.110000 0.000000 1693.690000 0.630000 ;
+      RECT 1686.210000 0.000000 1689.690000 0.630000 ;
+      RECT 1682.310000 0.000000 1685.790000 0.630000 ;
+      RECT 1678.410000 0.000000 1681.890000 0.630000 ;
+      RECT 1674.510000 0.000000 1677.990000 0.630000 ;
+      RECT 1670.610000 0.000000 1674.090000 0.630000 ;
+      RECT 1666.710000 0.000000 1670.190000 0.630000 ;
+      RECT 1662.810000 0.000000 1666.290000 0.630000 ;
+      RECT 1658.910000 0.000000 1662.390000 0.630000 ;
+      RECT 1655.110000 0.000000 1658.490000 0.630000 ;
+      RECT 1651.210000 0.000000 1654.690000 0.630000 ;
+      RECT 1647.310000 0.000000 1650.790000 0.630000 ;
+      RECT 1643.410000 0.000000 1646.890000 0.630000 ;
+      RECT 1639.510000 0.000000 1642.990000 0.630000 ;
+      RECT 1635.510000 0.000000 1639.090000 0.630000 ;
+      RECT 1631.610000 0.000000 1635.090000 0.630000 ;
+      RECT 1627.710000 0.000000 1631.190000 0.630000 ;
+      RECT 1623.810000 0.000000 1627.290000 0.630000 ;
+      RECT 1619.910000 0.000000 1623.390000 0.630000 ;
+      RECT 1616.010000 0.000000 1619.490000 0.630000 ;
+      RECT 1612.010000 0.000000 1615.590000 0.630000 ;
+      RECT 1608.110000 0.000000 1611.590000 0.630000 ;
+      RECT 1604.210000 0.000000 1607.690000 0.630000 ;
+      RECT 1600.410000 0.000000 1603.790000 0.630000 ;
+      RECT 1596.510000 0.000000 1599.990000 0.630000 ;
+      RECT 1592.610000 0.000000 1596.090000 0.630000 ;
+      RECT 1588.710000 0.000000 1592.190000 0.630000 ;
+      RECT 1584.810000 0.000000 1588.290000 0.630000 ;
+      RECT 1580.910000 0.000000 1584.390000 0.630000 ;
+      RECT 1577.010000 0.000000 1580.490000 0.630000 ;
+      RECT 1573.110000 0.000000 1576.590000 0.630000 ;
+      RECT 1569.210000 0.000000 1572.690000 0.630000 ;
+      RECT 1565.310000 0.000000 1568.790000 0.630000 ;
+      RECT 1561.410000 0.000000 1564.890000 0.630000 ;
+      RECT 1557.410000 0.000000 1560.990000 0.630000 ;
+      RECT 1553.510000 0.000000 1556.990000 0.630000 ;
+      RECT 1549.610000 0.000000 1553.090000 0.630000 ;
+      RECT 1545.710000 0.000000 1549.190000 0.630000 ;
+      RECT 1541.810000 0.000000 1545.290000 0.630000 ;
+      RECT 1538.010000 0.000000 1541.390000 0.630000 ;
+      RECT 1534.010000 0.000000 1537.590000 0.630000 ;
+      RECT 1530.110000 0.000000 1533.590000 0.630000 ;
+      RECT 1526.210000 0.000000 1529.690000 0.630000 ;
+      RECT 1522.310000 0.000000 1525.790000 0.630000 ;
+      RECT 1518.410000 0.000000 1521.890000 0.630000 ;
+      RECT 1514.510000 0.000000 1517.990000 0.630000 ;
+      RECT 1510.710000 0.000000 1514.090000 0.630000 ;
+      RECT 1506.710000 0.000000 1510.290000 0.630000 ;
+      RECT 1502.810000 0.000000 1506.290000 0.630000 ;
+      RECT 1498.910000 0.000000 1502.390000 0.630000 ;
+      RECT 1495.010000 0.000000 1498.490000 0.630000 ;
+      RECT 1491.110000 0.000000 1494.590000 0.630000 ;
+      RECT 1487.210000 0.000000 1490.690000 0.630000 ;
+      RECT 1483.310000 0.000000 1486.790000 0.630000 ;
+      RECT 1479.410000 0.000000 1482.890000 0.630000 ;
+      RECT 1475.510000 0.000000 1478.990000 0.630000 ;
+      RECT 1471.610000 0.000000 1475.090000 0.630000 ;
+      RECT 1467.710000 0.000000 1471.190000 0.630000 ;
+      RECT 1463.810000 0.000000 1467.290000 0.630000 ;
+      RECT 1459.910000 0.000000 1463.390000 0.630000 ;
+      RECT 1455.910000 0.000000 1459.490000 0.630000 ;
+      RECT 1452.010000 0.000000 1455.490000 0.630000 ;
+      RECT 1448.110000 0.000000 1451.590000 0.630000 ;
+      RECT 1444.210000 0.000000 1447.690000 0.630000 ;
+      RECT 1440.410000 0.000000 1443.790000 0.630000 ;
+      RECT 1436.510000 0.000000 1439.990000 0.630000 ;
+      RECT 1432.610000 0.000000 1436.090000 0.630000 ;
+      RECT 1428.610000 0.000000 1432.190000 0.630000 ;
+      RECT 1424.710000 0.000000 1428.190000 0.630000 ;
+      RECT 1420.810000 0.000000 1424.290000 0.630000 ;
+      RECT 1417.010000 0.000000 1420.390000 0.630000 ;
+      RECT 1413.110000 0.000000 1416.590000 0.630000 ;
+      RECT 1409.210000 0.000000 1412.690000 0.630000 ;
+      RECT 1405.310000 0.000000 1408.790000 0.630000 ;
+      RECT 1401.310000 0.000000 1404.890000 0.630000 ;
+      RECT 1397.410000 0.000000 1400.890000 0.630000 ;
+      RECT 1393.510000 0.000000 1396.990000 0.630000 ;
+      RECT 1389.610000 0.000000 1393.090000 0.630000 ;
+      RECT 1385.710000 0.000000 1389.190000 0.630000 ;
+      RECT 1381.810000 0.000000 1385.290000 0.630000 ;
+      RECT 1377.810000 0.000000 1381.390000 0.630000 ;
+      RECT 1373.910000 0.000000 1377.390000 0.630000 ;
+      RECT 1370.110000 0.000000 1373.490000 0.630000 ;
+      RECT 1366.210000 0.000000 1369.690000 0.630000 ;
+      RECT 1362.310000 0.000000 1365.790000 0.630000 ;
+      RECT 1358.410000 0.000000 1361.890000 0.630000 ;
+      RECT 1354.510000 0.000000 1357.990000 0.630000 ;
+      RECT 1350.610000 0.000000 1354.090000 0.630000 ;
+      RECT 1346.710000 0.000000 1350.190000 0.630000 ;
+      RECT 1342.810000 0.000000 1346.290000 0.630000 ;
+      RECT 1338.910000 0.000000 1342.390000 0.630000 ;
+      RECT 1335.010000 0.000000 1338.490000 0.630000 ;
+      RECT 1331.110000 0.000000 1334.590000 0.630000 ;
+      RECT 1327.210000 0.000000 1330.690000 0.630000 ;
+      RECT 1323.210000 0.000000 1326.790000 0.630000 ;
+      RECT 1319.310000 0.000000 1322.790000 0.630000 ;
+      RECT 1315.410000 0.000000 1318.890000 0.630000 ;
+      RECT 1311.510000 0.000000 1314.990000 0.630000 ;
+      RECT 1307.610000 0.000000 1311.090000 0.630000 ;
+      RECT 1303.710000 0.000000 1307.190000 0.630000 ;
+      RECT 1299.810000 0.000000 1303.290000 0.630000 ;
+      RECT 1296.010000 0.000000 1299.390000 0.630000 ;
+      RECT 1292.110000 0.000000 1295.590000 0.630000 ;
+      RECT 1288.210000 0.000000 1291.690000 0.630000 ;
+      RECT 1284.310000 0.000000 1287.790000 0.630000 ;
+      RECT 1280.410000 0.000000 1283.890000 0.630000 ;
+      RECT 1276.510000 0.000000 1279.990000 0.630000 ;
+      RECT 1272.510000 0.000000 1276.090000 0.630000 ;
+      RECT 1268.610000 0.000000 1272.090000 0.630000 ;
+      RECT 1264.710000 0.000000 1268.190000 0.630000 ;
+      RECT 1260.810000 0.000000 1264.290000 0.630000 ;
+      RECT 1256.910000 0.000000 1260.390000 0.630000 ;
+      RECT 1253.010000 0.000000 1256.490000 0.630000 ;
+      RECT 1249.110000 0.000000 1252.590000 0.630000 ;
+      RECT 1245.110000 0.000000 1248.690000 0.630000 ;
+      RECT 1241.210000 0.000000 1244.690000 0.630000 ;
+      RECT 1237.310000 0.000000 1240.790000 0.630000 ;
+      RECT 1233.410000 0.000000 1236.890000 0.630000 ;
+      RECT 1229.610000 0.000000 1232.990000 0.630000 ;
+      RECT 1225.710000 0.000000 1229.190000 0.630000 ;
+      RECT 1221.810000 0.000000 1225.290000 0.630000 ;
+      RECT 1217.910000 0.000000 1221.390000 0.630000 ;
+      RECT 1214.010000 0.000000 1217.490000 0.630000 ;
+      RECT 1210.110000 0.000000 1213.590000 0.630000 ;
+      RECT 1206.210000 0.000000 1209.690000 0.630000 ;
+      RECT 1202.310000 0.000000 1205.790000 0.630000 ;
+      RECT 1198.410000 0.000000 1201.890000 0.630000 ;
+      RECT 1194.410000 0.000000 1197.990000 0.630000 ;
+      RECT 1190.510000 0.000000 1193.990000 0.630000 ;
+      RECT 1186.610000 0.000000 1190.090000 0.630000 ;
+      RECT 1182.710000 0.000000 1186.190000 0.630000 ;
+      RECT 1178.810000 0.000000 1182.290000 0.630000 ;
+      RECT 1174.910000 0.000000 1178.390000 0.630000 ;
+      RECT 1171.010000 0.000000 1174.490000 0.630000 ;
+      RECT 1167.110000 0.000000 1170.590000 0.630000 ;
+      RECT 1163.210000 0.000000 1166.690000 0.630000 ;
+      RECT 1159.310000 0.000000 1162.790000 0.630000 ;
+      RECT 1155.410000 0.000000 1158.890000 0.630000 ;
+      RECT 1151.610000 0.000000 1154.990000 0.630000 ;
+      RECT 1147.710000 0.000000 1151.190000 0.630000 ;
+      RECT 1143.710000 0.000000 1147.290000 0.630000 ;
+      RECT 1139.810000 0.000000 1143.290000 0.630000 ;
+      RECT 1135.910000 0.000000 1139.390000 0.630000 ;
+      RECT 1132.010000 0.000000 1135.490000 0.630000 ;
+      RECT 1128.110000 0.000000 1131.590000 0.630000 ;
+      RECT 1124.210000 0.000000 1127.690000 0.630000 ;
+      RECT 1120.310000 0.000000 1123.790000 0.630000 ;
+      RECT 1116.310000 0.000000 1119.890000 0.630000 ;
+      RECT 1112.410000 0.000000 1115.890000 0.630000 ;
+      RECT 1108.610000 0.000000 1111.990000 0.630000 ;
+      RECT 1104.710000 0.000000 1108.190000 0.630000 ;
+      RECT 1100.810000 0.000000 1104.290000 0.630000 ;
+      RECT 1096.910000 0.000000 1100.390000 0.630000 ;
+      RECT 1093.010000 0.000000 1096.490000 0.630000 ;
+      RECT 1089.010000 0.000000 1092.590000 0.630000 ;
+      RECT 1085.110000 0.000000 1088.590000 0.630000 ;
+      RECT 1081.310000 0.000000 1084.690000 0.630000 ;
+      RECT 1077.410000 0.000000 1080.890000 0.630000 ;
+      RECT 1073.510000 0.000000 1076.990000 0.630000 ;
+      RECT 1069.610000 0.000000 1073.090000 0.630000 ;
+      RECT 1065.610000 0.000000 1069.190000 0.630000 ;
+      RECT 1061.710000 0.000000 1065.190000 0.630000 ;
+      RECT 1057.810000 0.000000 1061.290000 0.630000 ;
+      RECT 1053.910000 0.000000 1057.390000 0.630000 ;
+      RECT 1050.010000 0.000000 1053.490000 0.630000 ;
+      RECT 1046.210000 0.000000 1049.590000 0.630000 ;
+      RECT 1042.310000 0.000000 1045.790000 0.630000 ;
+      RECT 1038.310000 0.000000 1041.890000 0.630000 ;
+      RECT 1034.410000 0.000000 1037.890000 0.630000 ;
+      RECT 1030.510000 0.000000 1033.990000 0.630000 ;
+      RECT 1026.610000 0.000000 1030.090000 0.630000 ;
+      RECT 1022.710000 0.000000 1026.190000 0.630000 ;
+      RECT 1018.810000 0.000000 1022.290000 0.630000 ;
+      RECT 1014.910000 0.000000 1018.390000 0.630000 ;
+      RECT 1011.010000 0.000000 1014.490000 0.630000 ;
+      RECT 1007.110000 0.000000 1010.590000 0.630000 ;
+      RECT 1003.210000 0.000000 1006.690000 0.630000 ;
+      RECT 999.310000 0.000000 1002.790000 0.630000 ;
+      RECT 995.410000 0.000000 998.890000 0.630000 ;
+      RECT 991.510000 0.000000 994.990000 0.630000 ;
+      RECT 987.610000 0.000000 991.090000 0.630000 ;
+      RECT 983.710000 0.000000 987.190000 0.630000 ;
+      RECT 979.810000 0.000000 983.290000 0.630000 ;
+      RECT 975.910000 0.000000 979.390000 0.630000 ;
+      RECT 972.010000 0.000000 975.490000 0.630000 ;
+      RECT 968.110000 0.000000 971.590000 0.630000 ;
+      RECT 964.210000 0.000000 967.690000 0.630000 ;
+      RECT 960.210000 0.000000 963.790000 0.630000 ;
+      RECT 956.310000 0.000000 959.790000 0.630000 ;
+      RECT 952.410000 0.000000 955.890000 0.630000 ;
+      RECT 948.510000 0.000000 951.990000 0.630000 ;
+      RECT 944.610000 0.000000 948.090000 0.630000 ;
+      RECT 940.710000 0.000000 944.190000 0.630000 ;
+      RECT 936.910000 0.000000 940.290000 0.630000 ;
+      RECT 932.910000 0.000000 936.490000 0.630000 ;
+      RECT 929.010000 0.000000 932.490000 0.630000 ;
+      RECT 925.210000 0.000000 928.590000 0.630000 ;
+      RECT 921.310000 0.000000 924.790000 0.630000 ;
+      RECT 917.410000 0.000000 920.890000 0.630000 ;
+      RECT 913.510000 0.000000 916.990000 0.630000 ;
+      RECT 909.510000 0.000000 913.090000 0.630000 ;
+      RECT 905.610000 0.000000 909.090000 0.630000 ;
+      RECT 901.710000 0.000000 905.190000 0.630000 ;
+      RECT 897.810000 0.000000 901.290000 0.630000 ;
+      RECT 893.910000 0.000000 897.390000 0.630000 ;
+      RECT 890.010000 0.000000 893.490000 0.630000 ;
+      RECT 886.110000 0.000000 889.590000 0.630000 ;
+      RECT 882.110000 0.000000 885.690000 0.630000 ;
+      RECT 878.210000 0.000000 881.690000 0.630000 ;
+      RECT 874.310000 0.000000 877.790000 0.630000 ;
+      RECT 870.410000 0.000000 873.890000 0.630000 ;
+      RECT 866.610000 0.000000 869.990000 0.630000 ;
+      RECT 862.810000 0.000000 866.190000 0.630000 ;
+      RECT 858.910000 0.000000 862.390000 0.630000 ;
+      RECT 854.910000 0.000000 858.490000 0.630000 ;
+      RECT 851.010000 0.000000 854.490000 0.630000 ;
+      RECT 847.110000 0.000000 850.590000 0.630000 ;
+      RECT 843.210000 0.000000 846.690000 0.630000 ;
+      RECT 839.310000 0.000000 842.790000 0.630000 ;
+      RECT 835.410000 0.000000 838.890000 0.630000 ;
+      RECT 831.410000 0.000000 834.990000 0.630000 ;
+      RECT 827.510000 0.000000 830.990000 0.630000 ;
+      RECT 823.610000 0.000000 827.090000 0.630000 ;
+      RECT 819.710000 0.000000 823.190000 0.630000 ;
+      RECT 815.810000 0.000000 819.290000 0.630000 ;
+      RECT 811.910000 0.000000 815.390000 0.630000 ;
+      RECT 808.010000 0.000000 811.490000 0.630000 ;
+      RECT 804.110000 0.000000 807.590000 0.630000 ;
+      RECT 800.210000 0.000000 803.690000 0.630000 ;
+      RECT 796.310000 0.000000 799.790000 0.630000 ;
+      RECT 792.510000 0.000000 795.890000 0.630000 ;
+      RECT 788.610000 0.000000 792.090000 0.630000 ;
+      RECT 784.710000 0.000000 788.190000 0.630000 ;
+      RECT 780.810000 0.000000 784.290000 0.630000 ;
+      RECT 776.810000 0.000000 780.390000 0.630000 ;
+      RECT 772.910000 0.000000 776.390000 0.630000 ;
+      RECT 769.010000 0.000000 772.490000 0.630000 ;
+      RECT 765.110000 0.000000 768.590000 0.630000 ;
+      RECT 761.210000 0.000000 764.690000 0.630000 ;
+      RECT 757.310000 0.000000 760.790000 0.630000 ;
+      RECT 753.310000 0.000000 756.890000 0.630000 ;
+      RECT 749.410000 0.000000 752.890000 0.630000 ;
+      RECT 745.510000 0.000000 748.990000 0.630000 ;
+      RECT 741.710000 0.000000 745.090000 0.630000 ;
+      RECT 737.810000 0.000000 741.290000 0.630000 ;
+      RECT 733.910000 0.000000 737.390000 0.630000 ;
+      RECT 730.010000 0.000000 733.490000 0.630000 ;
+      RECT 726.010000 0.000000 729.590000 0.630000 ;
+      RECT 722.210000 0.000000 725.590000 0.630000 ;
+      RECT 718.310000 0.000000 721.790000 0.630000 ;
+      RECT 714.410000 0.000000 717.890000 0.630000 ;
+      RECT 710.510000 0.000000 713.990000 0.630000 ;
+      RECT 706.610000 0.000000 710.090000 0.630000 ;
+      RECT 702.710000 0.000000 706.190000 0.630000 ;
+      RECT 698.710000 0.000000 702.290000 0.630000 ;
+      RECT 694.810000 0.000000 698.290000 0.630000 ;
+      RECT 690.910000 0.000000 694.390000 0.630000 ;
+      RECT 687.010000 0.000000 690.490000 0.630000 ;
+      RECT 683.110000 0.000000 686.590000 0.630000 ;
+      RECT 679.210000 0.000000 682.690000 0.630000 ;
+      RECT 675.310000 0.000000 678.790000 0.630000 ;
+      RECT 671.410000 0.000000 674.890000 0.630000 ;
+      RECT 667.510000 0.000000 670.990000 0.630000 ;
+      RECT 663.610000 0.000000 667.090000 0.630000 ;
+      RECT 659.710000 0.000000 663.190000 0.630000 ;
+      RECT 655.810000 0.000000 659.290000 0.630000 ;
+      RECT 651.910000 0.000000 655.390000 0.630000 ;
+      RECT 648.010000 0.000000 651.490000 0.630000 ;
+      RECT 644.110000 0.000000 647.590000 0.630000 ;
+      RECT 640.210000 0.000000 643.690000 0.630000 ;
+      RECT 636.310000 0.000000 639.790000 0.630000 ;
+      RECT 632.410000 0.000000 635.890000 0.630000 ;
+      RECT 628.510000 0.000000 631.990000 0.630000 ;
+      RECT 624.610000 0.000000 628.090000 0.630000 ;
+      RECT 620.710000 0.000000 624.190000 0.630000 ;
+      RECT 616.810000 0.000000 620.290000 0.630000 ;
+      RECT 612.910000 0.000000 616.390000 0.630000 ;
+      RECT 609.010000 0.000000 612.490000 0.630000 ;
+      RECT 605.110000 0.000000 608.590000 0.630000 ;
+      RECT 601.210000 0.000000 604.690000 0.630000 ;
+      RECT 597.210000 0.000000 600.790000 0.630000 ;
+      RECT 593.310000 0.000000 596.790000 0.630000 ;
+      RECT 589.410000 0.000000 592.890000 0.630000 ;
+      RECT 585.510000 0.000000 588.990000 0.630000 ;
+      RECT 581.610000 0.000000 585.090000 0.630000 ;
+      RECT 577.810000 0.000000 581.190000 0.630000 ;
+      RECT 573.910000 0.000000 577.390000 0.630000 ;
+      RECT 569.910000 0.000000 573.490000 0.630000 ;
+      RECT 566.010000 0.000000 569.490000 0.630000 ;
+      RECT 562.110000 0.000000 565.590000 0.630000 ;
+      RECT 558.210000 0.000000 561.690000 0.630000 ;
+      RECT 554.410000 0.000000 557.790000 0.630000 ;
+      RECT 550.510000 0.000000 553.990000 0.630000 ;
+      RECT 546.610000 0.000000 550.090000 0.630000 ;
+      RECT 542.610000 0.000000 546.190000 0.630000 ;
+      RECT 538.710000 0.000000 542.190000 0.630000 ;
+      RECT 534.810000 0.000000 538.290000 0.630000 ;
+      RECT 530.910000 0.000000 534.390000 0.630000 ;
+      RECT 527.010000 0.000000 530.490000 0.630000 ;
+      RECT 523.110000 0.000000 526.590000 0.630000 ;
+      RECT 519.110000 0.000000 522.690000 0.630000 ;
+      RECT 515.210000 0.000000 518.690000 0.630000 ;
+      RECT 511.310000 0.000000 514.790000 0.630000 ;
+      RECT 507.510000 0.000000 510.890000 0.630000 ;
+      RECT 503.610000 0.000000 507.090000 0.630000 ;
+      RECT 499.710000 0.000000 503.190000 0.630000 ;
+      RECT 495.810000 0.000000 499.290000 0.630000 ;
+      RECT 491.910000 0.000000 495.390000 0.630000 ;
+      RECT 488.010000 0.000000 491.490000 0.630000 ;
+      RECT 484.110000 0.000000 487.590000 0.630000 ;
+      RECT 480.210000 0.000000 483.690000 0.630000 ;
+      RECT 476.310000 0.000000 479.790000 0.630000 ;
+      RECT 472.410000 0.000000 475.890000 0.630000 ;
+      RECT 468.510000 0.000000 471.990000 0.630000 ;
+      RECT 464.510000 0.000000 468.090000 0.630000 ;
+      RECT 460.610000 0.000000 464.090000 0.630000 ;
+      RECT 456.710000 0.000000 460.190000 0.630000 ;
+      RECT 452.810000 0.000000 456.290000 0.630000 ;
+      RECT 448.910000 0.000000 452.390000 0.630000 ;
+      RECT 445.010000 0.000000 448.490000 0.630000 ;
+      RECT 441.010000 0.000000 444.590000 0.630000 ;
+      RECT 437.210000 0.000000 440.590000 0.630000 ;
+      RECT 433.410000 0.000000 436.790000 0.630000 ;
+      RECT 429.510000 0.000000 432.990000 0.630000 ;
+      RECT 425.610000 0.000000 429.090000 0.630000 ;
+      RECT 421.710000 0.000000 425.190000 0.630000 ;
+      RECT 417.810000 0.000000 421.290000 0.630000 ;
+      RECT 413.810000 0.000000 417.390000 0.630000 ;
+      RECT 409.910000 0.000000 413.390000 0.630000 ;
+      RECT 406.010000 0.000000 409.490000 0.630000 ;
+      RECT 402.110000 0.000000 405.590000 0.630000 ;
+      RECT 398.210000 0.000000 401.690000 0.630000 ;
+      RECT 394.310000 0.000000 397.790000 0.630000 ;
+      RECT 390.410000 0.000000 393.890000 0.630000 ;
+      RECT 386.410000 0.000000 389.990000 0.630000 ;
+      RECT 382.510000 0.000000 385.990000 0.630000 ;
+      RECT 378.610000 0.000000 382.090000 0.630000 ;
+      RECT 374.710000 0.000000 378.190000 0.630000 ;
+      RECT 370.910000 0.000000 374.290000 0.630000 ;
+      RECT 367.010000 0.000000 370.490000 0.630000 ;
+      RECT 363.110000 0.000000 366.590000 0.630000 ;
+      RECT 359.210000 0.000000 362.690000 0.630000 ;
+      RECT 355.310000 0.000000 358.790000 0.630000 ;
+      RECT 351.410000 0.000000 354.890000 0.630000 ;
+      RECT 347.510000 0.000000 350.990000 0.630000 ;
+      RECT 343.610000 0.000000 347.090000 0.630000 ;
+      RECT 339.710000 0.000000 343.190000 0.630000 ;
+      RECT 335.710000 0.000000 339.290000 0.630000 ;
+      RECT 331.810000 0.000000 335.290000 0.630000 ;
+      RECT 327.910000 0.000000 331.390000 0.630000 ;
+      RECT 324.010000 0.000000 327.490000 0.630000 ;
+      RECT 320.110000 0.000000 323.590000 0.630000 ;
+      RECT 316.210000 0.000000 319.690000 0.630000 ;
+      RECT 312.310000 0.000000 315.790000 0.630000 ;
+      RECT 308.410000 0.000000 311.890000 0.630000 ;
+      RECT 304.510000 0.000000 307.990000 0.630000 ;
+      RECT 300.610000 0.000000 304.090000 0.630000 ;
+      RECT 296.710000 0.000000 300.190000 0.630000 ;
+      RECT 292.810000 0.000000 296.290000 0.630000 ;
+      RECT 289.010000 0.000000 292.390000 0.630000 ;
+      RECT 285.010000 0.000000 288.590000 0.630000 ;
+      RECT 281.110000 0.000000 284.590000 0.630000 ;
+      RECT 277.210000 0.000000 280.690000 0.630000 ;
+      RECT 273.310000 0.000000 276.790000 0.630000 ;
+      RECT 269.410000 0.000000 272.890000 0.630000 ;
+      RECT 265.510000 0.000000 268.990000 0.630000 ;
+      RECT 261.610000 0.000000 265.090000 0.630000 ;
+      RECT 257.610000 0.000000 261.190000 0.630000 ;
+      RECT 253.710000 0.000000 257.190000 0.630000 ;
+      RECT 249.910000 0.000000 253.290000 0.630000 ;
+      RECT 246.010000 0.000000 249.490000 0.630000 ;
+      RECT 242.110000 0.000000 245.590000 0.630000 ;
+      RECT 238.210000 0.000000 241.690000 0.630000 ;
+      RECT 234.310000 0.000000 237.790000 0.630000 ;
+      RECT 230.310000 0.000000 233.890000 0.630000 ;
+      RECT 226.410000 0.000000 229.890000 0.630000 ;
+      RECT 222.510000 0.000000 225.990000 0.630000 ;
+      RECT 218.710000 0.000000 222.090000 0.630000 ;
+      RECT 214.810000 0.000000 218.290000 0.630000 ;
+      RECT 210.910000 0.000000 214.390000 0.630000 ;
+      RECT 206.910000 0.000000 210.490000 0.630000 ;
+      RECT 203.010000 0.000000 206.490000 0.630000 ;
+      RECT 199.110000 0.000000 202.590000 0.630000 ;
+      RECT 195.210000 0.000000 198.690000 0.630000 ;
+      RECT 191.310000 0.000000 194.790000 0.630000 ;
+      RECT 187.410000 0.000000 190.890000 0.630000 ;
+      RECT 183.610000 0.000000 186.990000 0.630000 ;
+      RECT 179.610000 0.000000 183.190000 0.630000 ;
+      RECT 175.710000 0.000000 179.190000 0.630000 ;
+      RECT 171.810000 0.000000 175.290000 0.630000 ;
+      RECT 167.910000 0.000000 171.390000 0.630000 ;
+      RECT 164.010000 0.000000 167.490000 0.630000 ;
+      RECT 160.110000 0.000000 163.590000 0.630000 ;
+      RECT 156.210000 0.000000 159.690000 0.630000 ;
+      RECT 152.210000 0.000000 155.790000 0.630000 ;
+      RECT 148.410000 0.000000 151.790000 0.630000 ;
+      RECT 144.510000 0.000000 147.990000 0.630000 ;
+      RECT 140.610000 0.000000 144.090000 0.630000 ;
+      RECT 136.710000 0.000000 140.190000 0.630000 ;
+      RECT 132.810000 0.000000 136.290000 0.630000 ;
+      RECT 128.910000 0.000000 132.390000 0.630000 ;
+      RECT 125.010000 0.000000 128.490000 0.630000 ;
+      RECT 121.110000 0.000000 124.590000 0.630000 ;
+      RECT 117.210000 0.000000 120.690000 0.630000 ;
+      RECT 113.310000 0.000000 116.790000 0.630000 ;
+      RECT 109.410000 0.000000 112.890000 0.630000 ;
+      RECT 105.510000 0.000000 108.990000 0.630000 ;
+      RECT 101.510000 0.000000 105.090000 0.630000 ;
+      RECT 97.610000 0.000000 101.090000 0.630000 ;
+      RECT 93.710000 0.000000 97.190000 0.630000 ;
+      RECT 89.810000 0.000000 93.290000 0.630000 ;
+      RECT 85.910000 0.000000 89.390000 0.630000 ;
+      RECT 82.010000 0.000000 85.490000 0.630000 ;
+      RECT 78.110000 0.000000 81.590000 0.630000 ;
+      RECT 74.210000 0.000000 77.690000 0.630000 ;
+      RECT 70.310000 0.000000 73.790000 0.630000 ;
+      RECT 66.410000 0.000000 69.890000 0.630000 ;
+      RECT 62.610000 0.000000 65.990000 0.630000 ;
+      RECT 58.710000 0.000000 62.190000 0.630000 ;
+      RECT 54.810000 0.000000 58.290000 0.630000 ;
+      RECT 50.810000 0.000000 54.390000 0.630000 ;
+      RECT 46.910000 0.000000 50.390000 0.630000 ;
+      RECT 43.010000 0.000000 46.490000 0.630000 ;
+      RECT 39.110000 0.000000 42.590000 0.630000 ;
+      RECT 35.210000 0.000000 38.690000 0.630000 ;
+      RECT 31.310000 0.000000 34.790000 0.630000 ;
+      RECT 27.410000 0.000000 30.890000 0.630000 ;
+      RECT 23.410000 0.000000 26.990000 0.630000 ;
+      RECT 19.510000 0.000000 22.990000 0.630000 ;
+      RECT 15.610000 0.000000 19.090000 0.630000 ;
+      RECT 11.710000 0.000000 15.190000 0.630000 ;
+      RECT 7.810000 0.000000 11.290000 0.630000 ;
+      RECT 4.010000 0.000000 7.390000 0.630000 ;
+      RECT 2.210000 0.000000 3.590000 0.625000 ;
       RECT 0.000000 0.000000 1.790000 0.625000 ;
     LAYER met3 ;
-      RECT 0.000000 2281.700000 2369.460000 2290.240000 ;
-      RECT 2361.880000 2276.900000 2369.460000 2281.700000 ;
-      RECT 0.000000 2276.900000 7.580000 2281.700000 ;
-      RECT 0.000000 2275.900000 2369.460000 2276.900000 ;
-      RECT 2356.080000 2271.100000 2369.460000 2275.900000 ;
-      RECT 0.000000 2271.100000 13.380000 2275.900000 ;
-      RECT 0.000000 2226.775000 2369.460000 2271.100000 ;
-      RECT 1.100000 2225.875000 2369.460000 2226.775000 ;
-      RECT 0.000000 2225.825000 2369.460000 2225.875000 ;
-      RECT 0.000000 2224.925000 2368.360000 2225.825000 ;
-      RECT 0.000000 2186.780000 2369.460000 2224.925000 ;
-      RECT 1.100000 2186.020000 2369.460000 2186.780000 ;
-      RECT 1.100000 2185.880000 2368.360000 2186.020000 ;
-      RECT 0.000000 2185.120000 2368.360000 2185.880000 ;
-      RECT 0.000000 2144.790000 2369.460000 2185.120000 ;
-      RECT 1.100000 2143.890000 2369.460000 2144.790000 ;
-      RECT 0.000000 2143.175000 2369.460000 2143.890000 ;
-      RECT 0.000000 2142.275000 2368.360000 2143.175000 ;
-      RECT 0.000000 2102.705000 2369.460000 2142.275000 ;
-      RECT 1.100000 2101.805000 2369.460000 2102.705000 ;
-      RECT 0.000000 2100.235000 2369.460000 2101.805000 ;
-      RECT 0.000000 2099.335000 2368.360000 2100.235000 ;
-      RECT 0.000000 2060.525000 2369.460000 2099.335000 ;
-      RECT 1.100000 2059.625000 2369.460000 2060.525000 ;
-      RECT 0.000000 2057.485000 2369.460000 2059.625000 ;
-      RECT 0.000000 2056.585000 2368.360000 2057.485000 ;
-      RECT 0.000000 2018.630000 2369.460000 2056.585000 ;
-      RECT 1.100000 2017.730000 2369.460000 2018.630000 ;
-      RECT 0.000000 2014.545000 2369.460000 2017.730000 ;
-      RECT 0.000000 2013.645000 2368.360000 2014.545000 ;
-      RECT 0.000000 1976.640000 2369.460000 2013.645000 ;
-      RECT 1.100000 1975.740000 2369.460000 1976.640000 ;
-      RECT 0.000000 1971.605000 2369.460000 1975.740000 ;
-      RECT 0.000000 1970.705000 2368.360000 1971.605000 ;
-      RECT 0.000000 1934.460000 2369.460000 1970.705000 ;
-      RECT 1.100000 1933.560000 2369.460000 1934.460000 ;
-      RECT 0.000000 1928.855000 2369.460000 1933.560000 ;
-      RECT 0.000000 1927.955000 2368.360000 1928.855000 ;
-      RECT 0.000000 1892.375000 2369.460000 1927.955000 ;
-      RECT 1.100000 1891.475000 2369.460000 1892.375000 ;
-      RECT 0.000000 1885.915000 2369.460000 1891.475000 ;
-      RECT 0.000000 1885.015000 2368.360000 1885.915000 ;
-      RECT 0.000000 1850.480000 2369.460000 1885.015000 ;
-      RECT 1.100000 1849.580000 2369.460000 1850.480000 ;
-      RECT 0.000000 1843.165000 2369.460000 1849.580000 ;
-      RECT 0.000000 1842.265000 2368.360000 1843.165000 ;
-      RECT 0.000000 1808.395000 2369.460000 1842.265000 ;
-      RECT 1.100000 1807.495000 2369.460000 1808.395000 ;
-      RECT 0.000000 1800.225000 2369.460000 1807.495000 ;
-      RECT 0.000000 1799.325000 2368.360000 1800.225000 ;
-      RECT 0.000000 1766.310000 2369.460000 1799.325000 ;
-      RECT 1.100000 1765.410000 2369.460000 1766.310000 ;
-      RECT 0.000000 1757.380000 2369.460000 1765.410000 ;
-      RECT 0.000000 1756.480000 2368.360000 1757.380000 ;
-      RECT 0.000000 1724.225000 2369.460000 1756.480000 ;
-      RECT 1.100000 1723.325000 2369.460000 1724.225000 ;
-      RECT 0.000000 1714.535000 2369.460000 1723.325000 ;
-      RECT 0.000000 1713.635000 2368.360000 1714.535000 ;
-      RECT 0.000000 1682.140000 2369.460000 1713.635000 ;
-      RECT 1.100000 1681.240000 2369.460000 1682.140000 ;
-      RECT 0.000000 1671.690000 2369.460000 1681.240000 ;
-      RECT 0.000000 1670.790000 2368.360000 1671.690000 ;
-      RECT 0.000000 1640.055000 2369.460000 1670.790000 ;
-      RECT 1.100000 1639.155000 2369.460000 1640.055000 ;
-      RECT 0.000000 1628.845000 2369.460000 1639.155000 ;
-      RECT 0.000000 1627.945000 2368.360000 1628.845000 ;
-      RECT 0.000000 1598.065000 2369.460000 1627.945000 ;
-      RECT 1.100000 1597.165000 2369.460000 1598.065000 ;
-      RECT 0.000000 1585.905000 2369.460000 1597.165000 ;
-      RECT 0.000000 1585.005000 2368.360000 1585.905000 ;
-      RECT 0.000000 1556.075000 2369.460000 1585.005000 ;
-      RECT 1.100000 1555.175000 2369.460000 1556.075000 ;
-      RECT 0.000000 1543.060000 2369.460000 1555.175000 ;
-      RECT 0.000000 1542.160000 2368.360000 1543.060000 ;
-      RECT 0.000000 1514.085000 2369.460000 1542.160000 ;
-      RECT 1.100000 1513.185000 2369.460000 1514.085000 ;
-      RECT 0.000000 1500.215000 2369.460000 1513.185000 ;
-      RECT 0.000000 1499.315000 2368.360000 1500.215000 ;
-      RECT 0.000000 1471.905000 2369.460000 1499.315000 ;
-      RECT 1.100000 1471.005000 2369.460000 1471.905000 ;
-      RECT 0.000000 1457.275000 2369.460000 1471.005000 ;
-      RECT 0.000000 1456.375000 2368.360000 1457.275000 ;
-      RECT 0.000000 1430.010000 2369.460000 1456.375000 ;
-      RECT 1.100000 1429.110000 2369.460000 1430.010000 ;
-      RECT 0.000000 1414.525000 2369.460000 1429.110000 ;
-      RECT 0.000000 1413.625000 2368.360000 1414.525000 ;
-      RECT 0.000000 1387.925000 2369.460000 1413.625000 ;
-      RECT 1.100000 1387.025000 2369.460000 1387.925000 ;
-      RECT 0.000000 1371.680000 2369.460000 1387.025000 ;
-      RECT 0.000000 1370.780000 2368.360000 1371.680000 ;
-      RECT 0.000000 1345.745000 2369.460000 1370.780000 ;
-      RECT 1.100000 1344.845000 2369.460000 1345.745000 ;
-      RECT 0.000000 1328.930000 2369.460000 1344.845000 ;
-      RECT 0.000000 1328.030000 2368.360000 1328.930000 ;
-      RECT 0.000000 1303.755000 2369.460000 1328.030000 ;
-      RECT 1.100000 1302.855000 2369.460000 1303.755000 ;
-      RECT 0.000000 1285.990000 2369.460000 1302.855000 ;
-      RECT 0.000000 1285.090000 2368.360000 1285.990000 ;
-      RECT 0.000000 1261.670000 2369.460000 1285.090000 ;
-      RECT 1.100000 1260.770000 2369.460000 1261.670000 ;
-      RECT 0.000000 1243.240000 2369.460000 1260.770000 ;
-      RECT 0.000000 1242.340000 2368.360000 1243.240000 ;
-      RECT 0.000000 1219.680000 2369.460000 1242.340000 ;
-      RECT 1.100000 1218.780000 2369.460000 1219.680000 ;
-      RECT 0.000000 1200.300000 2369.460000 1218.780000 ;
-      RECT 0.000000 1199.400000 2368.360000 1200.300000 ;
-      RECT 0.000000 1177.500000 2369.460000 1199.400000 ;
-      RECT 1.100000 1176.600000 2369.460000 1177.500000 ;
-      RECT 0.000000 1157.455000 2369.460000 1176.600000 ;
-      RECT 0.000000 1156.555000 2368.360000 1157.455000 ;
-      RECT 0.000000 1135.510000 2369.460000 1156.555000 ;
-      RECT 1.100000 1134.610000 2369.460000 1135.510000 ;
-      RECT 0.000000 1114.515000 2369.460000 1134.610000 ;
-      RECT 0.000000 1113.615000 2368.360000 1114.515000 ;
-      RECT 0.000000 1093.520000 2369.460000 1113.615000 ;
-      RECT 1.100000 1092.620000 2369.460000 1093.520000 ;
-      RECT 0.000000 1071.670000 2369.460000 1092.620000 ;
-      RECT 0.000000 1070.770000 2368.360000 1071.670000 ;
-      RECT 0.000000 1051.435000 2369.460000 1070.770000 ;
-      RECT 1.100000 1050.535000 2369.460000 1051.435000 ;
-      RECT 0.000000 1028.920000 2369.460000 1050.535000 ;
-      RECT 0.000000 1028.020000 2368.360000 1028.920000 ;
-      RECT 0.000000 1009.445000 2369.460000 1028.020000 ;
-      RECT 1.100000 1008.545000 2369.460000 1009.445000 ;
-      RECT 0.000000 985.980000 2369.460000 1008.545000 ;
-      RECT 0.000000 985.080000 2368.360000 985.980000 ;
-      RECT 0.000000 967.360000 2369.460000 985.080000 ;
-      RECT 1.100000 966.460000 2369.460000 967.360000 ;
-      RECT 0.000000 943.040000 2369.460000 966.460000 ;
-      RECT 0.000000 942.140000 2368.360000 943.040000 ;
-      RECT 0.000000 925.275000 2369.460000 942.140000 ;
-      RECT 1.100000 924.375000 2369.460000 925.275000 ;
-      RECT 0.000000 900.290000 2369.460000 924.375000 ;
-      RECT 0.000000 899.390000 2368.360000 900.290000 ;
-      RECT 0.000000 883.190000 2369.460000 899.390000 ;
-      RECT 1.100000 882.290000 2369.460000 883.190000 ;
-      RECT 0.000000 857.445000 2369.460000 882.290000 ;
-      RECT 0.000000 856.545000 2368.360000 857.445000 ;
-      RECT 0.000000 841.200000 2369.460000 856.545000 ;
-      RECT 1.100000 840.300000 2369.460000 841.200000 ;
-      RECT 0.000000 814.600000 2369.460000 840.300000 ;
-      RECT 0.000000 813.700000 2368.360000 814.600000 ;
-      RECT 0.000000 799.115000 2369.460000 813.700000 ;
-      RECT 1.100000 798.215000 2369.460000 799.115000 ;
-      RECT 0.000000 771.565000 2369.460000 798.215000 ;
-      RECT 0.000000 770.665000 2368.360000 771.565000 ;
-      RECT 0.000000 757.030000 2369.460000 770.665000 ;
-      RECT 1.100000 756.130000 2369.460000 757.030000 ;
-      RECT 0.000000 728.815000 2369.460000 756.130000 ;
-      RECT 0.000000 727.915000 2368.360000 728.815000 ;
-      RECT 0.000000 715.135000 2369.460000 727.915000 ;
-      RECT 1.100000 714.235000 2369.460000 715.135000 ;
-      RECT 0.000000 685.970000 2369.460000 714.235000 ;
-      RECT 0.000000 685.070000 2368.360000 685.970000 ;
-      RECT 0.000000 672.955000 2369.460000 685.070000 ;
-      RECT 1.100000 672.055000 2369.460000 672.955000 ;
-      RECT 0.000000 643.030000 2369.460000 672.055000 ;
-      RECT 0.000000 642.130000 2368.360000 643.030000 ;
-      RECT 0.000000 630.870000 2369.460000 642.130000 ;
-      RECT 1.100000 629.970000 2369.460000 630.870000 ;
-      RECT 0.000000 600.185000 2369.460000 629.970000 ;
-      RECT 0.000000 599.285000 2368.360000 600.185000 ;
-      RECT 0.000000 588.880000 2369.460000 599.285000 ;
-      RECT 1.100000 587.980000 2369.460000 588.880000 ;
-      RECT 0.000000 557.245000 2369.460000 587.980000 ;
-      RECT 0.000000 556.345000 2368.360000 557.245000 ;
-      RECT 0.000000 546.890000 2369.460000 556.345000 ;
-      RECT 1.100000 545.990000 2369.460000 546.890000 ;
-      RECT 0.000000 514.495000 2369.460000 545.990000 ;
-      RECT 0.000000 513.595000 2368.360000 514.495000 ;
-      RECT 0.000000 504.900000 2369.460000 513.595000 ;
-      RECT 1.100000 504.000000 2369.460000 504.900000 ;
-      RECT 0.000000 471.650000 2369.460000 504.000000 ;
-      RECT 0.000000 470.750000 2368.360000 471.650000 ;
-      RECT 0.000000 462.720000 2369.460000 470.750000 ;
-      RECT 1.100000 461.820000 2369.460000 462.720000 ;
-      RECT 0.000000 428.805000 2369.460000 461.820000 ;
-      RECT 0.000000 427.905000 2368.360000 428.805000 ;
-      RECT 0.000000 420.730000 2369.460000 427.905000 ;
-      RECT 1.100000 419.830000 2369.460000 420.730000 ;
-      RECT 0.000000 385.960000 2369.460000 419.830000 ;
-      RECT 0.000000 385.060000 2368.360000 385.960000 ;
-      RECT 0.000000 378.645000 2369.460000 385.060000 ;
-      RECT 1.100000 377.745000 2369.460000 378.645000 ;
-      RECT 0.000000 343.115000 2369.460000 377.745000 ;
-      RECT 0.000000 342.215000 2368.360000 343.115000 ;
-      RECT 0.000000 336.465000 2369.460000 342.215000 ;
-      RECT 1.100000 335.565000 2369.460000 336.465000 ;
-      RECT 0.000000 300.175000 2369.460000 335.565000 ;
-      RECT 0.000000 299.275000 2368.360000 300.175000 ;
-      RECT 0.000000 294.570000 2369.460000 299.275000 ;
-      RECT 1.100000 293.670000 2369.460000 294.570000 ;
-      RECT 0.000000 257.330000 2369.460000 293.670000 ;
-      RECT 0.000000 256.430000 2368.360000 257.330000 ;
-      RECT 0.000000 252.580000 2369.460000 256.430000 ;
-      RECT 1.100000 251.680000 2369.460000 252.580000 ;
-      RECT 0.000000 214.485000 2369.460000 251.680000 ;
-      RECT 0.000000 213.585000 2368.360000 214.485000 ;
-      RECT 0.000000 210.400000 2369.460000 213.585000 ;
-      RECT 1.100000 209.500000 2369.460000 210.400000 ;
-      RECT 0.000000 171.545000 2369.460000 209.500000 ;
-      RECT 0.000000 170.645000 2368.360000 171.545000 ;
-      RECT 0.000000 168.315000 2369.460000 170.645000 ;
-      RECT 1.100000 167.415000 2369.460000 168.315000 ;
-      RECT 0.000000 128.700000 2369.460000 167.415000 ;
-      RECT 0.000000 127.800000 2368.360000 128.700000 ;
-      RECT 0.000000 126.420000 2369.460000 127.800000 ;
-      RECT 1.100000 125.520000 2369.460000 126.420000 ;
-      RECT 0.000000 85.855000 2369.460000 125.520000 ;
-      RECT 0.000000 84.955000 2368.360000 85.855000 ;
-      RECT 0.000000 84.335000 2369.460000 84.955000 ;
-      RECT 1.100000 83.435000 2369.460000 84.335000 ;
-      RECT 0.000000 43.105000 2369.460000 83.435000 ;
-      RECT 0.000000 42.250000 2368.360000 43.105000 ;
-      RECT 1.100000 42.205000 2368.360000 42.250000 ;
-      RECT 1.100000 41.350000 2369.460000 42.205000 ;
-      RECT 0.000000 18.460000 2369.460000 41.350000 ;
-      RECT 2356.080000 13.660000 2369.460000 18.460000 ;
-      RECT 0.000000 13.660000 13.380000 18.460000 ;
-      RECT 0.000000 12.660000 2369.460000 13.660000 ;
-      RECT 2361.880000 7.860000 2369.460000 12.660000 ;
-      RECT 0.000000 7.860000 7.580000 12.660000 ;
-      RECT 0.000000 3.015000 2369.460000 7.860000 ;
-      RECT 0.000000 2.255000 2368.360000 3.015000 ;
-      RECT 1.100000 2.115000 2368.360000 2.255000 ;
-      RECT 1.100000 1.355000 2369.460000 2.115000 ;
-      RECT 0.000000 0.000000 2369.460000 1.355000 ;
+      RECT 0.000000 2524.000000 1926.480000 2595.220000 ;
+      RECT 0.000000 2523.425000 1925.380000 2524.000000 ;
+      RECT 1.100000 2523.100000 1925.380000 2523.425000 ;
+      RECT 1.100000 2522.525000 1926.480000 2523.100000 ;
+      RECT 0.000000 2478.305000 1926.480000 2522.525000 ;
+      RECT 1.100000 2477.440000 1926.480000 2478.305000 ;
+      RECT 1.100000 2477.405000 1925.380000 2477.440000 ;
+      RECT 0.000000 2476.540000 1925.380000 2477.405000 ;
+      RECT 0.000000 2430.785000 1926.480000 2476.540000 ;
+      RECT 1.100000 2429.885000 1926.480000 2430.785000 ;
+      RECT 0.000000 2428.865000 1926.480000 2429.885000 ;
+      RECT 0.000000 2427.965000 1925.380000 2428.865000 ;
+      RECT 0.000000 2383.075000 1926.480000 2427.965000 ;
+      RECT 1.100000 2382.175000 1926.480000 2383.075000 ;
+      RECT 0.000000 2380.385000 1926.480000 2382.175000 ;
+      RECT 0.000000 2379.485000 1925.380000 2380.385000 ;
+      RECT 0.000000 2335.265000 1926.480000 2379.485000 ;
+      RECT 1.100000 2334.365000 1926.480000 2335.265000 ;
+      RECT 0.000000 2331.715000 1926.480000 2334.365000 ;
+      RECT 0.000000 2330.815000 1925.380000 2331.715000 ;
+      RECT 0.000000 2287.745000 1926.480000 2330.815000 ;
+      RECT 1.100000 2286.845000 1926.480000 2287.745000 ;
+      RECT 0.000000 2283.040000 1926.480000 2286.845000 ;
+      RECT 0.000000 2282.140000 1925.380000 2283.040000 ;
+      RECT 0.000000 2240.035000 1926.480000 2282.140000 ;
+      RECT 1.100000 2239.135000 1926.480000 2240.035000 ;
+      RECT 0.000000 2234.465000 1926.480000 2239.135000 ;
+      RECT 0.000000 2233.565000 1925.380000 2234.465000 ;
+      RECT 0.000000 2192.420000 1926.480000 2233.565000 ;
+      RECT 1.100000 2191.520000 1926.480000 2192.420000 ;
+      RECT 0.000000 2185.985000 1926.480000 2191.520000 ;
+      RECT 0.000000 2185.085000 1925.380000 2185.985000 ;
+      RECT 0.000000 2144.705000 1926.480000 2185.085000 ;
+      RECT 1.100000 2143.805000 1926.480000 2144.705000 ;
+      RECT 0.000000 2137.410000 1926.480000 2143.805000 ;
+      RECT 0.000000 2136.510000 1925.380000 2137.410000 ;
+      RECT 0.000000 2097.090000 1926.480000 2136.510000 ;
+      RECT 1.100000 2096.190000 1926.480000 2097.090000 ;
+      RECT 0.000000 2088.740000 1926.480000 2096.190000 ;
+      RECT 0.000000 2087.840000 1925.380000 2088.740000 ;
+      RECT 0.000000 2049.280000 1926.480000 2087.840000 ;
+      RECT 1.100000 2048.380000 1926.480000 2049.280000 ;
+      RECT 0.000000 2040.260000 1926.480000 2048.380000 ;
+      RECT 0.000000 2039.360000 1925.380000 2040.260000 ;
+      RECT 0.000000 2001.760000 1926.480000 2039.360000 ;
+      RECT 1.100000 2000.860000 1926.480000 2001.760000 ;
+      RECT 0.000000 1991.680000 1926.480000 2000.860000 ;
+      RECT 0.000000 1990.780000 1925.380000 1991.680000 ;
+      RECT 0.000000 1954.050000 1926.480000 1990.780000 ;
+      RECT 1.100000 1953.150000 1926.480000 1954.050000 ;
+      RECT 0.000000 1943.105000 1926.480000 1953.150000 ;
+      RECT 0.000000 1942.205000 1925.380000 1943.105000 ;
+      RECT 0.000000 1906.435000 1926.480000 1942.205000 ;
+      RECT 1.100000 1905.535000 1926.480000 1906.435000 ;
+      RECT 0.000000 1894.720000 1926.480000 1905.535000 ;
+      RECT 0.000000 1893.820000 1925.380000 1894.720000 ;
+      RECT 0.000000 1858.820000 1926.480000 1893.820000 ;
+      RECT 1.100000 1857.920000 1926.480000 1858.820000 ;
+      RECT 0.000000 1845.955000 1926.480000 1857.920000 ;
+      RECT 0.000000 1845.055000 1925.380000 1845.955000 ;
+      RECT 0.000000 1811.105000 1926.480000 1845.055000 ;
+      RECT 1.100000 1810.205000 1926.480000 1811.105000 ;
+      RECT 0.000000 1797.380000 1926.480000 1810.205000 ;
+      RECT 0.000000 1796.480000 1925.380000 1797.380000 ;
+      RECT 0.000000 1763.490000 1926.480000 1796.480000 ;
+      RECT 1.100000 1762.590000 1926.480000 1763.490000 ;
+      RECT 0.000000 1748.800000 1926.480000 1762.590000 ;
+      RECT 0.000000 1747.900000 1925.380000 1748.800000 ;
+      RECT 0.000000 1715.780000 1926.480000 1747.900000 ;
+      RECT 1.100000 1714.880000 1926.480000 1715.780000 ;
+      RECT 0.000000 1700.320000 1926.480000 1714.880000 ;
+      RECT 0.000000 1699.420000 1925.380000 1700.320000 ;
+      RECT 0.000000 1668.260000 1926.480000 1699.420000 ;
+      RECT 1.100000 1667.360000 1926.480000 1668.260000 ;
+      RECT 0.000000 1651.650000 1926.480000 1667.360000 ;
+      RECT 0.000000 1650.750000 1925.380000 1651.650000 ;
+      RECT 0.000000 1620.545000 1926.480000 1650.750000 ;
+      RECT 1.100000 1619.645000 1926.480000 1620.545000 ;
+      RECT 0.000000 1602.980000 1926.480000 1619.645000 ;
+      RECT 0.000000 1602.080000 1925.380000 1602.980000 ;
+      RECT 0.000000 1572.930000 1926.480000 1602.080000 ;
+      RECT 1.100000 1572.030000 1926.480000 1572.930000 ;
+      RECT 0.000000 1554.595000 1926.480000 1572.030000 ;
+      RECT 0.000000 1553.695000 1925.380000 1554.595000 ;
+      RECT 0.000000 1525.120000 1926.480000 1553.695000 ;
+      RECT 1.100000 1524.220000 1926.480000 1525.120000 ;
+      RECT 0.000000 1505.920000 1926.480000 1524.220000 ;
+      RECT 0.000000 1505.020000 1925.380000 1505.920000 ;
+      RECT 0.000000 1477.410000 1926.480000 1505.020000 ;
+      RECT 1.100000 1476.510000 1926.480000 1477.410000 ;
+      RECT 0.000000 1457.440000 1926.480000 1476.510000 ;
+      RECT 0.000000 1456.540000 1925.380000 1457.440000 ;
+      RECT 0.000000 1429.795000 1926.480000 1456.540000 ;
+      RECT 1.100000 1428.895000 1926.480000 1429.795000 ;
+      RECT 0.000000 1408.675000 1926.480000 1428.895000 ;
+      RECT 0.000000 1407.775000 1925.380000 1408.675000 ;
+      RECT 0.000000 1382.180000 1926.480000 1407.775000 ;
+      RECT 1.100000 1381.280000 1926.480000 1382.180000 ;
+      RECT 0.000000 1360.290000 1926.480000 1381.280000 ;
+      RECT 0.000000 1359.390000 1925.380000 1360.290000 ;
+      RECT 0.000000 1334.465000 1926.480000 1359.390000 ;
+      RECT 1.100000 1333.565000 1926.480000 1334.465000 ;
+      RECT 0.000000 1311.620000 1926.480000 1333.565000 ;
+      RECT 0.000000 1310.720000 1925.380000 1311.620000 ;
+      RECT 0.000000 1286.850000 1926.480000 1310.720000 ;
+      RECT 1.100000 1285.950000 1926.480000 1286.850000 ;
+      RECT 0.000000 1263.040000 1926.480000 1285.950000 ;
+      RECT 0.000000 1262.140000 1925.380000 1263.040000 ;
+      RECT 0.000000 1239.330000 1926.480000 1262.140000 ;
+      RECT 1.100000 1238.430000 1926.480000 1239.330000 ;
+      RECT 0.000000 1214.660000 1926.480000 1238.430000 ;
+      RECT 0.000000 1213.760000 1925.380000 1214.660000 ;
+      RECT 0.000000 1191.620000 1926.480000 1213.760000 ;
+      RECT 1.100000 1190.720000 1926.480000 1191.620000 ;
+      RECT 0.000000 1165.985000 1926.480000 1190.720000 ;
+      RECT 0.000000 1165.085000 1925.380000 1165.985000 ;
+      RECT 0.000000 1144.100000 1926.480000 1165.085000 ;
+      RECT 1.100000 1143.200000 1926.480000 1144.100000 ;
+      RECT 0.000000 1117.315000 1926.480000 1143.200000 ;
+      RECT 0.000000 1116.415000 1925.380000 1117.315000 ;
+      RECT 0.000000 1096.385000 1926.480000 1116.415000 ;
+      RECT 1.100000 1095.485000 1926.480000 1096.385000 ;
+      RECT 0.000000 1068.740000 1926.480000 1095.485000 ;
+      RECT 0.000000 1067.840000 1925.380000 1068.740000 ;
+      RECT 0.000000 1048.580000 1926.480000 1067.840000 ;
+      RECT 1.100000 1047.680000 1926.480000 1048.580000 ;
+      RECT 0.000000 1020.260000 1926.480000 1047.680000 ;
+      RECT 0.000000 1019.360000 1925.380000 1020.260000 ;
+      RECT 0.000000 1000.865000 1926.480000 1019.360000 ;
+      RECT 1.100000 999.965000 1926.480000 1000.865000 ;
+      RECT 0.000000 971.585000 1926.480000 999.965000 ;
+      RECT 0.000000 970.685000 1925.380000 971.585000 ;
+      RECT 0.000000 953.250000 1926.480000 970.685000 ;
+      RECT 1.100000 952.350000 1926.480000 953.250000 ;
+      RECT 0.000000 923.010000 1926.480000 952.350000 ;
+      RECT 0.000000 922.110000 1925.380000 923.010000 ;
+      RECT 0.000000 905.540000 1926.480000 922.110000 ;
+      RECT 1.100000 904.640000 1926.480000 905.540000 ;
+      RECT 0.000000 874.530000 1926.480000 904.640000 ;
+      RECT 0.000000 873.630000 1925.380000 874.530000 ;
+      RECT 0.000000 857.920000 1926.480000 873.630000 ;
+      RECT 1.100000 857.020000 1926.480000 857.920000 ;
+      RECT 0.000000 825.955000 1926.480000 857.020000 ;
+      RECT 0.000000 825.055000 1925.380000 825.955000 ;
+      RECT 0.000000 810.210000 1926.480000 825.055000 ;
+      RECT 1.100000 809.310000 1926.480000 810.210000 ;
+      RECT 0.000000 777.280000 1926.480000 809.310000 ;
+      RECT 0.000000 776.380000 1925.380000 777.280000 ;
+      RECT 0.000000 762.595000 1926.480000 776.380000 ;
+      RECT 1.100000 761.695000 1926.480000 762.595000 ;
+      RECT 0.000000 728.900000 1926.480000 761.695000 ;
+      RECT 0.000000 728.000000 1925.380000 728.900000 ;
+      RECT 0.000000 715.075000 1926.480000 728.000000 ;
+      RECT 1.100000 714.175000 1926.480000 715.075000 ;
+      RECT 0.000000 680.225000 1926.480000 714.175000 ;
+      RECT 0.000000 679.325000 1925.380000 680.225000 ;
+      RECT 0.000000 667.460000 1926.480000 679.325000 ;
+      RECT 1.100000 666.560000 1926.480000 667.460000 ;
+      RECT 0.000000 631.650000 1926.480000 666.560000 ;
+      RECT 0.000000 630.750000 1925.380000 631.650000 ;
+      RECT 0.000000 619.745000 1926.480000 630.750000 ;
+      RECT 1.100000 618.845000 1926.480000 619.745000 ;
+      RECT 0.000000 583.075000 1926.480000 618.845000 ;
+      RECT 0.000000 582.175000 1925.380000 583.075000 ;
+      RECT 0.000000 572.130000 1926.480000 582.175000 ;
+      RECT 1.100000 571.230000 1926.480000 572.130000 ;
+      RECT 0.000000 534.500000 1926.480000 571.230000 ;
+      RECT 0.000000 533.600000 1925.380000 534.500000 ;
+      RECT 0.000000 524.420000 1926.480000 533.600000 ;
+      RECT 1.100000 523.520000 1926.480000 524.420000 ;
+      RECT 0.000000 485.825000 1926.480000 523.520000 ;
+      RECT 0.000000 484.925000 1925.380000 485.825000 ;
+      RECT 0.000000 476.610000 1926.480000 484.925000 ;
+      RECT 1.100000 475.710000 1926.480000 476.610000 ;
+      RECT 0.000000 437.345000 1926.480000 475.710000 ;
+      RECT 0.000000 436.445000 1925.380000 437.345000 ;
+      RECT 0.000000 429.090000 1926.480000 436.445000 ;
+      RECT 1.100000 428.190000 1926.480000 429.090000 ;
+      RECT 0.000000 388.675000 1926.480000 428.190000 ;
+      RECT 0.000000 387.775000 1925.380000 388.675000 ;
+      RECT 0.000000 381.380000 1926.480000 387.775000 ;
+      RECT 1.100000 380.480000 1926.480000 381.380000 ;
+      RECT 0.000000 340.100000 1926.480000 380.480000 ;
+      RECT 0.000000 339.200000 1925.380000 340.100000 ;
+      RECT 0.000000 333.760000 1926.480000 339.200000 ;
+      RECT 1.100000 332.860000 1926.480000 333.760000 ;
+      RECT 0.000000 291.715000 1926.480000 332.860000 ;
+      RECT 0.000000 290.815000 1925.380000 291.715000 ;
+      RECT 0.000000 286.050000 1926.480000 290.815000 ;
+      RECT 1.100000 285.150000 1926.480000 286.050000 ;
+      RECT 0.000000 242.945000 1926.480000 285.150000 ;
+      RECT 0.000000 242.045000 1925.380000 242.945000 ;
+      RECT 0.000000 238.435000 1926.480000 242.045000 ;
+      RECT 1.100000 237.535000 1926.480000 238.435000 ;
+      RECT 0.000000 194.465000 1926.480000 237.535000 ;
+      RECT 0.000000 193.565000 1925.380000 194.465000 ;
+      RECT 0.000000 190.720000 1926.480000 193.565000 ;
+      RECT 1.100000 189.820000 1926.480000 190.720000 ;
+      RECT 0.000000 145.985000 1926.480000 189.820000 ;
+      RECT 0.000000 145.085000 1925.380000 145.985000 ;
+      RECT 0.000000 143.105000 1926.480000 145.085000 ;
+      RECT 1.100000 142.205000 1926.480000 143.105000 ;
+      RECT 0.000000 97.315000 1926.480000 142.205000 ;
+      RECT 0.000000 96.415000 1925.380000 97.315000 ;
+      RECT 0.000000 95.490000 1926.480000 96.415000 ;
+      RECT 1.100000 94.590000 1926.480000 95.490000 ;
+      RECT 0.000000 48.545000 1926.480000 94.590000 ;
+      RECT 0.000000 47.780000 1925.380000 48.545000 ;
+      RECT 1.100000 47.645000 1925.380000 47.780000 ;
+      RECT 1.100000 46.880000 1926.480000 47.645000 ;
+      RECT 0.000000 2.465000 1926.480000 46.880000 ;
+      RECT 0.000000 1.565000 1925.380000 2.465000 ;
+      RECT 0.000000 1.220000 1926.480000 1.565000 ;
+      RECT 1.100000 0.320000 1926.480000 1.220000 ;
+      RECT 0.000000 0.000000 1926.480000 0.320000 ;
     LAYER met4 ;
-      RECT 0.000000 2281.700000 2369.460000 2290.240000 ;
-      RECT 12.380000 2275.900000 2357.080000 2281.700000 ;
-      RECT 2356.080000 13.660000 2357.080000 2275.900000 ;
-      RECT 18.180000 13.660000 2351.280000 2275.900000 ;
-      RECT 12.380000 13.660000 13.380000 2275.900000 ;
-      RECT 2361.880000 7.860000 2369.460000 2281.700000 ;
-      RECT 12.380000 7.860000 2357.080000 13.660000 ;
-      RECT 0.000000 7.860000 7.580000 2281.700000 ;
-      RECT 0.000000 0.000000 2369.460000 7.860000 ;
+      RECT 0.000000 0.000000 1926.480000 2595.220000 ;
   END
 END rest_top
 
diff --git a/lef/user_project_wrapper.lef b/lef/user_project_wrapper.lef
index 380355b..a7ee49d 100644
--- a/lef/user_project_wrapper.lef
+++ b/lef/user_project_wrapper.lef
@@ -4392,18 +4392,6 @@
     END
     PORT
       LAYER met4 ;
-        RECT 2348.970 -9.470 2352.070 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2528.970 -9.470 2532.070 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2708.970 -9.470 2712.070 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
         RECT -10.030 -4.670 -6.930 3524.350 ;
     END
     PORT
@@ -4420,59 +4408,59 @@
     END
     PORT
       LAYER met4 ;
-        RECT 368.970 2600.240 372.070 3529.150 ;
+        RECT 368.970 2905.220 372.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 548.970 2600.240 552.070 3529.150 ;
+        RECT 548.970 2905.220 552.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 728.970 2600.240 732.070 3529.150 ;
+        RECT 728.970 2905.220 732.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 908.970 2600.240 912.070 3529.150 ;
+        RECT 908.970 2905.220 912.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1088.970 2600.240 1092.070 3529.150 ;
+        RECT 1088.970 2905.220 1092.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1268.970 2600.240 1272.070 3529.150 ;
+        RECT 1268.970 2905.220 1272.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1448.970 2600.240 1452.070 3529.150 ;
+        RECT 1448.970 2905.220 1452.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1628.970 2600.240 1632.070 3529.150 ;
+        RECT 1628.970 2905.220 1632.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1808.970 2600.240 1812.070 3529.150 ;
+        RECT 1808.970 2905.220 1812.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1988.970 2600.240 1992.070 3529.150 ;
+        RECT 1988.970 2905.220 1992.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2168.970 2600.240 2172.070 3529.150 ;
+        RECT 2168.970 2905.220 2172.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2348.970 2600.240 2352.070 3529.150 ;
+        RECT 2348.970 -9.470 2352.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2528.970 2600.240 2532.070 3529.150 ;
+        RECT 2528.970 -9.470 2532.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2708.970 2600.240 2712.070 3529.150 ;
+        RECT 2708.970 -9.470 2712.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
@@ -4616,18 +4604,6 @@
     END
     PORT
       LAYER met4 ;
-        RECT 2367.570 -19.070 2370.670 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2547.570 -19.070 2550.670 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2727.570 -19.070 2730.670 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
         RECT -19.630 -14.270 -16.530 3533.950 ;
     END
     PORT
@@ -4644,59 +4620,59 @@
     END
     PORT
       LAYER met4 ;
-        RECT 387.570 2600.240 390.670 3538.750 ;
+        RECT 387.570 2905.220 390.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 567.570 2600.240 570.670 3538.750 ;
+        RECT 567.570 2905.220 570.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 747.570 2600.240 750.670 3538.750 ;
+        RECT 747.570 2905.220 750.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 927.570 2600.240 930.670 3538.750 ;
+        RECT 927.570 2905.220 930.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1107.570 2600.240 1110.670 3538.750 ;
+        RECT 1107.570 2905.220 1110.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1287.570 2600.240 1290.670 3538.750 ;
+        RECT 1287.570 2905.220 1290.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1467.570 2600.240 1470.670 3538.750 ;
+        RECT 1467.570 2905.220 1470.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1647.570 2600.240 1650.670 3538.750 ;
+        RECT 1647.570 2905.220 1650.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1827.570 2600.240 1830.670 3538.750 ;
+        RECT 1827.570 2905.220 1830.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2007.570 2600.240 2010.670 3538.750 ;
+        RECT 2007.570 2905.220 2010.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2187.570 2600.240 2190.670 3538.750 ;
+        RECT 2187.570 2905.220 2190.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2367.570 2600.240 2370.670 3538.750 ;
+        RECT 2367.570 -19.070 2370.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2547.570 2600.240 2550.670 3538.750 ;
+        RECT 2547.570 -19.070 2550.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2727.570 2600.240 2730.670 3538.750 ;
+        RECT 2727.570 -19.070 2730.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
@@ -4840,14 +4816,6 @@
     END
     PORT
       LAYER met4 ;
-        RECT 2386.170 -28.670 2389.270 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2566.170 -28.670 2569.270 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
         RECT -29.230 -23.870 -26.130 3543.550 ;
     END
     PORT
@@ -4864,55 +4832,55 @@
     END
     PORT
       LAYER met4 ;
-        RECT 406.170 2600.240 409.270 3548.350 ;
+        RECT 406.170 2905.220 409.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 586.170 2600.240 589.270 3548.350 ;
+        RECT 586.170 2905.220 589.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 766.170 2600.240 769.270 3548.350 ;
+        RECT 766.170 2905.220 769.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 946.170 2600.240 949.270 3548.350 ;
+        RECT 946.170 2905.220 949.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1126.170 2600.240 1129.270 3548.350 ;
+        RECT 1126.170 2905.220 1129.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1306.170 2600.240 1309.270 3548.350 ;
+        RECT 1306.170 2905.220 1309.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1486.170 2600.240 1489.270 3548.350 ;
+        RECT 1486.170 2905.220 1489.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1666.170 2600.240 1669.270 3548.350 ;
+        RECT 1666.170 2905.220 1669.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1846.170 2600.240 1849.270 3548.350 ;
+        RECT 1846.170 2905.220 1849.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2026.170 2600.240 2029.270 3548.350 ;
+        RECT 2026.170 2905.220 2029.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2206.170 2600.240 2209.270 3548.350 ;
+        RECT 2206.170 2905.220 2209.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2386.170 2600.240 2389.270 3548.350 ;
+        RECT 2386.170 -28.670 2389.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2566.170 2600.240 2569.270 3548.350 ;
+        RECT 2566.170 -28.670 2569.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
@@ -5056,14 +5024,6 @@
     END
     PORT
       LAYER met4 ;
-        RECT 2404.770 -38.270 2407.870 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2584.770 -38.270 2587.870 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
         RECT -38.830 -33.470 -35.730 3553.150 ;
     END
     PORT
@@ -5080,55 +5040,55 @@
     END
     PORT
       LAYER met4 ;
-        RECT 424.770 2600.240 427.870 3557.950 ;
+        RECT 424.770 2905.220 427.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 604.770 2600.240 607.870 3557.950 ;
+        RECT 604.770 2905.220 607.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 784.770 2600.240 787.870 3557.950 ;
+        RECT 784.770 2905.220 787.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 964.770 2600.240 967.870 3557.950 ;
+        RECT 964.770 2905.220 967.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1144.770 2600.240 1147.870 3557.950 ;
+        RECT 1144.770 2905.220 1147.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1324.770 2600.240 1327.870 3557.950 ;
+        RECT 1324.770 2905.220 1327.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1504.770 2600.240 1507.870 3557.950 ;
+        RECT 1504.770 2905.220 1507.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1684.770 2600.240 1687.870 3557.950 ;
+        RECT 1684.770 2905.220 1687.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1864.770 2600.240 1867.870 3557.950 ;
+        RECT 1864.770 2905.220 1867.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2044.770 2600.240 2047.870 3557.950 ;
+        RECT 2044.770 2905.220 2047.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2224.770 2600.240 2227.870 3557.950 ;
+        RECT 2224.770 2905.220 2227.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2404.770 2600.240 2407.870 3557.950 ;
+        RECT 2404.770 -38.270 2407.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2584.770 2600.240 2587.870 3557.950 ;
+        RECT 2584.770 -38.270 2587.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
@@ -5268,14 +5228,6 @@
     END
     PORT
       LAYER met4 ;
-        RECT 2476.170 -28.670 2479.270 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2656.170 -28.670 2659.270 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
         RECT -34.030 -28.670 -30.930 3548.350 ;
     END
     PORT
@@ -5288,55 +5240,55 @@
     END
     PORT
       LAYER met4 ;
-        RECT 496.170 2600.240 499.270 3548.350 ;
+        RECT 496.170 2905.220 499.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 676.170 2600.240 679.270 3548.350 ;
+        RECT 676.170 2905.220 679.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 856.170 2600.240 859.270 3548.350 ;
+        RECT 856.170 2905.220 859.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1036.170 2600.240 1039.270 3548.350 ;
+        RECT 1036.170 2905.220 1039.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1216.170 2600.240 1219.270 3548.350 ;
+        RECT 1216.170 2905.220 1219.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1396.170 2600.240 1399.270 3548.350 ;
+        RECT 1396.170 2905.220 1399.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1576.170 2600.240 1579.270 3548.350 ;
+        RECT 1576.170 2905.220 1579.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1756.170 2600.240 1759.270 3548.350 ;
+        RECT 1756.170 2905.220 1759.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1936.170 2600.240 1939.270 3548.350 ;
+        RECT 1936.170 2905.220 1939.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2116.170 2600.240 2119.270 3548.350 ;
+        RECT 2116.170 2905.220 2119.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2296.170 2600.240 2299.270 3548.350 ;
+        RECT 2296.170 2905.220 2299.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2476.170 2600.240 2479.270 3548.350 ;
+        RECT 2476.170 -28.670 2479.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2656.170 2600.240 2659.270 3548.350 ;
+        RECT 2656.170 -28.670 2659.270 3548.350 ;
     END
     PORT
       LAYER met4 ;
@@ -5476,18 +5428,6 @@
     END
     PORT
       LAYER met4 ;
-        RECT 2314.770 -38.270 2317.870 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2494.770 -38.270 2497.870 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2674.770 -38.270 2677.870 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
         RECT -43.630 -38.270 -40.530 3557.950 ;
     END
     PORT
@@ -5500,55 +5440,55 @@
     END
     PORT
       LAYER met4 ;
-        RECT 514.770 2600.240 517.870 3557.950 ;
+        RECT 514.770 2905.220 517.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 694.770 2600.240 697.870 3557.950 ;
+        RECT 694.770 2905.220 697.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 874.770 2600.240 877.870 3557.950 ;
+        RECT 874.770 2905.220 877.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1054.770 2600.240 1057.870 3557.950 ;
+        RECT 1054.770 2905.220 1057.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1234.770 2600.240 1237.870 3557.950 ;
+        RECT 1234.770 2905.220 1237.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1414.770 2600.240 1417.870 3557.950 ;
+        RECT 1414.770 2905.220 1417.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1594.770 2600.240 1597.870 3557.950 ;
+        RECT 1594.770 2905.220 1597.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1774.770 2600.240 1777.870 3557.950 ;
+        RECT 1774.770 2905.220 1777.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1954.770 2600.240 1957.870 3557.950 ;
+        RECT 1954.770 2905.220 1957.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2134.770 2600.240 2137.870 3557.950 ;
+        RECT 2134.770 2905.220 2137.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2314.770 2600.240 2317.870 3557.950 ;
+        RECT 2314.770 -38.270 2317.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2494.770 2600.240 2497.870 3557.950 ;
+        RECT 2494.770 -38.270 2497.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2674.770 2600.240 2677.870 3557.950 ;
+        RECT 2674.770 -38.270 2677.870 3557.950 ;
     END
     PORT
       LAYER met4 ;
@@ -5692,14 +5632,6 @@
     END
     PORT
       LAYER met4 ;
-        RECT 2438.970 -9.470 2442.070 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2618.970 -9.470 2622.070 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
         RECT -14.830 -9.470 -11.730 3529.150 ;
     END
     PORT
@@ -5712,55 +5644,55 @@
     END
     PORT
       LAYER met4 ;
-        RECT 458.970 2600.240 462.070 3529.150 ;
+        RECT 458.970 2905.220 462.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 638.970 2600.240 642.070 3529.150 ;
+        RECT 638.970 2905.220 642.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 818.970 2600.240 822.070 3529.150 ;
+        RECT 818.970 2905.220 822.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 998.970 2600.240 1002.070 3529.150 ;
+        RECT 998.970 2905.220 1002.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1178.970 2600.240 1182.070 3529.150 ;
+        RECT 1178.970 2905.220 1182.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1358.970 2600.240 1362.070 3529.150 ;
+        RECT 1358.970 2905.220 1362.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1538.970 2600.240 1542.070 3529.150 ;
+        RECT 1538.970 2905.220 1542.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1718.970 2600.240 1722.070 3529.150 ;
+        RECT 1718.970 2905.220 1722.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1898.970 2600.240 1902.070 3529.150 ;
+        RECT 1898.970 2905.220 1902.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2078.970 2600.240 2082.070 3529.150 ;
+        RECT 2078.970 2905.220 2082.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2258.970 2600.240 2262.070 3529.150 ;
+        RECT 2258.970 2905.220 2262.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2438.970 2600.240 2442.070 3529.150 ;
+        RECT 2438.970 -9.470 2442.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2618.970 2600.240 2622.070 3529.150 ;
+        RECT 2618.970 -9.470 2622.070 3529.150 ;
     END
     PORT
       LAYER met4 ;
@@ -5904,14 +5836,6 @@
     END
     PORT
       LAYER met4 ;
-        RECT 2457.570 -19.070 2460.670 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2637.570 -19.070 2640.670 290.000 ;
-    END
-    PORT
-      LAYER met4 ;
         RECT -24.430 -19.070 -21.330 3538.750 ;
     END
     PORT
@@ -5924,55 +5848,55 @@
     END
     PORT
       LAYER met4 ;
-        RECT 477.570 2600.240 480.670 3538.750 ;
+        RECT 477.570 2905.220 480.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 657.570 2600.240 660.670 3538.750 ;
+        RECT 657.570 2905.220 660.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 837.570 2600.240 840.670 3538.750 ;
+        RECT 837.570 2905.220 840.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1017.570 2600.240 1020.670 3538.750 ;
+        RECT 1017.570 2905.220 1020.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1197.570 2600.240 1200.670 3538.750 ;
+        RECT 1197.570 2905.220 1200.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1377.570 2600.240 1380.670 3538.750 ;
+        RECT 1377.570 2905.220 1380.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1557.570 2600.240 1560.670 3538.750 ;
+        RECT 1557.570 2905.220 1560.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1737.570 2600.240 1740.670 3538.750 ;
+        RECT 1737.570 2905.220 1740.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1917.570 2600.240 1920.670 3538.750 ;
+        RECT 1917.570 2905.220 1920.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2097.570 2600.240 2100.670 3538.750 ;
+        RECT 2097.570 2905.220 2100.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2277.570 2600.240 2280.670 3538.750 ;
+        RECT 2277.570 2905.220 2280.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2457.570 2600.240 2460.670 3538.750 ;
+        RECT 2457.570 -19.070 2460.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2637.570 2600.240 2640.670 3538.750 ;
+        RECT 2637.570 -19.070 2640.670 3538.750 ;
     END
     PORT
       LAYER met4 ;
@@ -6833,9 +6757,9 @@
   END wbs_we_i
   OBS
       LAYER li1 ;
-        RECT 172.645 15.045 2912.115 2590.240 ;
+        RECT 335.485 14.025 2291.115 2895.220 ;
       LAYER met1 ;
-        RECT 2.830 13.700 2917.250 3502.300 ;
+        RECT 2.830 13.980 2911.270 3504.000 ;
       LAYER met2 ;
         RECT 2.860 3517.320 40.150 3518.050 ;
         RECT 41.270 3517.320 121.110 3518.050 ;
@@ -6873,8 +6797,8 @@
         RECT 2636.590 3517.320 2716.890 3518.050 ;
         RECT 2718.010 3517.320 2797.850 3518.050 ;
         RECT 2798.970 3517.320 2878.810 3518.050 ;
-        RECT 2879.930 3517.320 2917.220 3518.050 ;
-        RECT 2.860 2.680 2917.220 3517.320 ;
+        RECT 2879.930 3517.320 2917.230 3518.050 ;
+        RECT 2.860 2.680 2917.230 3517.320 ;
         RECT 3.550 2.310 7.950 2.680 ;
         RECT 9.070 2.310 13.930 2.680 ;
         RECT 15.050 2.310 19.910 2.680 ;
@@ -7583,7 +7507,7 @@
         RECT 2.800 31.300 2917.600 31.980 ;
         RECT 2.400 16.495 2917.600 31.300 ;
       LAYER met4 ;
-        RECT 360.000 300.000 2729.460 2590.240 ;
+        RECT 360.000 300.000 2286.480 2895.220 ;
   END
 END user_project_wrapper
 END LIBRARY
diff --git a/verilog/gl/rest_top.v b/verilog/gl/rest_top.v
index 79f0a34..13be5d8 100644
--- a/verilog/gl/rest_top.v
+++ b/verilog/gl/rest_top.v
@@ -2,11 +2,14 @@
 ###############################################################
 #  Generated by:      Cadence Innovus 20.10-p004_1
 #  OS:                Linux x86_64(Host ID merl-HP-Z840)
-#  Generated on:      Mon Dec 27 23:41:00 2021
+#  Generated on:      Tue Dec 28 03:50:07 2021
 #  Design:            rest_top
-#  Command:           write_netlist ~/Documents/rest_top.v -include_pg_ports -export_top_pg_nets -exclude_leaf_cells
+#  Command:           write_netlist rest_top_p.v -exclude_leaf_cells -include_pg_ports -export_top_pg_nets
 ###############################################################
 */
+// Generated by Cadence Genus(TM) Synthesis Solution 20.11-s111_1
+// Generated on: Dec 26 2021 17:08:14 PKT (Dec 26 2021 12:08:14 UTC)
+// Verification Directory fv/rest_top 
 module iccm_controller (
 	rst_ni, 
 	prog_i, 
@@ -16,7 +19,11 @@
 	addr_o, 
 	wdata_o, 
 	reset_o, 
-	FE_OFN21_n_470, 
+	FE_OFN18_n_470, 
+	FE_OFN17_n_470, 
+	clk_i_clone5, 
+	clk_i_clone4, 
+	clk_i_clone3, 
 	clk_i_clone2, 
 	clk_i_clone1, 
 	clk_i, 
@@ -30,7 +37,11 @@
    output [11:0] addr_o;
    output [31:0] wdata_o;
    output reset_o;
-   input FE_OFN21_n_470;
+   input FE_OFN18_n_470;
+   input FE_OFN17_n_470;
+   input clk_i_clone5;
+   input clk_i_clone4;
+   input clk_i_clone3;
    input clk_i_clone2;
    input clk_i_clone1;
    input clk_i;
@@ -38,7 +49,23 @@
    inout vssd1;
 
    // Internal wires
-   wire FE_OFN161_io_in_8;
+   wire FE_PDN4055_FE_OFN167_io_in_8;
+   wire FE_PDN3785_u_soc_rx_byte_i_4;
+   wire FE_PDN3757_u_soc_rx_byte_i_6;
+   wire FE_PDN3754_u_soc_rx_byte_i_7;
+   wire FE_PDN3687_FE_OFN1809_n_160;
+   wire FE_OFN18988_u_soc_rx_byte_i_2;
+   wire FE_OFN1809_n_160;
+   wire FE_OFN1669_n_179;
+   wire FE_OFN1668_n_77;
+   wire FE_OFN1667_n_67;
+   wire FE_OFN1297_n_121;
+   wire FE_OFN1296_n_50;
+   wire FE_OFN1285_u_soc_rx_dv_i;
+   wire FE_OFN515_u_soc_rx_byte_i_3;
+   wire FE_OFN514_u_soc_rx_byte_i_5;
+   wire FE_OFN168_io_in_8;
+   wire FE_OFN167_io_in_8;
    wire [1:0] byte_count;
    wire [1:0] ctrl_fsm_cs;
    wire n_0;
@@ -202,117 +229,241 @@
    wire n_202;
 
    // Module instantiations
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC161_io_in_8 (
+   sky130_fd_sc_hd__diode_2 DIODE_198 (
+	.DIODE(FE_OFN167_io_in_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4055_FE_OFN167_io_in_8 (
+	.A(FE_OFN167_io_in_8),
+	.X(FE_PDN4055_FE_OFN167_io_in_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3785_u_soc_rx_byte_i_4 (
+	.A(rx_byte_i[4]),
+	.X(FE_PDN3785_u_soc_rx_byte_i_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3757_u_soc_rx_byte_i_6 (
+	.A(rx_byte_i[6]),
+	.X(FE_PDN3757_u_soc_rx_byte_i_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3754_u_soc_rx_byte_i_7 (
+	.A(rx_byte_i[7]),
+	.X(FE_PDN3754_u_soc_rx_byte_i_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3687_FE_OFN1809_n_160 (
+	.A(FE_OFN1809_n_160),
+	.X(FE_PDN3687_FE_OFN1809_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_180 (
+	.DIODE(rx_byte_i[0]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3470_u_soc_rx_byte_i_2 (
+	.A(rx_byte_i[2]),
+	.X(FE_OFN18988_u_soc_rx_byte_i_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1809_n_160 (
+	.A(n_160),
+	.X(FE_OFN1809_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1669_n_179 (
+	.A(n_179),
+	.X(FE_OFN1669_n_179), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1668_n_77 (
+	.A(n_77),
+	.X(FE_OFN1668_n_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1667_n_67 (
+	.A(n_67),
+	.X(FE_OFN1667_n_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1297_n_121 (
+	.A(n_121),
+	.X(FE_OFN1297_n_121), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1296_n_50 (
+	.A(n_50),
+	.X(FE_OFN1296_n_50), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1285_u_soc_rx_dv_i (
+	.A(rx_dv_i),
+	.X(FE_OFN1285_u_soc_rx_dv_i), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC515_u_soc_rx_byte_i_3 (
+	.A(rx_byte_i[3]),
+	.X(FE_OFN515_u_soc_rx_byte_i_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC514_u_soc_rx_byte_i_5 (
+	.A(rx_byte_i[5]),
+	.X(FE_OFN514_u_soc_rx_byte_i_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC168_io_in_8 (
 	.A(prog_i),
-	.X(FE_OFN161_io_in_8), 
+	.X(FE_OFN168_io_in_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC167_io_in_8 (
+	.A(prog_i),
+	.X(FE_OFN167_io_in_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[0]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i_clone3),
 	.D(n_154),
 	.Q(addr_o[0]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[1]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i_clone3),
 	.D(n_162),
 	.Q(addr_o[1]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[2]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i_clone3),
 	.D(n_170),
 	.Q(addr_o[2]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[3]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i_clone3),
 	.D(n_175),
 	.Q(addr_o[3]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[4]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i_clone3),
 	.D(n_180),
 	.Q(addr_o[4]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[5]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i_clone3),
 	.D(n_186),
 	.Q(addr_o[5]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[6]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i_clone3),
 	.D(n_192),
 	.Q(addr_o[6]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[7]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i_clone3),
 	.D(n_197),
 	.Q(addr_o[7]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[8]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i_clone3),
 	.D(n_200),
 	.Q(addr_o[8]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \addr_q_reg[9]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i_clone3),
 	.D(n_202),
 	.Q(addr_o[9]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \byte_count_reg[1]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone1),
 	.D(n_119),
 	.Q(byte_count[1]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfstp_2 reset_q_reg (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_191),
 	.Q(reset_o),
-	.SET_B(rst_ni), 
+	.SET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -321,7 +472,7 @@
 	.CLK(clk_i),
 	.D(n_131),
 	.Q(wdata_o[24]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -330,7 +481,7 @@
 	.CLK(clk_i),
 	.D(n_130),
 	.Q(wdata_o[25]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -339,7 +490,7 @@
 	.CLK(clk_i),
 	.D(n_128),
 	.Q(wdata_o[26]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -348,7 +499,7 @@
 	.CLK(clk_i),
 	.D(n_127),
 	.Q(wdata_o[27]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -357,7 +508,7 @@
 	.CLK(clk_i),
 	.D(n_126),
 	.Q(wdata_o[28]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -366,7 +517,7 @@
 	.CLK(clk_i),
 	.D(n_124),
 	.Q(wdata_o[29]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -375,61 +526,61 @@
 	.CLK(clk_i),
 	.D(n_123),
 	.Q(wdata_o[30]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q0_reg[7]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone1),
 	.D(n_122),
 	.Q(wdata_o[31]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[0]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone1),
 	.D(n_138),
 	.Q(wdata_o[16]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[1]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone1),
 	.D(n_152),
 	.Q(wdata_o[17]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[2]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone1),
 	.D(n_150),
 	.Q(wdata_o[18]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[3]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone1),
 	.D(n_149),
 	.Q(wdata_o[19]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[4]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone1),
 	.D(n_148),
 	.Q(wdata_o[20]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -438,185 +589,185 @@
 	.CLK(clk_i),
 	.D(n_147),
 	.Q(wdata_o[21]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[6]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone1),
 	.D(n_146),
 	.Q(wdata_o[22]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q1_reg[7]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone1),
 	.D(n_145),
 	.Q(wdata_o[23]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[0]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone4),
 	.D(n_144),
 	.Q(wdata_o[8]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[1]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone4),
 	.D(n_143),
 	.Q(wdata_o[9]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[2]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone4),
 	.D(n_142),
 	.Q(wdata_o[10]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[3]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone4),
 	.D(n_133),
 	.Q(wdata_o[11]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[4]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone4),
 	.D(n_141),
 	.Q(wdata_o[12]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[5]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone4),
 	.D(n_140),
 	.Q(wdata_o[13]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[6]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone4),
 	.D(n_139),
 	.Q(wdata_o[14]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q2_reg[7]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone4),
 	.D(n_153),
 	.Q(wdata_o[15]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[0]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone4),
 	.D(n_137),
 	.Q(wdata_o[0]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[1]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone4),
 	.D(n_129),
 	.Q(wdata_o[1]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[2]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone4),
 	.D(n_136),
 	.Q(wdata_o[2]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[3]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone5),
 	.D(n_135),
 	.Q(wdata_o[3]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[4]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone4),
 	.D(n_125),
 	.Q(wdata_o[4]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[5]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone5),
 	.D(n_132),
 	.Q(wdata_o[5]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[6]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone4),
 	.D(n_134),
 	.Q(wdata_o[6]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \rx_byte_q3_reg[7]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone5),
 	.D(n_151),
 	.Q(wdata_o[7]),
-	.RESET_B(FE_OFN21_n_470), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 we_q_reg (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_168),
 	.Q(we_o),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5426__2398 (
 	.A(n_201),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_PDN4055_FE_OFN167_io_in_8),
 	.Y(n_202), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -635,7 +786,7 @@
    sky130_fd_sc_hd__a21oi_1 g5429__6260 (
 	.A1(n_198),
 	.A2(n_73),
-	.B1(FE_OFN161_io_in_8),
+	.B1(FE_PDN4055_FE_OFN167_io_in_8),
 	.Y(n_200), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -664,7 +815,7 @@
    sky130_fd_sc_hd__a21oi_1 g5433__5526 (
 	.A1(n_195),
 	.A2(n_72),
-	.B1(FE_OFN161_io_in_8),
+	.B1(FE_PDN4055_FE_OFN167_io_in_8),
 	.Y(n_197), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -698,7 +849,7 @@
    sky130_fd_sc_hd__a21oi_1 g5438__2802 (
 	.A1(n_190),
 	.A2(n_64),
-	.B1(FE_OFN161_io_in_8),
+	.B1(FE_PDN4055_FE_OFN167_io_in_8),
 	.Y(n_192), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -707,7 +858,7 @@
    sky130_fd_sc_hd__a21oi_1 g5441__1705 (
 	.A1(n_184),
 	.A2(n_55),
-	.B1(FE_OFN161_io_in_8),
+	.B1(FE_OFN167_io_in_8),
 	.Y(n_191), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -724,7 +875,7 @@
    sky130_fd_sc_hd__a21oi_1 g5444__8246 (
 	.A1(n_185),
 	.A2(n_57),
-	.B1(FE_OFN161_io_in_8),
+	.B1(FE_OFN167_io_in_8),
 	.Y(n_189), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -742,7 +893,7 @@
    sky130_fd_sc_hd__a21oi_1 g5446__6131 (
 	.A1(n_183),
 	.A2(n_62),
-	.B1(FE_OFN161_io_in_8),
+	.B1(FE_PDN4055_FE_OFN167_io_in_8),
 	.Y(n_186), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -750,7 +901,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g5447__1881 (
 	.A1(n_47),
-	.A2(n_179),
+	.A2(FE_OFN1669_n_179),
 	.B1(ctrl_fsm_cs[1]),
 	.Y(n_185), 
 	.VPWR(vccd1), 
@@ -758,7 +909,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g5448__5115 (
-	.A(n_179),
+	.A(FE_OFN1669_n_179),
 	.B(ctrl_fsm_cs[0]),
 	.C(ctrl_fsm_cs[1]),
 	.Y(n_184), 
@@ -786,7 +937,7 @@
    sky130_fd_sc_hd__a21oi_1 g5452__6161 (
 	.A1(n_178),
 	.A2(n_70),
-	.B1(FE_OFN161_io_in_8),
+	.B1(FE_PDN4055_FE_OFN167_io_in_8),
 	.Y(n_180), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -797,7 +948,7 @@
 	.A2(wdata_o[28]),
 	.A3(wdata_o[27]),
 	.A4(n_174),
-	.B1(rst_ni),
+	.B1(FE_OFN17_n_470),
 	.Y(n_179), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -823,7 +974,7 @@
    sky130_fd_sc_hd__a21oi_1 g5457__2346 (
 	.A1(n_173),
 	.A2(n_74),
-	.B1(FE_OFN161_io_in_8),
+	.B1(FE_PDN4055_FE_OFN167_io_in_8),
 	.Y(n_175), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -859,7 +1010,7 @@
    sky130_fd_sc_hd__a21oi_1 g5462__5477 (
 	.A1(n_167),
 	.A2(n_71),
-	.B1(FE_OFN161_io_in_8),
+	.B1(FE_PDN4055_FE_OFN167_io_in_8),
 	.Y(n_170), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -875,7 +1026,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5466__5107 (
 	.A(n_161),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN167_io_in_8),
 	.Y(n_168), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -901,8 +1052,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g5470__8428 (
 	.A(n_52),
-	.B(n_160),
-	.C(FE_OFN161_io_in_8),
+	.B(FE_PDN3687_FE_OFN1809_n_160),
+	.C(FE_OFN167_io_in_8),
 	.Y(n_165), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -920,7 +1071,7 @@
    sky130_fd_sc_hd__a21oi_1 g5472__6783 (
 	.A1(n_159),
 	.A2(n_75),
-	.B1(FE_OFN161_io_in_8),
+	.B1(FE_PDN4055_FE_OFN167_io_in_8),
 	.Y(n_162), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -929,7 +1080,7 @@
    sky130_fd_sc_hd__a21oi_1 g5473__3680 (
 	.A1(we_o),
 	.A2(ctrl_fsm_cs[0]),
-	.B1(n_160),
+	.B1(FE_PDN3687_FE_OFN1809_n_160),
 	.Y(n_161), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -957,7 +1108,7 @@
    sky130_fd_sc_hd__nand4b_1 g5477__1705 (
 	.A_N(n_117),
 	.B(n_155),
-	.C(n_121),
+	.C(FE_OFN1297_n_121),
 	.D(wdata_o[6]),
 	.Y(n_158), 
 	.VPWR(vccd1), 
@@ -976,7 +1127,7 @@
    sky130_fd_sc_hd__a21oi_1 g5496__8246 (
 	.A1(n_118),
 	.A2(n_63),
-	.B1(FE_OFN161_io_in_8),
+	.B1(FE_PDN4055_FE_OFN167_io_in_8),
 	.Y(n_154), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -993,7 +1144,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5513__6131 (
 	.A(n_87),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_153), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1001,7 +1152,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5514__1881 (
 	.A(n_97),
-	.B(FE_OFN161_io_in_8),
+	.B(prog_i),
 	.Y(n_152), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1009,7 +1160,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5515__5115 (
 	.A(n_100),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_151), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1017,7 +1168,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5516__7482 (
 	.A(n_96),
-	.B(FE_OFN161_io_in_8),
+	.B(prog_i),
 	.Y(n_150), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1025,7 +1176,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5517__4733 (
 	.A(n_95),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN167_io_in_8),
 	.Y(n_149), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1033,7 +1184,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5518__6161 (
 	.A(n_94),
-	.B(FE_OFN161_io_in_8),
+	.B(prog_i),
 	.Y(n_148), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1041,7 +1192,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5519__9315 (
 	.A(n_102),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN167_io_in_8),
 	.Y(n_147), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1049,7 +1200,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5520__9945 (
 	.A(n_92),
-	.B(FE_OFN161_io_in_8),
+	.B(prog_i),
 	.Y(n_146), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1057,7 +1208,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5521__2883 (
 	.A(n_99),
-	.B(FE_OFN161_io_in_8),
+	.B(prog_i),
 	.Y(n_145), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1065,7 +1216,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5522__2346 (
 	.A(n_91),
-	.B(prog_i),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_144), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1073,7 +1224,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5523__1666 (
 	.A(n_90),
-	.B(prog_i),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_143), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1081,7 +1232,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5524__7410 (
 	.A(n_89),
-	.B(prog_i),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_142), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1089,7 +1240,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5525__6417 (
 	.A(n_85),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_141), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1097,7 +1248,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5526__5477 (
 	.A(n_88),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_140), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1105,7 +1256,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5527__2398 (
 	.A(n_84),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_139), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1113,7 +1264,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5528__5107 (
 	.A(n_98),
-	.B(FE_OFN161_io_in_8),
+	.B(prog_i),
 	.Y(n_138), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1121,7 +1272,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5530__6260 (
 	.A(n_93),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_137), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1129,7 +1280,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5531__4319 (
 	.A(n_105),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_136), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1137,7 +1288,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5532__8428 (
 	.A(n_104),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_135), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1145,7 +1296,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5533__5526 (
 	.A(n_106),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_134), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1153,7 +1304,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5534__6783 (
 	.A(n_86),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_133), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1161,7 +1312,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5535__3680 (
 	.A(n_103),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_132), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1169,7 +1320,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5536__1617 (
 	.A(n_113),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN167_io_in_8),
 	.Y(n_131), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1177,7 +1328,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5537__2802 (
 	.A(n_112),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN167_io_in_8),
 	.Y(n_130), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1185,7 +1336,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5538__1705 (
 	.A(n_111),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_129), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1193,7 +1344,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5539__5122 (
 	.A(n_120),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN167_io_in_8),
 	.Y(n_128), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1201,7 +1352,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5540__8246 (
 	.A(n_110),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN167_io_in_8),
 	.Y(n_127), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1209,7 +1360,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5541__7098 (
 	.A(n_109),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN167_io_in_8),
 	.Y(n_126), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1217,7 +1368,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5542__6131 (
 	.A(n_101),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN168_io_in_8),
 	.Y(n_125), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1225,7 +1376,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5543__1881 (
 	.A(n_108),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN167_io_in_8),
 	.Y(n_124), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1233,7 +1384,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5544__5115 (
 	.A(n_107),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN167_io_in_8),
 	.Y(n_123), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1241,7 +1392,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5545__7482 (
 	.A(n_114),
-	.B(FE_OFN161_io_in_8),
+	.B(FE_OFN167_io_in_8),
 	.Y(n_122), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1259,7 +1410,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5547__6161 (
 	.A1(n_69),
-	.A2(rx_byte_i[2]),
+	.A2(FE_OFN18988_u_soc_rx_byte_i_2),
 	.B1(n_68),
 	.B2(wdata_o[26]),
 	.Y(n_120), 
@@ -1269,7 +1420,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5548__9315 (
 	.A(n_80),
-	.B(FE_OFN161_io_in_8),
+	.B(prog_i),
 	.Y(n_119), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1314,7 +1465,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5553__7410 (
 	.A1(n_69),
-	.A2(rx_byte_i[7]),
+	.A2(FE_PDN3754_u_soc_rx_byte_i_7),
 	.B1(n_68),
 	.B2(wdata_o[31]),
 	.Y(n_114), 
@@ -1343,7 +1494,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5556__2398 (
-	.A1(n_67),
+	.A1(FE_OFN1667_n_67),
 	.A2(rx_byte_i[1]),
 	.B1(n_66),
 	.B2(wdata_o[1]),
@@ -1354,7 +1505,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5557__5107 (
 	.A1(n_69),
-	.A2(rx_byte_i[3]),
+	.A2(FE_OFN515_u_soc_rx_byte_i_3),
 	.B1(n_68),
 	.B2(wdata_o[27]),
 	.Y(n_110), 
@@ -1364,7 +1515,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5558__6260 (
 	.A1(n_69),
-	.A2(rx_byte_i[4]),
+	.A2(FE_PDN3785_u_soc_rx_byte_i_4),
 	.B1(n_68),
 	.B2(wdata_o[28]),
 	.Y(n_109), 
@@ -1374,7 +1525,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5559__4319 (
 	.A1(n_69),
-	.A2(rx_byte_i[5]),
+	.A2(FE_OFN514_u_soc_rx_byte_i_5),
 	.B1(n_68),
 	.B2(wdata_o[29]),
 	.Y(n_108), 
@@ -1384,7 +1535,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5560__8428 (
 	.A1(n_69),
-	.A2(rx_byte_i[6]),
+	.A2(FE_PDN3757_u_soc_rx_byte_i_6),
 	.B1(n_68),
 	.B2(wdata_o[30]),
 	.Y(n_107), 
@@ -1393,7 +1544,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5561__5526 (
-	.A1(n_67),
+	.A1(FE_OFN1667_n_67),
 	.A2(rx_byte_i[6]),
 	.B1(n_66),
 	.B2(wdata_o[6]),
@@ -1403,7 +1554,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5562__6783 (
-	.A1(n_67),
+	.A1(FE_OFN1667_n_67),
 	.A2(rx_byte_i[2]),
 	.B1(n_66),
 	.B2(wdata_o[2]),
@@ -1413,7 +1564,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5563__3680 (
-	.A1(n_67),
+	.A1(FE_OFN1667_n_67),
 	.A2(rx_byte_i[3]),
 	.B1(n_66),
 	.B2(wdata_o[3]),
@@ -1423,7 +1574,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5564__1617 (
-	.A1(n_67),
+	.A1(FE_OFN1667_n_67),
 	.A2(rx_byte_i[5]),
 	.B1(n_66),
 	.B2(wdata_o[5]),
@@ -1434,7 +1585,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5566__2802 (
 	.A1(n_78),
-	.A2(rx_byte_i[5]),
+	.A2(FE_OFN514_u_soc_rx_byte_i_5),
 	.B1(n_79),
 	.B2(wdata_o[21]),
 	.Y(n_102), 
@@ -1443,7 +1594,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5567__1705 (
-	.A1(n_67),
+	.A1(FE_OFN1667_n_67),
 	.A2(rx_byte_i[4]),
 	.B1(n_66),
 	.B2(wdata_o[4]),
@@ -1453,7 +1604,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5568__5122 (
-	.A1(n_67),
+	.A1(FE_OFN1667_n_67),
 	.A2(rx_byte_i[7]),
 	.B1(n_66),
 	.B2(wdata_o[7]),
@@ -1464,7 +1615,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5569__8246 (
 	.A1(n_78),
-	.A2(rx_byte_i[7]),
+	.A2(FE_PDN3754_u_soc_rx_byte_i_7),
 	.B1(n_79),
 	.B2(wdata_o[23]),
 	.Y(n_99), 
@@ -1494,7 +1645,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5572__1881 (
 	.A1(n_78),
-	.A2(rx_byte_i[2]),
+	.A2(FE_OFN18988_u_soc_rx_byte_i_2),
 	.B1(n_79),
 	.B2(wdata_o[18]),
 	.Y(n_96), 
@@ -1504,7 +1655,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5573__5115 (
 	.A1(n_78),
-	.A2(rx_byte_i[3]),
+	.A2(FE_OFN515_u_soc_rx_byte_i_3),
 	.B1(n_79),
 	.B2(wdata_o[19]),
 	.Y(n_95), 
@@ -1514,7 +1665,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5574__7482 (
 	.A1(n_78),
-	.A2(rx_byte_i[4]),
+	.A2(FE_PDN3785_u_soc_rx_byte_i_4),
 	.B1(n_79),
 	.B2(wdata_o[20]),
 	.Y(n_94), 
@@ -1523,7 +1674,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5575__4733 (
-	.A1(n_67),
+	.A1(FE_OFN1667_n_67),
 	.A2(rx_byte_i[0]),
 	.B1(n_66),
 	.B2(wdata_o[0]),
@@ -1534,7 +1685,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5576__6161 (
 	.A1(n_78),
-	.A2(rx_byte_i[6]),
+	.A2(FE_PDN3757_u_soc_rx_byte_i_6),
 	.B1(n_79),
 	.B2(wdata_o[22]),
 	.Y(n_92), 
@@ -1543,7 +1694,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5577__9315 (
-	.A1(n_77),
+	.A1(FE_OFN1668_n_77),
 	.A2(rx_byte_i[0]),
 	.B1(n_76),
 	.B2(wdata_o[8]),
@@ -1553,7 +1704,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5578__9945 (
-	.A1(n_77),
+	.A1(FE_OFN1668_n_77),
 	.A2(rx_byte_i[1]),
 	.B1(n_76),
 	.B2(wdata_o[9]),
@@ -1563,7 +1714,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5579__2883 (
-	.A1(n_77),
+	.A1(FE_OFN1668_n_77),
 	.A2(rx_byte_i[2]),
 	.B1(n_76),
 	.B2(wdata_o[10]),
@@ -1573,7 +1724,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5580__2346 (
-	.A1(n_77),
+	.A1(FE_OFN1668_n_77),
 	.A2(rx_byte_i[5]),
 	.B1(n_76),
 	.B2(wdata_o[13]),
@@ -1583,7 +1734,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5581__1666 (
-	.A1(n_77),
+	.A1(FE_OFN1668_n_77),
 	.A2(rx_byte_i[7]),
 	.B1(n_76),
 	.B2(wdata_o[15]),
@@ -1593,7 +1744,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5582__7410 (
-	.A1(n_77),
+	.A1(FE_OFN1668_n_77),
 	.A2(rx_byte_i[3]),
 	.B1(n_76),
 	.B2(wdata_o[11]),
@@ -1603,7 +1754,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5583__6417 (
-	.A1(n_77),
+	.A1(FE_OFN1668_n_77),
 	.A2(rx_byte_i[4]),
 	.B1(n_76),
 	.B2(wdata_o[12]),
@@ -1613,7 +1764,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g5584__5477 (
-	.A1(n_77),
+	.A1(FE_OFN1668_n_77),
 	.A2(rx_byte_i[6]),
 	.B1(n_76),
 	.B2(wdata_o[14]),
@@ -1633,7 +1784,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5586__5107 (
 	.A(n_65),
-	.B(FE_OFN161_io_in_8),
+	.B(prog_i),
 	.Y(n_81), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1657,7 +1808,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g5589 (
-	.A(n_77),
+	.A(FE_OFN1668_n_77),
 	.Y(n_76), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1735,7 +1886,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g5599 (
-	.A(n_67),
+	.A(FE_OFN1667_n_67),
 	.Y(n_66), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1826,7 +1977,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g5610__9315 (
-	.A(n_50),
+	.A(FE_OFN1296_n_50),
 	.B(byte_count[1]),
 	.Y(n_59), 
 	.VPWR(vccd1), 
@@ -1841,7 +1992,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5612__2883 (
+   sky130_fd_sc_hd__nor2_2 g5612__2883 (
 	.A(n_50),
 	.B(n_48),
 	.Y(n_57), 
@@ -1868,7 +2019,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g5615__7410 (
-	.A(rx_dv_i),
+	.A(FE_OFN1285_u_soc_rx_dv_i),
 	.B(ctrl_fsm_cs[0]),
 	.C(ctrl_fsm_cs[1]),
 	.Y(n_52), 
@@ -1885,7 +2036,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g5617 (
-	.A(n_50),
+	.A(FE_OFN1296_n_50),
 	.Y(n_49), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -1908,38 +2059,38 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g5620 (
-	.A(rx_dv_i),
+	.A(FE_OFN1285_u_soc_rx_dv_i),
 	.Y(n_47), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfsbp_1 \ctrl_fsm_cs_reg[0]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_165),
 	.Q(ctrl_fsm_cs[0]),
 	.Q_N(n_26),
-	.SET_B(rst_ni), 
+	.SET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfsbp_1 \ctrl_fsm_cs_reg[1]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_189),
 	.Q(ctrl_fsm_cs[1]),
 	.Q_N(n_1),
-	.SET_B(rst_ni), 
+	.SET_B(FE_OFN17_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \byte_count_reg[0]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone1),
 	.D(n_81),
 	.Q(byte_count[0]),
 	.Q_N(n_0),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN18_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -1954,19 +2105,18 @@
 	addr_i, 
 	wdata_i, 
 	rdata_o, 
-	FE_OFN10_io_out_37, 
-	FE_OFN9_io_out_37, 
-	FE_OFN8_io_out_37, 
+	FE_OFN5_io_out_37, 
 	FE_OFN4_io_out_37, 
 	FE_OFN3_io_out_37, 
-	FE_OFN2_io_out_37, 
-	clk_i_clone6, 
+	FE_OFN1_io_out_37, 
+	FE_OFN0_io_out_37, 
+	FE_OFN62_io_out_37, 
+	clk_i_clone1, 
 	clk_i_clone5, 
 	clk_i_clone4, 
 	clk_i_clone3, 
-	clk_i_clone2, 
-	clk_i_clone1, 
 	clk_i, 
+	clk_i_clone2, 
 	vccd1, 
 	vssd1);
    input rst_ni;
@@ -1976,121 +2126,165 @@
    input [27:0] addr_i;
    input [31:0] wdata_i;
    output [31:0] rdata_o;
-   input FE_OFN10_io_out_37;
-   input FE_OFN9_io_out_37;
-   input FE_OFN8_io_out_37;
+   input FE_OFN5_io_out_37;
    input FE_OFN4_io_out_37;
    input FE_OFN3_io_out_37;
-   input FE_OFN2_io_out_37;
-   input clk_i_clone6;
+   input FE_OFN1_io_out_37;
+   input FE_OFN0_io_out_37;
+   input FE_OFN62_io_out_37;
+   input clk_i_clone1;
    input clk_i_clone5;
    input clk_i_clone4;
    input clk_i_clone3;
-   input clk_i_clone2;
-   input clk_i_clone1;
    input clk_i;
+   input clk_i_clone2;
    inout vccd1;
    inout vssd1;
 
    // Internal wires
-   wire FE_USKN4674_CTS_17;
-   wire FE_PDN4049_addrn_4;
-   wire FE_PDN4045_FE_OFN1173_addrn_11;
-   wire FE_PDN4033_FE_OFN1762_n;
-   wire FE_PDN4004_FE_OFN1157_addrn_19;
-   wire FE_PDN3996_addrn_5;
-   wire FE_PDN3921_FE_OFN1162_addrn_17;
-   wire FE_OFN19568_FE_OFN1166_addrn_15;
-   wire FE_OFN19567_FE_OFN1166_addrn_15;
+   wire FE_PDN19244_FE_OFN18753_FE_OFN1304_addrn_21;
+   wire FE_PDN4038_FE_OFN1337_wdatan_31;
+   wire FE_PSN3993_FE_PDN3685_FE_OFN1674_u_soc_u_tcam_data_addr_26;
+   wire FE_PSN3980_FE_PDN3682_FE_OFN1676_u_soc_u_tcam_data_addr_24;
+   wire FE_PSN3979_FE_OFN18600_u_soc_u_tcam_data_wdata_8;
+   wire FE_PSN3970_FE_OFN18292_u_soc_u_tcam_data_addr_11;
+   wire FE_PDN3874_addrn_2;
+   wire FE_PDN3834_wdatan_27;
+   wire FE_PDN3811_FE_OFN1337_wdatan_31;
+   wire FE_PDN3802_FE_OFN1338_wdatan_30;
+   wire FE_PDN3793_addrn_5;
+   wire FE_PDN3791_FE_OFN1316_addrn_15;
+   wire FE_PDN3778_FE_OFN1331_addrn_4;
+   wire FE_PDN3765_FE_OFN1320_addrn_12;
+   wire FE_PDN3755_FE_OFN1314_addrn_16;
+   wire FE_PDN3685_FE_OFN1674_u_soc_u_tcam_data_addr_26;
+   wire FE_PDN3682_FE_OFN1676_u_soc_u_tcam_data_addr_24;
+   wire FE_OFN19158_FE_OFN18822_FE_OFN1379_webn;
+   wire FE_OFN19157_addrn_1;
+   wire FE_OFN19155_addrn_23;
+   wire FE_OFN19154_addrn_24;
    wire CTS_1;
-   wire FE_OFN19052_FE_OFN1222_webn;
-   wire FE_OFN19013_FE_OFN1164_addrn_16;
-   wire FE_OFN19012_FE_OFN1164_addrn_16;
-   wire FE_OFN18987_FE_OFN1172_addrn_12;
-   wire FE_OFN18986_FE_OFN1172_addrn_12;
-   wire FE_OFN18957_FE_OFN1170_addrn_13;
-   wire FE_OFN18956_FE_OFN1170_addrn_13;
-   wire FE_OFN18372_u_soc_u_tcam_data_addr_26;
-   wire FE_OFN18358_u_soc_u_tcam_data_addr_24;
-   wire FE_OFN1762_n;
-   wire FE_OFN1761_n;
-   wire FE_OFN1760_n;
-   wire FE_OFN1759_n;
-   wire FE_OFN1220_wdatan_0;
-   wire FE_OFN1219_wdatan_1;
-   wire FE_OFN1218_wdatan_2;
-   wire FE_OFN1217_wdatan_3;
-   wire FE_OFN1216_wdatan_4;
-   wire FE_OFN1215_wdatan_5;
-   wire FE_OFN1214_wdatan_6;
-   wire FE_OFN1213_wdatan_7;
-   wire FE_OFN1212_wdatan_8;
-   wire FE_OFN1211_wdatan_9;
-   wire FE_OFN1210_wdatan_10;
-   wire FE_OFN1209_wdatan_11;
-   wire FE_OFN1208_wdatan_12;
-   wire FE_OFN1207_wdatan_13;
-   wire FE_OFN1206_wdatan_14;
-   wire FE_OFN1205_wdatan_15;
-   wire FE_OFN1204_wdatan_16;
-   wire FE_OFN1203_wdatan_17;
-   wire FE_OFN1202_wdatan_18;
-   wire FE_OFN1201_wdatan_19;
-   wire FE_OFN1200_wdatan_20;
-   wire FE_OFN1199_wdatan_21;
-   wire FE_OFN1198_wdatan_22;
-   wire FE_OFN1197_wdatan_23;
-   wire FE_OFN1196_wdatan_24;
-   wire FE_OFN1195_wdatan_25;
-   wire FE_OFN1194_wdatan_26;
-   wire FE_OFN1193_wdatan_27;
-   wire FE_OFN1192_wdatan_28;
-   wire FE_OFN1191_wdatan_29;
-   wire FE_OFN1190_wdatan_30;
-   wire FE_OFN1189_wdatan_31;
-   wire FE_OFN1188_addrn_0;
-   wire FE_OFN1187_addrn_1;
-   wire FE_OFN1186_addrn_2;
-   wire FE_OFN1185_addrn_3;
-   wire FE_OFN1184_addrn_4;
-   wire FE_OFN1183_addrn_5;
-   wire FE_OFN1182_addrn_6;
-   wire FE_OFN1181_addrn_7;
-   wire FE_OFN1180_addrn_7;
-   wire FE_OFN1179_addrn_8;
-   wire FE_OFN1178_addrn_8;
-   wire FE_OFN1177_addrn_9;
-   wire FE_OFN1176_addrn_10;
-   wire FE_OFN1175_addrn_10;
-   wire FE_OFN1174_addrn_11;
-   wire FE_OFN1173_addrn_11;
-   wire FE_OFN1172_addrn_12;
-   wire FE_OFN1171_addrn_12;
-   wire FE_OFN1170_addrn_13;
-   wire FE_OFN1169_addrn_13;
-   wire FE_OFN1168_addrn_14;
-   wire FE_OFN1167_addrn_14;
-   wire FE_OFN1166_addrn_15;
-   wire FE_OFN1165_addrn_15;
-   wire FE_OFN1164_addrn_16;
-   wire FE_OFN1163_addrn_16;
-   wire FE_OFN1162_addrn_17;
-   wire FE_OFN1161_addrn_17;
-   wire FE_OFN1160_addrn_18;
-   wire FE_OFN1159_addrn_18;
-   wire FE_OFN1158_addrn_19;
-   wire FE_OFN1157_addrn_19;
-   wire FE_OFN1156_addrn_20;
-   wire FE_OFN1155_addrn_20;
-   wire FE_OFN1154_addrn_21;
-   wire FE_OFN1153_addrn_21;
-   wire FE_OFN1152_addrn_22;
-   wire FE_OFN1151_addrn_22;
-   wire FE_OFN1150_addrn_23;
-   wire FE_OFN1149_addrn_24;
-   wire FE_OFN1148_addrn_25;
-   wire FE_OFN1147_addrn_26;
-   wire FE_OFN1146_addrn_27;
+   wire CTS_3;
+   wire CTS_2;
+   wire FE_OFN18858_n;
+   wire FE_OFN18857_n;
+   wire FE_OFN18822_FE_OFN1379_webn;
+   wire FE_OFN18753_FE_OFN1304_addrn_21;
+   wire FE_OFN18707_FE_OFN1303_addrn_22;
+   wire FE_OFN18706_FE_OFN1303_addrn_22;
+   wire FE_OFN18705_FE_OFN1306_addrn_20;
+   wire FE_OFN18704_FE_OFN1306_addrn_20;
+   wire FE_OFN18600_u_soc_u_tcam_data_wdata_8;
+   wire FE_OFN18455_u_soc_u_tcam_data_addr_1;
+   wire FE_OFN18295_u_soc_u_tcam_u_tcam_adapter_wmask_int_0__6;
+   wire FE_OFN18293_u_soc_u_tcam_data_addr_10;
+   wire FE_OFN18292_u_soc_u_tcam_data_addr_11;
+   wire FE_OFN18278_u_soc_u_tcam_data_wdata_11;
+   wire FE_OFN18250_u_soc_u_tcam_data_wdata_12;
+   wire FE_OFN18238_u_soc_u_tcam_data_wdata_10;
+   wire FE_OFN18235_u_soc_u_tcam_data_wdata_9;
+   wire FE_OFN18225_u_soc_u_tcam_data_wdata_0;
+   wire FE_OFN18082_u_soc_u_tcam_n_27;
+   wire FE_OFN1914_n;
+   wire FE_OFN1913_n;
+   wire FE_OFN1912_n;
+   wire FE_OFN1810_u_soc_u_tcam_u_tcam_adapter_wmask_int_0__14;
+   wire FE_OFN1692_u_soc_u_tcam_data_addr_6;
+   wire FE_OFN1691_u_soc_u_tcam_data_addr_7;
+   wire FE_OFN1690_u_soc_u_tcam_data_addr_8;
+   wire FE_OFN1689_u_soc_u_tcam_data_addr_9;
+   wire FE_OFN1688_u_soc_u_tcam_data_addr_12;
+   wire FE_OFN1687_u_soc_u_tcam_data_addr_13;
+   wire FE_OFN1686_u_soc_u_tcam_data_addr_14;
+   wire FE_OFN1685_u_soc_u_tcam_data_addr_15;
+   wire FE_OFN1684_u_soc_u_tcam_data_addr_16;
+   wire FE_OFN1683_u_soc_u_tcam_data_addr_17;
+   wire FE_OFN1682_u_soc_u_tcam_data_addr_18;
+   wire FE_OFN1681_u_soc_u_tcam_data_addr_19;
+   wire FE_OFN1680_u_soc_u_tcam_data_addr_20;
+   wire FE_OFN1679_u_soc_u_tcam_data_addr_21;
+   wire FE_OFN1678_u_soc_u_tcam_data_addr_22;
+   wire FE_OFN1677_u_soc_u_tcam_data_addr_23;
+   wire FE_OFN1676_u_soc_u_tcam_data_addr_24;
+   wire FE_OFN1675_u_soc_u_tcam_data_addr_25;
+   wire FE_OFN1674_u_soc_u_tcam_data_addr_26;
+   wire FE_OFN1673_u_soc_u_tcam_data_addr_27;
+   wire FE_OFN1672_u_soc_u_tcam_u_tcam_adapter_wmask_int_0__30;
+   wire FE_OFN1377_wdatan_0;
+   wire FE_OFN1376_wdatan_1;
+   wire FE_OFN1375_wdatan_2;
+   wire FE_OFN1374_wdatan_3;
+   wire FE_OFN1373_wdatan_4;
+   wire FE_OFN1372_wdatan_5;
+   wire FE_OFN1371_wdatan_6;
+   wire FE_OFN1370_wdatan_7;
+   wire FE_OFN1369_wdatan_8;
+   wire FE_OFN1368_wdatan_9;
+   wire FE_OFN1367_wdatan_10;
+   wire FE_OFN1366_wdatan_11;
+   wire FE_OFN1365_wdatan_12;
+   wire FE_OFN1364_wdatan_13;
+   wire FE_OFN1363_wdatan_14;
+   wire FE_OFN1362_wdatan_15;
+   wire FE_OFN1361_wdatan_16;
+   wire FE_OFN1360_wdatan_17;
+   wire FE_OFN1359_wdatan_18;
+   wire FE_OFN1358_wdatan_19;
+   wire FE_OFN1357_wdatan_20;
+   wire FE_OFN1356_wdatan_21;
+   wire FE_OFN1355_wdatan_22;
+   wire FE_OFN1354_wdatan_23;
+   wire FE_OFN1353_wdatan_24;
+   wire FE_OFN1352_wdatan_25;
+   wire FE_OFN1351_wdatan_25;
+   wire FE_OFN1350_wdatan_26;
+   wire FE_OFN1348_wdatan_26;
+   wire FE_OFN1347_wdatan_27;
+   wire FE_OFN1346_wdatan_27;
+   wire FE_OFN1345_wdatan_28;
+   wire FE_OFN1343_wdatan_28;
+   wire FE_OFN1342_wdatan_29;
+   wire FE_OFN1341_wdatan_29;
+   wire FE_OFN1340_wdatan_30;
+   wire FE_OFN1338_wdatan_30;
+   wire FE_OFN1337_wdatan_31;
+   wire FE_OFN1336_wdatan_31;
+   wire FE_OFN1335_addrn_0;
+   wire FE_OFN1333_addrn_2;
+   wire FE_OFN1332_addrn_3;
+   wire FE_OFN1331_addrn_4;
+   wire FE_OFN1330_addrn_5;
+   wire FE_OFN1329_addrn_5;
+   wire FE_OFN1328_addrn_6;
+   wire FE_OFN1327_addrn_6;
+   wire FE_OFN1326_addrn_7;
+   wire FE_OFN1325_addrn_8;
+   wire FE_OFN1324_addrn_8;
+   wire FE_OFN1323_addrn_9;
+   wire FE_OFN1322_addrn_10;
+   wire FE_OFN1321_addrn_11;
+   wire FE_OFN1320_addrn_12;
+   wire FE_OFN1319_addrn_13;
+   wire FE_OFN1318_addrn_14;
+   wire FE_OFN1317_addrn_14;
+   wire FE_OFN1316_addrn_15;
+   wire FE_OFN1315_addrn_15;
+   wire FE_OFN1314_addrn_16;
+   wire FE_OFN1313_addrn_16;
+   wire FE_OFN1312_addrn_17;
+   wire FE_OFN1311_addrn_17;
+   wire FE_OFN1310_addrn_18;
+   wire FE_OFN1309_addrn_18;
+   wire FE_OFN1308_addrn_19;
+   wire FE_OFN1307_addrn_19;
+   wire FE_OFN1306_addrn_20;
+   wire FE_OFN1305_addrn_20;
+   wire FE_OFN1302_addrn_23;
+   wire FE_OFN1301_addrn_24;
+   wire FE_OFN1300_addrn_25;
+   wire FE_OFN1299_addrn_26;
+   wire FE_OFN1298_addrn_27;
+   wire FE_OFN65_io_out_37;
    wire [3:0] wmaskn;
    wire [27:0] addrn;
    wire [31:0] wdatan;
@@ -2099,688 +2293,1045 @@
    wire webn;
 
    // Module instantiations
-   sky130_fd_sc_hd__clkbuf_1 FE_USKC4674_CTS_17 (
-	.A(clk_i_clone6),
-	.X(FE_USKN4674_CTS_17), 
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3827_FE_OFN18753_FE_OFN1304_addrn_21 (
+	.A(FE_OFN18753_FE_OFN1304_addrn_21),
+	.X(FE_PDN19244_FE_OFN18753_FE_OFN1304_addrn_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4049_addrn_4 (
-	.A(addrn[4]),
-	.X(FE_PDN4049_addrn_4), 
+   sky130_fd_sc_hd__clkbuf_8 FE_PDC4038_FE_OFN1337_wdatan_31 (
+	.A(FE_PDN3811_FE_OFN1337_wdatan_31),
+	.X(FE_PDN4038_FE_OFN1337_wdatan_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4045_FE_OFN1173_addrn_11 (
-	.A(FE_OFN1173_addrn_11),
-	.X(FE_PDN4045_FE_OFN1173_addrn_11), 
+   sky130_fd_sc_hd__buf_6 FE_PSC3993_FE_PDN3685_FE_OFN1674_u_soc_u_tcam_data_addr_26 (
+	.A(FE_PDN3685_FE_OFN1674_u_soc_u_tcam_data_addr_26),
+	.X(FE_PSN3993_FE_PDN3685_FE_OFN1674_u_soc_u_tcam_data_addr_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4033_FE_OFN1762_n (
-	.A(FE_OFN1762_n),
-	.X(FE_PDN4033_FE_OFN1762_n), 
+   sky130_fd_sc_hd__buf_12 FE_PSC3980_FE_PDN3682_FE_OFN1676_u_soc_u_tcam_data_addr_24 (
+	.A(FE_PDN3682_FE_OFN1676_u_soc_u_tcam_data_addr_24),
+	.X(FE_PSN3980_FE_PDN3682_FE_OFN1676_u_soc_u_tcam_data_addr_24), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4004_FE_OFN1157_addrn_19 (
-	.A(FE_OFN1157_addrn_19),
-	.X(FE_PDN4004_FE_OFN1157_addrn_19), 
+   sky130_fd_sc_hd__buf_6 FE_PSC3979_FE_OFN18600_u_soc_u_tcam_data_wdata_8 (
+	.A(FE_OFN18600_u_soc_u_tcam_data_wdata_8),
+	.X(FE_PSN3979_FE_OFN18600_u_soc_u_tcam_data_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3996_addrn_5 (
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC3970_FE_OFN18292_u_soc_u_tcam_data_addr_11 (
+	.A(FE_OFN18292_u_soc_u_tcam_data_addr_11),
+	.X(FE_PSN3970_FE_OFN18292_u_soc_u_tcam_data_addr_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3874_addrn_2 (
+	.A(addrn[2]),
+	.X(FE_PDN3874_addrn_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3834_wdatan_27 (
+	.A(wdatan[27]),
+	.X(FE_PDN3834_wdatan_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3811_FE_OFN1337_wdatan_31 (
+	.A(FE_OFN1337_wdatan_31),
+	.X(FE_PDN3811_FE_OFN1337_wdatan_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3802_FE_OFN1338_wdatan_30 (
+	.A(FE_OFN1338_wdatan_30),
+	.X(FE_PDN3802_FE_OFN1338_wdatan_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3793_addrn_5 (
 	.A(addrn[5]),
-	.X(FE_PDN3996_addrn_5), 
+	.X(FE_PDN3793_addrn_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3921_FE_OFN1162_addrn_17 (
-	.A(FE_OFN1162_addrn_17),
-	.X(FE_PDN3921_FE_OFN1162_addrn_17), 
+   sky130_fd_sc_hd__buf_4 FE_PDC3791_FE_OFN1316_addrn_15 (
+	.A(FE_OFN1316_addrn_15),
+	.X(FE_PDN3791_FE_OFN1316_addrn_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC3864_FE_OFN1166_addrn_15 (
-	.A(FE_OFN19567_FE_OFN1166_addrn_15),
-	.Y(FE_OFN19568_FE_OFN1166_addrn_15), 
+   sky130_fd_sc_hd__buf_4 FE_PDC3778_FE_OFN1331_addrn_4 (
+	.A(FE_OFN1331_addrn_4),
+	.X(FE_PDN3778_FE_OFN1331_addrn_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC3863_FE_OFN1166_addrn_15 (
-	.A(FE_OFN1166_addrn_15),
-	.Y(FE_OFN19567_FE_OFN1166_addrn_15), 
+   sky130_fd_sc_hd__buf_4 FE_PDC3765_FE_OFN1320_addrn_12 (
+	.A(FE_OFN1320_addrn_12),
+	.X(FE_PDN3765_FE_OFN1320_addrn_12), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00679 (
+   sky130_fd_sc_hd__buf_4 FE_PDC3755_FE_OFN1314_addrn_16 (
+	.A(FE_OFN1314_addrn_16),
+	.X(FE_PDN3755_FE_OFN1314_addrn_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3685_FE_OFN1674_u_soc_u_tcam_data_addr_26 (
+	.A(FE_OFN1674_u_soc_u_tcam_data_addr_26),
+	.X(FE_PDN3685_FE_OFN1674_u_soc_u_tcam_data_addr_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3682_FE_OFN1676_u_soc_u_tcam_data_addr_24 (
+	.A(FE_OFN1676_u_soc_u_tcam_data_addr_24),
+	.X(FE_PDN3682_FE_OFN1676_u_soc_u_tcam_data_addr_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_142 (
+	.DIODE(FE_OFN1673_u_soc_u_tcam_data_addr_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_74 (
+	.DIODE(FE_OFN18235_u_soc_u_tcam_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_72 (
+	.DIODE(FE_OFN18250_u_soc_u_tcam_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_61 (
+	.DIODE(FE_OFN18278_u_soc_u_tcam_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_44 (
+	.DIODE(FE_OFN1691_u_soc_u_tcam_data_addr_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_43 (
+	.DIODE(FE_OFN1692_u_soc_u_tcam_data_addr_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_41 (
+	.DIODE(FE_OFN18295_u_soc_u_tcam_u_tcam_adapter_wmask_int_0__6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3741_FE_OFN18822_FE_OFN1379_webn (
+	.A(FE_OFN18822_FE_OFN1379_webn),
+	.X(FE_OFN19158_FE_OFN18822_FE_OFN1379_webn), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3740_addrn_1 (
+	.A(addrn[1]),
+	.X(FE_OFN19157_addrn_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3738_addrn_23 (
+	.A(addrn[23]),
+	.X(FE_OFN19155_addrn_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3737_addrn_24 (
+	.A(addrn[24]),
+	.X(FE_OFN19154_addrn_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3554_u_soc_u_tcam_data_addr_8 (
+	.A(addr_i[8]),
+	.X(FE_OFN1690_u_soc_u_tcam_data_addr_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00595 (
+	.A(CTS_1),
+	.X(CTS_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_buf_00597 (
 	.A(clk_i),
 	.X(CTS_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3311_FE_OFN1222_webn (
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00497 (
+	.A(clk_i_clone4),
+	.X(CTS_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_16 FE_OFC3340_n (
+	.A(FE_OFN18857_n),
+	.Y(FE_OFN18858_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC3339_n (
+	.A(FE_OFN1914_n),
+	.Y(FE_OFN18857_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3304_FE_OFN1379_webn (
 	.A(webn),
-	.X(FE_OFN19052_FE_OFN1222_webn), 
+	.X(FE_OFN18822_FE_OFN1379_webn), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC3272_FE_OFN1164_addrn_16 (
-	.A(FE_OFN19012_FE_OFN1164_addrn_16),
-	.Y(FE_OFN19013_FE_OFN1164_addrn_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC3271_FE_OFN1164_addrn_16 (
-	.A(FE_OFN1164_addrn_16),
-	.Y(FE_OFN19012_FE_OFN1164_addrn_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3246_FE_OFN1172_addrn_12 (
-	.A(FE_OFN18986_FE_OFN1172_addrn_12),
-	.Y(FE_OFN18987_FE_OFN1172_addrn_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC3245_FE_OFN1172_addrn_12 (
-	.A(FE_OFN1172_addrn_12),
-	.Y(FE_OFN18986_FE_OFN1172_addrn_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3216_FE_OFN1170_addrn_13 (
-	.A(FE_OFN18956_FE_OFN1170_addrn_13),
-	.Y(FE_OFN18957_FE_OFN1170_addrn_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC3215_FE_OFN1170_addrn_13 (
-	.A(FE_OFN1170_addrn_13),
-	.Y(FE_OFN18956_FE_OFN1170_addrn_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2429_u_soc_u_tcam_data_addr_26 (
-	.A(addr_i[26]),
-	.X(FE_OFN18372_u_soc_u_tcam_data_addr_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2415_u_soc_u_tcam_data_addr_24 (
-	.A(addr_i[24]),
-	.X(FE_OFN18358_u_soc_u_tcam_data_addr_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC1762_addrn_14 (
-	.A(FE_OFN1761_n),
-	.Y(FE_OFN1762_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1761_addrn_14 (
-	.A(FE_OFN1168_addrn_14),
-	.Y(FE_OFN1761_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1760_addrn_8 (
-	.A(FE_OFN1179_addrn_8),
-	.X(FE_OFN1760_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC1759_csbn (
-	.A(csbn),
-	.X(FE_OFN1759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1220_wdatan_0 (
-	.A(wdatan[0]),
-	.X(FE_OFN1220_wdatan_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC1219_wdatan_1 (
-	.A(wdatan[1]),
-	.X(FE_OFN1219_wdatan_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1218_wdatan_2 (
-	.A(wdatan[2]),
-	.X(FE_OFN1218_wdatan_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1217_wdatan_3 (
-	.A(wdatan[3]),
-	.X(FE_OFN1217_wdatan_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1216_wdatan_4 (
-	.A(wdatan[4]),
-	.X(FE_OFN1216_wdatan_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1215_wdatan_5 (
-	.A(wdatan[5]),
-	.X(FE_OFN1215_wdatan_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC1214_wdatan_6 (
-	.A(wdatan[6]),
-	.X(FE_OFN1214_wdatan_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1213_wdatan_7 (
-	.A(wdatan[7]),
-	.X(FE_OFN1213_wdatan_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1212_wdatan_8 (
-	.A(wdatan[8]),
-	.X(FE_OFN1212_wdatan_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1211_wdatan_9 (
-	.A(wdatan[9]),
-	.X(FE_OFN1211_wdatan_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1210_wdatan_10 (
-	.A(wdatan[10]),
-	.X(FE_OFN1210_wdatan_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1209_wdatan_11 (
-	.A(wdatan[11]),
-	.X(FE_OFN1209_wdatan_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1208_wdatan_12 (
-	.A(wdatan[12]),
-	.X(FE_OFN1208_wdatan_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1207_wdatan_13 (
-	.A(wdatan[13]),
-	.X(FE_OFN1207_wdatan_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1206_wdatan_14 (
-	.A(wdatan[14]),
-	.X(FE_OFN1206_wdatan_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1205_wdatan_15 (
-	.A(wdatan[15]),
-	.X(FE_OFN1205_wdatan_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1204_wdatan_16 (
-	.A(wdatan[16]),
-	.X(FE_OFN1204_wdatan_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1203_wdatan_17 (
-	.A(wdatan[17]),
-	.X(FE_OFN1203_wdatan_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1202_wdatan_18 (
-	.A(wdatan[18]),
-	.X(FE_OFN1202_wdatan_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1201_wdatan_19 (
-	.A(wdatan[19]),
-	.X(FE_OFN1201_wdatan_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1200_wdatan_20 (
-	.A(wdatan[20]),
-	.X(FE_OFN1200_wdatan_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1199_wdatan_21 (
-	.A(wdatan[21]),
-	.X(FE_OFN1199_wdatan_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1198_wdatan_22 (
-	.A(wdatan[22]),
-	.X(FE_OFN1198_wdatan_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1197_wdatan_23 (
-	.A(wdatan[23]),
-	.X(FE_OFN1197_wdatan_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1196_wdatan_24 (
-	.A(wdatan[24]),
-	.X(FE_OFN1196_wdatan_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1195_wdatan_25 (
-	.A(wdatan[25]),
-	.X(FE_OFN1195_wdatan_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1194_wdatan_26 (
-	.A(wdatan[26]),
-	.X(FE_OFN1194_wdatan_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC1193_wdatan_27 (
-	.A(wdatan[27]),
-	.X(FE_OFN1193_wdatan_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1192_wdatan_28 (
-	.A(wdatan[28]),
-	.X(FE_OFN1192_wdatan_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1191_wdatan_29 (
-	.A(wdatan[29]),
-	.X(FE_OFN1191_wdatan_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1190_wdatan_30 (
-	.A(wdatan[30]),
-	.X(FE_OFN1190_wdatan_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1189_wdatan_31 (
-	.A(wdatan[31]),
-	.X(FE_OFN1189_wdatan_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1188_addrn_0 (
-	.A(addrn[0]),
-	.X(FE_OFN1188_addrn_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1187_addrn_1 (
-	.A(addrn[1]),
-	.X(FE_OFN1187_addrn_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1186_addrn_2 (
-	.A(addrn[2]),
-	.X(FE_OFN1186_addrn_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1185_addrn_3 (
-	.A(addrn[3]),
-	.X(FE_OFN1185_addrn_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1184_addrn_4 (
-	.A(FE_PDN4049_addrn_4),
-	.X(FE_OFN1184_addrn_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1183_addrn_5 (
-	.A(FE_PDN3996_addrn_5),
-	.X(FE_OFN1183_addrn_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1182_addrn_6 (
-	.A(addrn[6]),
-	.X(FE_OFN1182_addrn_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC1181_addrn_7 (
-	.A(FE_OFN1180_addrn_7),
-	.Y(FE_OFN1181_addrn_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1180_addrn_7 (
-	.A(addrn[7]),
-	.Y(FE_OFN1180_addrn_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1179_addrn_8 (
-	.A(FE_OFN1178_addrn_8),
-	.Y(FE_OFN1179_addrn_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1178_addrn_8 (
-	.A(addrn[8]),
-	.Y(FE_OFN1178_addrn_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1177_addrn_9 (
-	.A(addrn[9]),
-	.X(FE_OFN1177_addrn_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC1176_addrn_10 (
-	.A(FE_OFN1175_addrn_10),
-	.Y(FE_OFN1176_addrn_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1175_addrn_10 (
-	.A(addrn[10]),
-	.Y(FE_OFN1175_addrn_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC1174_addrn_11 (
-	.A(FE_PDN4045_FE_OFN1173_addrn_11),
-	.Y(FE_OFN1174_addrn_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1173_addrn_11 (
-	.A(addrn[11]),
-	.Y(FE_OFN1173_addrn_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1172_addrn_12 (
-	.A(FE_OFN1171_addrn_12),
-	.Y(FE_OFN1172_addrn_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1171_addrn_12 (
-	.A(addrn[12]),
-	.Y(FE_OFN1171_addrn_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1170_addrn_13 (
-	.A(FE_OFN1169_addrn_13),
-	.Y(FE_OFN1170_addrn_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1169_addrn_13 (
-	.A(addrn[13]),
-	.Y(FE_OFN1169_addrn_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1168_addrn_14 (
-	.A(FE_OFN1167_addrn_14),
-	.Y(FE_OFN1168_addrn_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1167_addrn_14 (
-	.A(addrn[14]),
-	.Y(FE_OFN1167_addrn_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1166_addrn_15 (
-	.A(FE_OFN1165_addrn_15),
-	.Y(FE_OFN1166_addrn_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1165_addrn_15 (
-	.A(addrn[15]),
-	.Y(FE_OFN1165_addrn_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1164_addrn_16 (
-	.A(FE_OFN1163_addrn_16),
-	.Y(FE_OFN1164_addrn_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1163_addrn_16 (
-	.A(addrn[16]),
-	.Y(FE_OFN1163_addrn_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC1162_addrn_17 (
-	.A(FE_OFN1161_addrn_17),
-	.Y(FE_OFN1162_addrn_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1161_addrn_17 (
-	.A(addrn[17]),
-	.Y(FE_OFN1161_addrn_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC1160_addrn_18 (
-	.A(FE_OFN1159_addrn_18),
-	.Y(FE_OFN1160_addrn_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_OFC1159_addrn_18 (
-	.A(addrn[18]),
-	.Y(FE_OFN1159_addrn_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC1158_addrn_19 (
-	.A(FE_PDN4004_FE_OFN1157_addrn_19),
-	.Y(FE_OFN1158_addrn_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1157_addrn_19 (
-	.A(addrn[19]),
-	.Y(FE_OFN1157_addrn_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC1156_addrn_20 (
-	.A(FE_OFN1155_addrn_20),
-	.Y(FE_OFN1156_addrn_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1155_addrn_20 (
-	.A(addrn[20]),
-	.Y(FE_OFN1155_addrn_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC1154_addrn_21 (
-	.A(FE_OFN1153_addrn_21),
-	.Y(FE_OFN1154_addrn_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1153_addrn_21 (
+   sky130_fd_sc_hd__buf_12 FE_OFC3235_FE_OFN1304_addrn_21 (
 	.A(addrn[21]),
-	.Y(FE_OFN1153_addrn_21), 
+	.X(FE_OFN18753_FE_OFN1304_addrn_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC1152_addrn_22 (
-	.A(FE_OFN1151_addrn_22),
-	.Y(FE_OFN1152_addrn_22), 
+   sky130_fd_sc_hd__clkinv_16 FE_OFC3189_FE_OFN1303_addrn_22 (
+	.A(FE_OFN18706_FE_OFN1303_addrn_22),
+	.Y(FE_OFN18707_FE_OFN1303_addrn_22), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1151_addrn_22 (
+   sky130_fd_sc_hd__inv_1 FE_OFC3188_FE_OFN1303_addrn_22 (
 	.A(addrn[22]),
-	.Y(FE_OFN1151_addrn_22), 
+	.Y(FE_OFN18706_FE_OFN1303_addrn_22), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1150_addrn_23 (
-	.A(addrn[23]),
-	.X(FE_OFN1150_addrn_23), 
+   sky130_fd_sc_hd__clkinv_16 FE_OFC3187_FE_OFN1306_addrn_20 (
+	.A(FE_OFN18704_FE_OFN1306_addrn_20),
+	.Y(FE_OFN18705_FE_OFN1306_addrn_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1149_addrn_24 (
-	.A(addrn[24]),
-	.X(FE_OFN1149_addrn_24), 
+   sky130_fd_sc_hd__inv_2 FE_OFC3186_FE_OFN1306_addrn_20 (
+	.A(FE_OFN1306_addrn_20),
+	.Y(FE_OFN18704_FE_OFN1306_addrn_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1148_addrn_25 (
+   sky130_fd_sc_hd__buf_2 FE_OFC3078_u_soc_u_tcam_data_addr_10 (
+	.A(addr_i[10]),
+	.X(FE_OFN18293_u_soc_u_tcam_data_addr_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3077_u_soc_u_tcam_data_addr_11 (
+	.A(addr_i[11]),
+	.X(FE_OFN18292_u_soc_u_tcam_data_addr_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3075_u_soc_u_tcam_data_wdata_10 (
+	.A(wdata_i[10]),
+	.X(FE_OFN18238_u_soc_u_tcam_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3066_u_soc_u_tcam_data_wdata_9 (
+	.A(wdata_i[9]),
+	.X(FE_OFN18235_u_soc_u_tcam_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3039_u_soc_u_tcam_data_wdata_8 (
+	.A(wdata_i[8]),
+	.X(FE_OFN18600_u_soc_u_tcam_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3013_u_soc_u_tcam_u_tcam_adapter_wmask_int_0__30 (
+	.A(wmask_i[3]),
+	.X(FE_OFN1672_u_soc_u_tcam_u_tcam_adapter_wmask_int_0__30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3012_u_soc_u_tcam_data_addr_14 (
+	.A(addr_i[14]),
+	.X(FE_OFN1686_u_soc_u_tcam_data_addr_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3006_u_soc_u_tcam_data_addr_1 (
+	.A(addr_i[1]),
+	.X(FE_OFN18455_u_soc_u_tcam_data_addr_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2950_u_soc_u_tcam_data_addr_21 (
+	.A(addr_i[21]),
+	.X(FE_OFN1679_u_soc_u_tcam_data_addr_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2949_u_soc_u_tcam_data_addr_22 (
+	.A(addr_i[22]),
+	.X(FE_OFN1678_u_soc_u_tcam_data_addr_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2946_u_soc_u_tcam_data_addr_15 (
+	.A(addr_i[15]),
+	.X(FE_OFN1685_u_soc_u_tcam_data_addr_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2934_u_soc_u_tcam_data_addr_9 (
+	.A(addr_i[9]),
+	.X(FE_OFN1689_u_soc_u_tcam_data_addr_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2933_u_soc_u_tcam_data_addr_19 (
+	.A(addr_i[19]),
+	.X(FE_OFN1681_u_soc_u_tcam_data_addr_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2932_u_soc_u_tcam_data_addr_18 (
+	.A(addr_i[18]),
+	.X(FE_OFN1682_u_soc_u_tcam_data_addr_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2931_u_soc_u_tcam_data_addr_20 (
+	.A(addr_i[20]),
+	.X(FE_OFN1680_u_soc_u_tcam_data_addr_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2929_u_soc_u_tcam_data_addr_17 (
+	.A(addr_i[17]),
+	.X(FE_OFN1683_u_soc_u_tcam_data_addr_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2928_u_soc_u_tcam_data_addr_16 (
+	.A(addr_i[16]),
+	.X(FE_OFN1684_u_soc_u_tcam_data_addr_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2762_u_soc_u_tcam_data_addr_7 (
+	.A(addr_i[7]),
+	.X(FE_OFN1691_u_soc_u_tcam_data_addr_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2756_u_soc_u_tcam_data_addr_26 (
+	.A(addr_i[26]),
+	.X(FE_OFN1674_u_soc_u_tcam_data_addr_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2755_u_soc_u_tcam_data_addr_25 (
+	.A(addr_i[25]),
+	.X(FE_OFN1675_u_soc_u_tcam_data_addr_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2743_u_soc_u_tcam_data_addr_23 (
+	.A(addr_i[23]),
+	.X(FE_OFN1677_u_soc_u_tcam_data_addr_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2742_u_soc_u_tcam_data_addr_24 (
+	.A(addr_i[24]),
+	.X(FE_OFN1676_u_soc_u_tcam_data_addr_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2619_u_soc_u_tcam_n_27 (
+	.A(web_i),
+	.Y(FE_OFN18082_u_soc_u_tcam_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2532_u_soc_u_tcam_u_tcam_adapter_wmask_int_0__6 (
+	.A(wmask_i[0]),
+	.X(FE_OFN18295_u_soc_u_tcam_u_tcam_adapter_wmask_int_0__6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2515_u_soc_u_tcam_data_wdata_11 (
+	.A(wdata_i[11]),
+	.X(FE_OFN18278_u_soc_u_tcam_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2487_u_soc_u_tcam_data_wdata_12 (
+	.A(wdata_i[12]),
+	.X(FE_OFN18250_u_soc_u_tcam_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2462_u_soc_u_tcam_data_wdata_0 (
+	.A(wdata_i[0]),
+	.X(FE_OFN18225_u_soc_u_tcam_data_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2254_u_soc_u_tcam_data_addr_27 (
+	.A(addr_i[27]),
+	.X(FE_OFN1673_u_soc_u_tcam_data_addr_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2243_u_soc_u_tcam_data_addr_6 (
+	.A(addr_i[6]),
+	.X(FE_OFN1692_u_soc_u_tcam_data_addr_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2216_u_soc_u_tcam_u_tcam_adapter_wmask_int_0__14 (
+	.A(wmask_i[1]),
+	.X(FE_OFN1810_u_soc_u_tcam_u_tcam_adapter_wmask_int_0__14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2215_u_soc_u_tcam_data_addr_13 (
+	.A(addr_i[13]),
+	.X(FE_OFN1687_u_soc_u_tcam_data_addr_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2214_u_soc_u_tcam_data_addr_12 (
+	.A(addr_i[12]),
+	.X(FE_OFN1688_u_soc_u_tcam_data_addr_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1914_addrn_19 (
+	.A(FE_OFN1913_n),
+	.Y(FE_OFN1914_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1913_addrn_19 (
+	.A(FE_OFN1308_addrn_19),
+	.Y(FE_OFN1913_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC1912_csbn (
+	.A(csbn),
+	.X(FE_OFN1912_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1377_wdatan_0 (
+	.A(wdatan[0]),
+	.X(FE_OFN1377_wdatan_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC1376_wdatan_1 (
+	.A(wdatan[1]),
+	.X(FE_OFN1376_wdatan_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1375_wdatan_2 (
+	.A(wdatan[2]),
+	.X(FE_OFN1375_wdatan_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1374_wdatan_3 (
+	.A(wdatan[3]),
+	.X(FE_OFN1374_wdatan_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC1373_wdatan_4 (
+	.A(wdatan[4]),
+	.X(FE_OFN1373_wdatan_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1372_wdatan_5 (
+	.A(wdatan[5]),
+	.X(FE_OFN1372_wdatan_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC1371_wdatan_6 (
+	.A(wdatan[6]),
+	.X(FE_OFN1371_wdatan_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1370_wdatan_7 (
+	.A(wdatan[7]),
+	.X(FE_OFN1370_wdatan_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1369_wdatan_8 (
+	.A(wdatan[8]),
+	.X(FE_OFN1369_wdatan_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1368_wdatan_9 (
+	.A(wdatan[9]),
+	.X(FE_OFN1368_wdatan_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC1367_wdatan_10 (
+	.A(wdatan[10]),
+	.X(FE_OFN1367_wdatan_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1366_wdatan_11 (
+	.A(wdatan[11]),
+	.X(FE_OFN1366_wdatan_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1365_wdatan_12 (
+	.A(wdatan[12]),
+	.X(FE_OFN1365_wdatan_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1364_wdatan_13 (
+	.A(wdatan[13]),
+	.X(FE_OFN1364_wdatan_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1363_wdatan_14 (
+	.A(wdatan[14]),
+	.X(FE_OFN1363_wdatan_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1362_wdatan_15 (
+	.A(wdatan[15]),
+	.X(FE_OFN1362_wdatan_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1361_wdatan_16 (
+	.A(wdatan[16]),
+	.X(FE_OFN1361_wdatan_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1360_wdatan_17 (
+	.A(wdatan[17]),
+	.X(FE_OFN1360_wdatan_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1359_wdatan_18 (
+	.A(wdatan[18]),
+	.X(FE_OFN1359_wdatan_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1358_wdatan_19 (
+	.A(wdatan[19]),
+	.X(FE_OFN1358_wdatan_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1357_wdatan_20 (
+	.A(wdatan[20]),
+	.X(FE_OFN1357_wdatan_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1356_wdatan_21 (
+	.A(wdatan[21]),
+	.X(FE_OFN1356_wdatan_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1355_wdatan_22 (
+	.A(wdatan[22]),
+	.X(FE_OFN1355_wdatan_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1354_wdatan_23 (
+	.A(wdatan[23]),
+	.X(FE_OFN1354_wdatan_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC1353_wdatan_24 (
+	.A(wdatan[24]),
+	.X(FE_OFN1353_wdatan_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC1352_wdatan_25 (
+	.A(FE_OFN1351_wdatan_25),
+	.Y(FE_OFN1352_wdatan_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1351_wdatan_25 (
+	.A(wdatan[25]),
+	.Y(FE_OFN1351_wdatan_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_OFC1350_wdatan_26 (
+	.A(FE_OFN1348_wdatan_26),
+	.Y(FE_OFN1350_wdatan_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1348_wdatan_26 (
+	.A(wdatan[26]),
+	.Y(FE_OFN1348_wdatan_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC1347_wdatan_27 (
+	.A(FE_OFN1346_wdatan_27),
+	.Y(FE_OFN1347_wdatan_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1346_wdatan_27 (
+	.A(FE_PDN3834_wdatan_27),
+	.Y(FE_OFN1346_wdatan_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_16 FE_OFC1345_wdatan_28 (
+	.A(FE_OFN1343_wdatan_28),
+	.Y(FE_OFN1345_wdatan_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1343_wdatan_28 (
+	.A(wdatan[28]),
+	.Y(FE_OFN1343_wdatan_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC1342_wdatan_29 (
+	.A(FE_OFN1341_wdatan_29),
+	.X(FE_OFN1342_wdatan_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1341_wdatan_29 (
+	.A(wdatan[29]),
+	.X(FE_OFN1341_wdatan_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_OFC1340_wdatan_30 (
+	.A(FE_PDN3802_FE_OFN1338_wdatan_30),
+	.Y(FE_OFN1340_wdatan_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1338_wdatan_30 (
+	.A(wdatan[30]),
+	.Y(FE_OFN1338_wdatan_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_OFC1337_wdatan_31 (
+	.A(FE_OFN1336_wdatan_31),
+	.Y(FE_OFN1337_wdatan_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1336_wdatan_31 (
+	.A(wdatan[31]),
+	.Y(FE_OFN1336_wdatan_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC1335_addrn_0 (
+	.A(addrn[0]),
+	.X(FE_OFN1335_addrn_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1333_addrn_2 (
+	.A(FE_PDN3874_addrn_2),
+	.X(FE_OFN1333_addrn_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1332_addrn_3 (
+	.A(addrn[3]),
+	.X(FE_OFN1332_addrn_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1331_addrn_4 (
+	.A(addrn[4]),
+	.X(FE_OFN1331_addrn_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_OFC1330_addrn_5 (
+	.A(FE_OFN1329_addrn_5),
+	.Y(FE_OFN1330_addrn_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1329_addrn_5 (
+	.A(FE_PDN3793_addrn_5),
+	.Y(FE_OFN1329_addrn_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_OFC1328_addrn_6 (
+	.A(FE_OFN1327_addrn_6),
+	.Y(FE_OFN1328_addrn_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1327_addrn_6 (
+	.A(addrn[6]),
+	.Y(FE_OFN1327_addrn_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1326_addrn_7 (
+	.A(addrn[7]),
+	.X(FE_OFN1326_addrn_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC1325_addrn_8 (
+	.A(FE_OFN1324_addrn_8),
+	.Y(FE_OFN1325_addrn_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1324_addrn_8 (
+	.A(addrn[8]),
+	.Y(FE_OFN1324_addrn_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1323_addrn_9 (
+	.A(addrn[9]),
+	.X(FE_OFN1323_addrn_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1322_addrn_10 (
+	.A(addrn[10]),
+	.X(FE_OFN1322_addrn_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1321_addrn_11 (
+	.A(addrn[11]),
+	.X(FE_OFN1321_addrn_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1320_addrn_12 (
+	.A(addrn[12]),
+	.X(FE_OFN1320_addrn_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1319_addrn_13 (
+	.A(addrn[13]),
+	.X(FE_OFN1319_addrn_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC1318_addrn_14 (
+	.A(FE_OFN1317_addrn_14),
+	.Y(FE_OFN1318_addrn_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1317_addrn_14 (
+	.A(addrn[14]),
+	.Y(FE_OFN1317_addrn_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC1316_addrn_15 (
+	.A(FE_OFN1315_addrn_15),
+	.Y(FE_OFN1316_addrn_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1315_addrn_15 (
+	.A(addrn[15]),
+	.Y(FE_OFN1315_addrn_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC1314_addrn_16 (
+	.A(FE_OFN1313_addrn_16),
+	.Y(FE_OFN1314_addrn_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1313_addrn_16 (
+	.A(addrn[16]),
+	.Y(FE_OFN1313_addrn_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC1312_addrn_17 (
+	.A(FE_OFN1311_addrn_17),
+	.Y(FE_OFN1312_addrn_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1311_addrn_17 (
+	.A(addrn[17]),
+	.Y(FE_OFN1311_addrn_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC1310_addrn_18 (
+	.A(FE_OFN1309_addrn_18),
+	.Y(FE_OFN1310_addrn_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1309_addrn_18 (
+	.A(addrn[18]),
+	.Y(FE_OFN1309_addrn_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1308_addrn_19 (
+	.A(FE_OFN1307_addrn_19),
+	.Y(FE_OFN1308_addrn_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1307_addrn_19 (
+	.A(addrn[19]),
+	.Y(FE_OFN1307_addrn_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1306_addrn_20 (
+	.A(FE_OFN1305_addrn_20),
+	.Y(FE_OFN1306_addrn_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1305_addrn_20 (
+	.A(addrn[20]),
+	.Y(FE_OFN1305_addrn_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1302_addrn_23 (
+	.A(FE_OFN19155_addrn_23),
+	.X(FE_OFN1302_addrn_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1301_addrn_24 (
+	.A(FE_OFN19154_addrn_24),
+	.X(FE_OFN1301_addrn_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1300_addrn_25 (
 	.A(addrn[25]),
-	.X(FE_OFN1148_addrn_25), 
+	.X(FE_OFN1300_addrn_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1147_addrn_26 (
+   sky130_fd_sc_hd__buf_4 FE_OFC1299_addrn_26 (
 	.A(addrn[26]),
-	.X(FE_OFN1147_addrn_26), 
+	.X(FE_OFN1299_addrn_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1146_addrn_27 (
+   sky130_fd_sc_hd__buf_4 FE_OFC1298_addrn_27 (
 	.A(addrn[27]),
-	.X(FE_OFN1146_addrn_27), 
+	.X(FE_OFN1298_addrn_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC65_io_out_37 (
+	.A(rst_ni),
+	.Y(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -2792,428 +3343,428 @@
 		rdata_o[2],
 		rdata_o[1],
 		rdata_o[0] }),
-	.wdata_i({ FE_OFN1189_wdatan_31,
-		FE_OFN1190_wdatan_30,
-		FE_OFN1191_wdatan_29,
-		FE_OFN1192_wdatan_28,
-		FE_OFN1193_wdatan_27,
-		FE_OFN1194_wdatan_26,
-		FE_OFN1195_wdatan_25,
-		FE_OFN1196_wdatan_24,
-		FE_OFN1197_wdatan_23,
-		FE_OFN1198_wdatan_22,
-		FE_OFN1199_wdatan_21,
-		FE_OFN1200_wdatan_20,
-		FE_OFN1201_wdatan_19,
-		FE_OFN1202_wdatan_18,
-		FE_OFN1203_wdatan_17,
-		FE_OFN1204_wdatan_16,
-		FE_OFN1205_wdatan_15,
-		FE_OFN1206_wdatan_14,
-		FE_OFN1207_wdatan_13,
-		FE_OFN1208_wdatan_12,
-		FE_OFN1209_wdatan_11,
-		FE_OFN1210_wdatan_10,
-		FE_OFN1211_wdatan_9,
-		FE_OFN1212_wdatan_8,
-		FE_OFN1213_wdatan_7,
-		FE_OFN1214_wdatan_6,
-		FE_OFN1215_wdatan_5,
-		FE_OFN1216_wdatan_4,
-		FE_OFN1217_wdatan_3,
-		FE_OFN1218_wdatan_2,
-		FE_OFN1219_wdatan_1,
-		FE_OFN1220_wdatan_0 }),
-	.addr_i({ FE_OFN1146_addrn_27,
-		FE_OFN1147_addrn_26,
-		FE_OFN1148_addrn_25,
-		FE_OFN1149_addrn_24,
-		FE_OFN1150_addrn_23,
-		FE_OFN1152_addrn_22,
-		FE_OFN1154_addrn_21,
-		FE_OFN1156_addrn_20,
-		FE_OFN1158_addrn_19,
-		FE_OFN1160_addrn_18,
-		FE_PDN3921_FE_OFN1162_addrn_17,
-		FE_OFN19013_FE_OFN1164_addrn_16,
-		FE_OFN19568_FE_OFN1166_addrn_15,
-		FE_PDN4033_FE_OFN1762_n,
-		FE_OFN18957_FE_OFN1170_addrn_13,
-		FE_OFN18987_FE_OFN1172_addrn_12,
-		FE_OFN1174_addrn_11,
-		FE_OFN1176_addrn_10,
-		FE_OFN1177_addrn_9,
-		FE_OFN1760_n,
-		FE_OFN1181_addrn_7,
-		FE_OFN1182_addrn_6,
-		FE_OFN1183_addrn_5,
-		FE_OFN1184_addrn_4,
-		FE_OFN1185_addrn_3,
-		FE_OFN1186_addrn_2,
-		FE_OFN1187_addrn_1,
-		FE_OFN1188_addrn_0 }),
+	.wdata_i({ FE_PDN4038_FE_OFN1337_wdatan_31,
+		FE_OFN1340_wdatan_30,
+		FE_OFN1342_wdatan_29,
+		FE_OFN1345_wdatan_28,
+		FE_OFN1347_wdatan_27,
+		FE_OFN1350_wdatan_26,
+		FE_OFN1352_wdatan_25,
+		FE_OFN1353_wdatan_24,
+		FE_OFN1354_wdatan_23,
+		FE_OFN1355_wdatan_22,
+		FE_OFN1356_wdatan_21,
+		FE_OFN1357_wdatan_20,
+		FE_OFN1358_wdatan_19,
+		FE_OFN1359_wdatan_18,
+		FE_OFN1360_wdatan_17,
+		FE_OFN1361_wdatan_16,
+		FE_OFN1362_wdatan_15,
+		FE_OFN1363_wdatan_14,
+		FE_OFN1364_wdatan_13,
+		FE_OFN1365_wdatan_12,
+		FE_OFN1366_wdatan_11,
+		FE_OFN1367_wdatan_10,
+		FE_OFN1368_wdatan_9,
+		FE_OFN1369_wdatan_8,
+		FE_OFN1370_wdatan_7,
+		FE_OFN1371_wdatan_6,
+		FE_OFN1372_wdatan_5,
+		FE_OFN1373_wdatan_4,
+		FE_OFN1374_wdatan_3,
+		FE_OFN1375_wdatan_2,
+		FE_OFN1376_wdatan_1,
+		FE_OFN1377_wdatan_0 }),
+	.addr_i({ FE_OFN1298_addrn_27,
+		FE_OFN1299_addrn_26,
+		FE_OFN1300_addrn_25,
+		FE_OFN1301_addrn_24,
+		FE_OFN1302_addrn_23,
+		FE_OFN18707_FE_OFN1303_addrn_22,
+		FE_PDN19244_FE_OFN18753_FE_OFN1304_addrn_21,
+		FE_OFN18705_FE_OFN1306_addrn_20,
+		FE_OFN18858_n,
+		FE_OFN1310_addrn_18,
+		FE_OFN1312_addrn_17,
+		FE_PDN3755_FE_OFN1314_addrn_16,
+		FE_PDN3791_FE_OFN1316_addrn_15,
+		FE_OFN1318_addrn_14,
+		FE_OFN1319_addrn_13,
+		FE_PDN3765_FE_OFN1320_addrn_12,
+		FE_OFN1321_addrn_11,
+		FE_OFN1322_addrn_10,
+		FE_OFN1323_addrn_9,
+		FE_OFN1325_addrn_8,
+		FE_OFN1326_addrn_7,
+		FE_OFN1328_addrn_6,
+		FE_OFN1330_addrn_5,
+		FE_PDN3778_FE_OFN1331_addrn_4,
+		FE_OFN1332_addrn_3,
+		FE_OFN1333_addrn_2,
+		FE_OFN19157_addrn_1,
+		FE_OFN1335_addrn_0 }),
 	.wmask_i(wmaskn),
-	.web_i(FE_OFN19052_FE_OFN1222_webn),
-	.csb_i(FE_OFN1759_n),
-	.clk_i(CTS_1), 
+	.web_i(FE_OFN19158_FE_OFN18822_FE_OFN1379_webn),
+	.csb_i(FE_OFN1912_n),
+	.clk_i(CTS_3), 
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[20]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[20]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1680_u_soc_u_tcam_data_addr_20),
 	.Q(addrn[20]),
-	.RESET_B(FE_OFN4_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[5]  (
-	.CLK_N(clk_i_clone2),
+	.CLK_N(clk_i_clone5),
 	.D(addr_i[5]),
 	.Q(addrn[5]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[6]  (
-	.CLK_N(clk_i_clone5),
-	.D(addr_i[6]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1692_u_soc_u_tcam_data_addr_6),
 	.Q(addrn[6]),
-	.RESET_B(FE_OFN10_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[7]  (
-	.CLK_N(clk_i_clone5),
-	.D(addr_i[7]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1691_u_soc_u_tcam_data_addr_7),
 	.Q(addrn[7]),
-	.RESET_B(FE_OFN10_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[8]  (
-	.CLK_N(clk_i_clone5),
-	.D(addr_i[8]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1690_u_soc_u_tcam_data_addr_8),
 	.Q(addrn[8]),
-	.RESET_B(FE_OFN10_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[9]  (
-	.CLK_N(clk_i_clone4),
-	.D(addr_i[9]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1689_u_soc_u_tcam_data_addr_9),
 	.Q(addrn[9]),
-	.RESET_B(FE_OFN9_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[10]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[10]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN18293_u_soc_u_tcam_data_addr_10),
 	.Q(addrn[10]),
-	.RESET_B(FE_OFN9_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[11]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[11]),
+	.CLK_N(CTS_2),
+	.D(FE_PSN3970_FE_OFN18292_u_soc_u_tcam_data_addr_11),
 	.Q(addrn[11]),
-	.RESET_B(FE_OFN9_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[12]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[12]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1688_u_soc_u_tcam_data_addr_12),
 	.Q(addrn[12]),
-	.RESET_B(FE_OFN9_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[13]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[13]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1687_u_soc_u_tcam_data_addr_13),
 	.Q(addrn[13]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[14]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[14]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1686_u_soc_u_tcam_data_addr_14),
 	.Q(addrn[14]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[15]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[15]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1685_u_soc_u_tcam_data_addr_15),
 	.Q(addrn[15]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[16]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[16]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1684_u_soc_u_tcam_data_addr_16),
 	.Q(addrn[16]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[17]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[17]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1683_u_soc_u_tcam_data_addr_17),
 	.Q(addrn[17]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[18]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[18]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1682_u_soc_u_tcam_data_addr_18),
 	.Q(addrn[18]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[19]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[19]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1681_u_soc_u_tcam_data_addr_19),
 	.Q(addrn[19]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[4]  (
-	.CLK_N(clk_i_clone2),
+	.CLK_N(clk_i_clone5),
 	.D(addr_i[4]),
 	.Q(addrn[4]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[21]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[21]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1679_u_soc_u_tcam_data_addr_21),
 	.Q(addrn[21]),
-	.RESET_B(FE_OFN4_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[22]  (
-	.CLK_N(clk_i_clone1),
-	.D(addr_i[22]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1678_u_soc_u_tcam_data_addr_22),
 	.Q(addrn[22]),
-	.RESET_B(FE_OFN4_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[23]  (
-	.CLK_N(FE_USKN4674_CTS_17),
-	.D(addr_i[23]),
+	.CLK_N(clk_i_clone3),
+	.D(FE_OFN1677_u_soc_u_tcam_data_addr_23),
 	.Q(addrn[23]),
-	.RESET_B(FE_OFN2_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[24]  (
-	.CLK_N(clk_i_clone6),
-	.D(FE_OFN18358_u_soc_u_tcam_data_addr_24),
+	.CLK_N(clk_i_clone3),
+	.D(FE_PSN3980_FE_PDN3682_FE_OFN1676_u_soc_u_tcam_data_addr_24),
 	.Q(addrn[24]),
-	.RESET_B(FE_OFN2_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[0]  (
-	.CLK_N(clk_i_clone2),
+	.CLK_N(clk_i_clone5),
 	.D(addr_i[0]),
 	.Q(addrn[0]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[26]  (
-	.CLK_N(clk_i_clone6),
-	.D(FE_OFN18372_u_soc_u_tcam_data_addr_26),
+	.CLK_N(clk_i_clone3),
+	.D(FE_PSN3993_FE_PDN3685_FE_OFN1674_u_soc_u_tcam_data_addr_26),
 	.Q(addrn[26]),
-	.RESET_B(FE_OFN2_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[27]  (
-	.CLK_N(clk_i_clone6),
-	.D(addr_i[27]),
+	.CLK_N(clk_i_clone3),
+	.D(FE_OFN1673_u_soc_u_tcam_data_addr_27),
 	.Q(addrn[27]),
-	.RESET_B(FE_OFN2_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[2]  (
-	.CLK_N(clk_i_clone3),
+	.CLK_N(CTS_2),
 	.D(wmask_i[2]),
 	.Q(wmaskn[2]),
-	.RESET_B(FE_OFN3_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[3]  (
-	.CLK_N(clk_i_clone3),
-	.D(wmask_i[3]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1672_u_soc_u_tcam_u_tcam_adapter_wmask_int_0__30),
 	.Q(wmaskn[3]),
-	.RESET_B(FE_OFN3_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[1]  (
-	.CLK_N(clk_i_clone3),
-	.D(wmask_i[1]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN1810_u_soc_u_tcam_u_tcam_adapter_wmask_int_0__14),
 	.Q(wmaskn[1]),
-	.RESET_B(FE_OFN3_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[1]  (
-	.CLK_N(clk_i_clone2),
-	.D(addr_i[1]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN18455_u_soc_u_tcam_data_addr_1),
 	.Q(addrn[1]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[25]  (
-	.CLK_N(clk_i_clone6),
-	.D(addr_i[25]),
+	.CLK_N(clk_i_clone3),
+	.D(FE_OFN1675_u_soc_u_tcam_data_addr_25),
 	.Q(addrn[25]),
-	.RESET_B(FE_OFN2_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wmaskn_reg[0]  (
-	.CLK_N(clk_i_clone3),
-	.D(wmask_i[0]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN18295_u_soc_u_tcam_u_tcam_adapter_wmask_int_0__6),
 	.Q(wmaskn[0]),
-	.RESET_B(FE_OFN3_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 webn_reg (
-	.CLK_N(clk_i_clone3),
-	.D(web_i),
+	.CLK_N(CTS_2),
+	.D(FE_OFN18082_u_soc_u_tcam_n_27),
 	.Q(webn),
-	.RESET_B(FE_OFN3_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[2]  (
-	.CLK_N(clk_i_clone2),
+	.CLK_N(clk_i_clone5),
 	.D(addr_i[2]),
 	.Q(addrn[2]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[31]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone2),
 	.D(wdata_i[31]),
 	.Q(wdatan[31]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN0_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[15]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(CTS_2),
 	.D(wdata_i[15]),
 	.Q(wdatan[15]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[30]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone2),
 	.D(wdata_i[30]),
 	.Q(wdatan[30]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN0_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[29]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone2),
 	.D(wdata_i[29]),
 	.Q(wdatan[29]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN0_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[28]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone2),
 	.D(wdata_i[28]),
 	.Q(wdatan[28]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN0_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[27]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone2),
 	.D(wdata_i[27]),
 	.Q(wdatan[27]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN0_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[26]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone2),
 	.D(wdata_i[26]),
 	.Q(wdatan[26]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN0_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[25]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone2),
 	.D(wdata_i[25]),
 	.Q(wdatan[25]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN0_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -3222,233 +3773,233 @@
 	.CLK_N(clk_i_clone1),
 	.D(wdata_i[24]),
 	.Q(wdatan[24]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN4_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[23]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone3),
 	.D(wdata_i[23]),
 	.Q(wdatan[23]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[22]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone3),
 	.D(wdata_i[22]),
 	.Q(wdatan[22]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[20]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone3),
 	.D(wdata_i[20]),
 	.Q(wdatan[20]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[19]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone3),
 	.D(wdata_i[19]),
 	.Q(wdatan[19]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN1_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[21]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone3),
 	.D(wdata_i[21]),
 	.Q(wdatan[21]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[18]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone3),
 	.D(wdata_i[18]),
 	.Q(wdatan[18]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN1_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[17]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(clk_i_clone3),
 	.D(wdata_i[17]),
 	.Q(wdatan[17]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN1_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[16]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(CTS_2),
 	.D(wdata_i[16]),
 	.Q(wdatan[16]),
-	.RESET_B(FE_OFN8_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \addrn_reg[3]  (
-	.CLK_N(clk_i_clone2),
+	.CLK_N(clk_i_clone5),
 	.D(addr_i[3]),
 	.Q(addrn[3]),
-	.RESET_B(rst_ni), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[14]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(CTS_2),
 	.D(wdata_i[14]),
 	.Q(wdatan[14]),
-	.RESET_B(FE_OFN9_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[13]  (
-	.CLK_N(clk_i_clone1),
+	.CLK_N(CTS_2),
 	.D(wdata_i[13]),
 	.Q(wdatan[13]),
-	.RESET_B(FE_OFN9_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[12]  (
-	.CLK_N(clk_i_clone1),
-	.D(wdata_i[12]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN18250_u_soc_u_tcam_data_wdata_12),
 	.Q(wdatan[12]),
-	.RESET_B(FE_OFN9_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[11]  (
-	.CLK_N(clk_i_clone1),
-	.D(wdata_i[11]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN18278_u_soc_u_tcam_data_wdata_11),
 	.Q(wdatan[11]),
-	.RESET_B(FE_OFN9_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[10]  (
-	.CLK_N(clk_i_clone1),
-	.D(wdata_i[10]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN18238_u_soc_u_tcam_data_wdata_10),
 	.Q(wdatan[10]),
-	.RESET_B(FE_OFN9_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[9]  (
-	.CLK_N(clk_i_clone1),
-	.D(wdata_i[9]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN18235_u_soc_u_tcam_data_wdata_9),
 	.Q(wdatan[9]),
-	.RESET_B(FE_OFN9_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[8]  (
-	.CLK_N(clk_i_clone4),
-	.D(wdata_i[8]),
+	.CLK_N(CTS_2),
+	.D(FE_PSN3979_FE_OFN18600_u_soc_u_tcam_data_wdata_8),
 	.Q(wdatan[8]),
-	.RESET_B(FE_OFN9_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[7]  (
-	.CLK_N(clk_i_clone4),
+	.CLK_N(CTS_2),
 	.D(wdata_i[7]),
 	.Q(wdatan[7]),
-	.RESET_B(FE_OFN9_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[6]  (
-	.CLK_N(clk_i_clone5),
+	.CLK_N(CTS_2),
 	.D(wdata_i[6]),
 	.Q(wdatan[6]),
-	.RESET_B(FE_OFN10_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[5]  (
-	.CLK_N(clk_i_clone5),
+	.CLK_N(CTS_2),
 	.D(wdata_i[5]),
 	.Q(wdatan[5]),
-	.RESET_B(FE_OFN10_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[4]  (
-	.CLK_N(clk_i_clone5),
+	.CLK_N(CTS_2),
 	.D(wdata_i[4]),
 	.Q(wdatan[4]),
-	.RESET_B(FE_OFN10_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[3]  (
-	.CLK_N(clk_i_clone5),
+	.CLK_N(CTS_2),
 	.D(wdata_i[3]),
 	.Q(wdatan[3]),
-	.RESET_B(FE_OFN10_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[2]  (
-	.CLK_N(clk_i_clone5),
+	.CLK_N(CTS_2),
 	.D(wdata_i[2]),
 	.Q(wdatan[2]),
-	.RESET_B(FE_OFN10_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[1]  (
-	.CLK_N(clk_i_clone5),
+	.CLK_N(CTS_2),
 	.D(wdata_i[1]),
 	.Q(wdatan[1]),
-	.RESET_B(FE_OFN10_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \wdatan_reg[0]  (
-	.CLK_N(clk_i_clone5),
-	.D(wdata_i[0]),
+	.CLK_N(CTS_2),
+	.D(FE_OFN18225_u_soc_u_tcam_data_wdata_0),
 	.Q(wdatan[0]),
-	.RESET_B(FE_OFN10_io_out_37), 
+	.RESET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfbbn_1 csbn_reg (
-	.CLK_N(clk_i_clone2),
+	.CLK_N(CTS_2),
 	.D(csb_i),
 	.Q(csbn),
 	.RESET_B(logic_1_1_net),
-	.SET_B(rst_ni), 
+	.SET_B(FE_OFN65_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -3528,14 +4079,8 @@
 	ready_wb_i, 
 	perf_jump_o, 
 	perf_tbranch_o, 
-	FE_OFN6_io_out_37, 
-	FE_OFN5_io_out_37, 
-	FE_OFN0_io_out_37, 
-	FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27, 
-	FE_OFN18481_u_soc_u_top_u_core_instr_rdata_id_30, 
-	FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26, 
-	FE_OFN18534_n, 
-	clk_i_clone1, 
+	FE_OFN11_io_out_37, 
+	FE_OFN18547_u_soc_u_top_u_core_instr_rdata_id_19, 
 	clk_i, 
 	vccd1, 
 	vssd1);
@@ -3605,23 +4150,15 @@
    input ready_wb_i;
    output perf_jump_o;
    output perf_tbranch_o;
-   input FE_OFN6_io_out_37;
-   input FE_OFN5_io_out_37;
-   input FE_OFN0_io_out_37;
-   input FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27;
-   input FE_OFN18481_u_soc_u_top_u_core_instr_rdata_id_30;
-   input FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26;
-   input FE_OFN18534_n;
-   input clk_i_clone1;
+   input FE_OFN11_io_out_37;
+   input FE_OFN18547_u_soc_u_top_u_core_instr_rdata_id_19;
    input clk_i;
    inout vccd1;
    inout vssd1;
 
    // Internal wires
-   wire FE_PSN4434_u_soc_u_top_u_core_instr_valid_id;
-   wire FE_OFN18197_n_301;
-   wire FE_OFN1223_n_73;
-   wire FE_OFN1143_u_soc_u_top_u_core_trigger_match;
+   wire FE_OFN1666_u_soc_u_top_u_core_trigger_match;
+   wire FE_OFN1380_n_73;
    wire [3:0] ctrl_fsm_cs;
    wire enter_debug_mode;
    wire exc_req_q;
@@ -3725,7 +4262,6 @@
    wire n_160;
    wire n_299;
    wire n_300;
-   wire n_301;
    wire n_302;
    wire n_303;
    wire n_304;
@@ -3737,52 +4273,16 @@
    wire special_req_all;
 
    // Module instantiations
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4434_u_soc_u_top_u_core_instr_valid_id (
-	.A(instr_valid_i),
-	.X(FE_PSN4434_u_soc_u_top_u_core_instr_valid_id), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_90 (
-	.DIODE(instr_i[23]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_4 FE_RC_16_0 (
-	.A1(jump_set_i),
-	.A2(branch_set_spec_i),
-	.B1_N(n_4),
-	.Y(n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2006_n_301 (
-	.A(id_in_ready_o),
-	.Y(FE_OFN18197_n_301), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2005_n_301 (
-	.A(n_301),
-	.Y(id_in_ready_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1223_n_73 (
-	.A(n_73),
-	.X(FE_OFN1223_n_73), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1143_u_soc_u_top_u_core_trigger_match (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1666_u_soc_u_top_u_core_trigger_match (
 	.A(trigger_match_i),
-	.X(FE_OFN1143_u_soc_u_top_u_core_trigger_match), 
+	.X(FE_OFN1666_u_soc_u_top_u_core_trigger_match), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1380_n_73 (
+	.A(n_73),
+	.X(FE_OFN1380_n_73), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -3831,7 +4331,7 @@
 	.B1(n_103),
 	.C1(n_101),
 	.D1(n_80),
-	.Y(n_301), 
+	.Y(id_in_ready_o), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -3913,7 +4413,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g7335__6131 (
+   sky130_fd_sc_hd__nand2_1 g7335__6131 (
 	.A(n_45),
 	.B(n_79),
 	.Y(pc_set_o), 
@@ -3968,7 +4468,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7341__9315 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[9]),
 	.B1(n_74),
 	.B2(instr_compressed_i[9]),
@@ -3987,7 +4487,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7343__2883 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[15]),
 	.B1(n_74),
 	.B2(instr_compressed_i[15]),
@@ -3997,7 +4497,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7344__2346 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[14]),
 	.B1(n_74),
 	.B2(instr_compressed_i[14]),
@@ -4007,7 +4507,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7345__1666 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[13]),
 	.B1(n_74),
 	.B2(instr_compressed_i[13]),
@@ -4017,7 +4517,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7346__7410 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[12]),
 	.B1(n_74),
 	.B2(instr_compressed_i[12]),
@@ -4027,7 +4527,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7347__6417 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[11]),
 	.B1(n_74),
 	.B2(instr_compressed_i[11]),
@@ -4037,7 +4537,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7348__5477 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[10]),
 	.B1(n_74),
 	.B2(instr_compressed_i[10]),
@@ -4055,7 +4555,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7350__5107 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[0]),
 	.B1(n_74),
 	.B2(instr_compressed_i[0]),
@@ -4065,7 +4565,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7351__6260 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[7]),
 	.B1(n_74),
 	.B2(instr_compressed_i[7]),
@@ -4075,7 +4575,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7352__4319 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[6]),
 	.B1(n_74),
 	.B2(instr_compressed_i[6]),
@@ -4085,7 +4585,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7353__8428 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[5]),
 	.B1(n_74),
 	.B2(instr_compressed_i[5]),
@@ -4095,7 +4595,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7354__5526 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[4]),
 	.B1(n_74),
 	.B2(instr_compressed_i[4]),
@@ -4105,7 +4605,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7355__6783 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[3]),
 	.B1(n_74),
 	.B2(instr_compressed_i[3]),
@@ -4115,7 +4615,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7356__3680 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[2]),
 	.B1(n_74),
 	.B2(instr_compressed_i[2]),
@@ -4125,7 +4625,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7357__1617 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[1]),
 	.B1(n_74),
 	.B2(instr_compressed_i[1]),
@@ -4135,7 +4635,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7358__2802 (
-	.A1(FE_OFN1223_n_73),
+	.A1(FE_OFN1380_n_73),
 	.A2(instr_i[8]),
 	.B1(n_74),
 	.B2(instr_compressed_i[8]),
@@ -4145,7 +4645,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g7359__1705 (
-	.A(FE_OFN1223_n_73),
+	.A(FE_OFN1380_n_73),
 	.B(instr_i[22]),
 	.X(csr_mtval_o[22]), 
 	.VPWR(vccd1), 
@@ -4153,7 +4653,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g7360__5122 (
-	.A(FE_OFN1223_n_73),
+	.A(FE_OFN1380_n_73),
 	.B(instr_i[21]),
 	.X(csr_mtval_o[21]), 
 	.VPWR(vccd1), 
@@ -4161,23 +4661,23 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g7361__8246 (
-	.A(FE_OFN1223_n_73),
-	.B(FE_OFN18534_n),
+	.A(FE_OFN1380_n_73),
+	.B(instr_i[20]),
 	.X(csr_mtval_o[20]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g7362__7098 (
-	.A(FE_OFN1223_n_73),
-	.B(instr_i[19]),
+	.A(FE_OFN1380_n_73),
+	.B(FE_OFN18547_u_soc_u_top_u_core_instr_rdata_id_19),
 	.X(csr_mtval_o[19]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g7363__6131 (
-	.A(FE_OFN1223_n_73),
+	.A(FE_OFN1380_n_73),
 	.B(instr_i[18]),
 	.X(csr_mtval_o[18]), 
 	.VPWR(vccd1), 
@@ -4185,7 +4685,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g7364__1881 (
-	.A(FE_OFN1223_n_73),
+	.A(FE_OFN1380_n_73),
 	.B(instr_i[17]),
 	.X(csr_mtval_o[17]), 
 	.VPWR(vccd1), 
@@ -4193,7 +4693,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g7365__5115 (
-	.A(FE_OFN1223_n_73),
+	.A(FE_OFN1380_n_73),
 	.B(instr_i[16]),
 	.X(csr_mtval_o[16]), 
 	.VPWR(vccd1), 
@@ -4201,7 +4701,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g7366__7482 (
-	.A(FE_OFN1223_n_73),
+	.A(FE_OFN1380_n_73),
 	.B(instr_i[23]),
 	.X(csr_mtval_o[23]), 
 	.VPWR(vccd1), 
@@ -4209,7 +4709,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g7367__4733 (
-	.A(FE_OFN1223_n_73),
+	.A(FE_OFN1380_n_73),
 	.B(instr_i[31]),
 	.X(csr_mtval_o[31]), 
 	.VPWR(vccd1), 
@@ -4217,7 +4717,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g7368__6161 (
-	.A(FE_OFN1223_n_73),
+	.A(FE_OFN1380_n_73),
 	.B(instr_i[29]),
 	.X(csr_mtval_o[29]), 
 	.VPWR(vccd1), 
@@ -4232,15 +4732,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g7370__9315 (
-	.A(FE_OFN1223_n_73),
-	.B(FE_OFN18481_u_soc_u_top_u_core_instr_rdata_id_30),
+	.A(FE_OFN1380_n_73),
+	.B(instr_i[30]),
 	.X(csr_mtval_o[30]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g7371__9945 (
-	.A(FE_OFN1223_n_73),
+	.A(FE_OFN1380_n_73),
 	.B(instr_i[28]),
 	.X(csr_mtval_o[28]), 
 	.VPWR(vccd1), 
@@ -4248,23 +4748,23 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g7372__2883 (
-	.A(FE_OFN1223_n_73),
-	.B(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
+	.A(FE_OFN1380_n_73),
+	.B(instr_i[27]),
 	.X(csr_mtval_o[27]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g7373__2346 (
-	.A(FE_OFN1223_n_73),
-	.B(FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26),
+	.A(FE_OFN1380_n_73),
+	.B(instr_i[26]),
 	.X(csr_mtval_o[26]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g7374__1666 (
-	.A(FE_OFN1223_n_73),
+	.A(FE_OFN1380_n_73),
 	.B(instr_i[25]),
 	.X(csr_mtval_o[25]), 
 	.VPWR(vccd1), 
@@ -4272,7 +4772,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g7375__7410 (
-	.A(FE_OFN1223_n_73),
+	.A(FE_OFN1380_n_73),
 	.B(instr_i[24]),
 	.X(csr_mtval_o[24]), 
 	.VPWR(vccd1), 
@@ -4423,7 +4923,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g7396__1881 (
-	.A(FE_OFN1143_u_soc_u_top_u_core_trigger_match),
+	.A(FE_OFN1666_u_soc_u_top_u_core_trigger_match),
 	.B(debug_cause_o[0]),
 	.Y(debug_cause_o[2]), 
 	.VPWR(vccd1), 
@@ -4432,7 +4932,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g7397__5115 (
 	.A(debug_cause_o[0]),
-	.B_N(FE_OFN1143_u_soc_u_top_u_core_trigger_match),
+	.B_N(FE_OFN1666_u_soc_u_top_u_core_trigger_match),
 	.Y(debug_cause_o[1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -4616,7 +5116,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21bai_1 g7422__2802 (
 	.A1(debug_single_step_i),
-	.A2(FE_OFN1143_u_soc_u_top_u_core_trigger_match),
+	.A2(FE_OFN1666_u_soc_u_top_u_core_trigger_match),
 	.B1_N(n_307),
 	.Y(debug_cause_o[0]), 
 	.VPWR(vccd1), 
@@ -4696,13 +5196,22 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g7434__7482 (
 	.A1(debug_single_step_i),
-	.A2(FE_PSN4434_u_soc_u_top_u_core_instr_valid_id),
-	.B1(FE_OFN1143_u_soc_u_top_u_core_trigger_match),
+	.A2(instr_valid_i),
+	.B1(FE_OFN1666_u_soc_u_top_u_core_trigger_match),
 	.Y(n_46), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 g7435__4733 (
+	.A1(jump_set_i),
+	.A2(branch_set_spec_i),
+	.B1(controller_run_o),
+	.Y(n_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__nor3b_1 g7436__6161 (
 	.A(priv_mode_i[1]),
 	.B(priv_mode_i[0]),
@@ -4819,7 +5328,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g7451__6260 (
 	.A(ecall_insn_i),
-	.B(FE_PSN4434_u_soc_u_top_u_core_instr_valid_id),
+	.B(instr_valid_i),
 	.Y(n_106), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -5049,7 +5558,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g6568__2883 (
-	.A1(FE_OFN18197_n_301),
+	.A1(id_in_ready_o),
 	.A2(handle_irq),
 	.B1(n_8),
 	.Y(n_10), 
@@ -5080,7 +5589,7 @@
 	.CLK(clk_i),
 	.D(n_1),
 	.Q(illegal_insn_q),
-	.RESET_B(FE_OFN6_io_out_37), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -5130,11 +5639,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 debug_mode_q_reg (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i),
 	.D(n_9),
 	.Q(debug_mode_o),
 	.Q_N(n_36),
-	.RESET_B(FE_OFN5_io_out_37), 
+	.RESET_B(FE_OFN11_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -5154,7 +5663,7 @@
 	.D(n_2),
 	.Q(exc_req_q),
 	.Q_N(n_5),
-	.RESET_B(FE_OFN0_io_out_37), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -5202,7 +5711,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g7467__8428 (
 	.A(n_62),
-	.B_N(FE_PSN4434_u_soc_u_top_u_core_instr_valid_id),
+	.B_N(instr_valid_i),
 	.Y(n_308), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -5271,19 +5780,15 @@
 	branch_in_dec_o, 
 	FE_OFN12_u_soc_u_top_u_core_instr_rdata_id_30, 
 	FE_OFN13_u_soc_u_top_u_core_instr_rdata_id_29, 
-	FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_27, 
-	FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24, 
-	FE_OFN16_u_soc_u_top_u_core_instr_rdata_id_14, 
-	FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12, 
-	FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30, 
-	FE_OFN23_u_soc_u_top_u_core_instr_rdata_id_31, 
-	FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_28, 
-	FE_OFN25_n, 
-	FE_OFN26_u_soc_u_top_u_core_instr_rdata_id_14, 
-	FE_OFN27_u_soc_u_top_u_core_instr_rdata_id_25, 
-	FE_OFN29_u_soc_u_top_u_core_instr_rdata_id_12, 
-	FE_OFN28_u_soc_u_top_u_core_instr_rdata_id_12, 
-	FE_OFN30_u_soc_u_top_u_core_instr_rdata_id_30, 
+	FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_25, 
+	FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_13, 
+	FE_OFN19_u_soc_u_top_u_core_instr_rdata_id_30, 
+	FE_OFN20_u_soc_u_top_u_core_instr_rdata_id_31, 
+	FE_OFN21_u_soc_u_top_u_core_instr_rdata_id_27, 
+	FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_28, 
+	FE_OFN23_u_soc_u_top_u_core_instr_rdata_id_26, 
+	FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_25, 
+	FE_OFN18204_u_soc_u_top_u_core_instr_rdata_id_6, 
 	vccd1, 
 	vssd1);
    input clk_i;
@@ -5338,77 +5843,35 @@
    output branch_in_dec_o;
    input FE_OFN12_u_soc_u_top_u_core_instr_rdata_id_30;
    input FE_OFN13_u_soc_u_top_u_core_instr_rdata_id_29;
-   input FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_27;
-   input FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24;
-   input FE_OFN16_u_soc_u_top_u_core_instr_rdata_id_14;
-   input FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12;
-   input FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30;
-   input FE_OFN23_u_soc_u_top_u_core_instr_rdata_id_31;
-   input FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_28;
-   input FE_OFN25_n;
-   input FE_OFN26_u_soc_u_top_u_core_instr_rdata_id_14;
-   input FE_OFN27_u_soc_u_top_u_core_instr_rdata_id_25;
-   input FE_OFN29_u_soc_u_top_u_core_instr_rdata_id_12;
-   input FE_OFN28_u_soc_u_top_u_core_instr_rdata_id_12;
-   input FE_OFN30_u_soc_u_top_u_core_instr_rdata_id_30;
+   input FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_25;
+   input FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_13;
+   input FE_OFN19_u_soc_u_top_u_core_instr_rdata_id_30;
+   input FE_OFN20_u_soc_u_top_u_core_instr_rdata_id_31;
+   input FE_OFN21_u_soc_u_top_u_core_instr_rdata_id_27;
+   input FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_28;
+   input FE_OFN23_u_soc_u_top_u_core_instr_rdata_id_26;
+   input FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_25;
+   input FE_OFN18204_u_soc_u_top_u_core_instr_rdata_id_6;
    inout vccd1;
    inout vssd1;
 
    // Internal wires
-   wire FE_COEN4873_n_153;
-   wire FE_COEN4868_n_54;
-   wire FE_COEN4865_n_109;
-   wire FE_PSN4839_n_44;
-   wire FE_PSN4832_n_11;
-   wire FE_PSN4830_n_103;
-   wire FE_PSN4829_n_108;
-   wire FE_PSN4827_n_240;
-   wire FE_PSN4826_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1;
-   wire FE_PSN4803_n_239;
-   wire FE_PSN4799_n_236;
-   wire FE_PSN4798_n_56;
-   wire FE_PSRN_2;
-   wire FE_COEN4704_n_58;
-   wire FE_COEN4685_FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1;
-   wire FE_COEN4682_n_165;
-   wire FE_COEN4679_n_115;
-   wire FE_PSN4642_n_94;
-   wire FE_PSN4460_u_soc_u_top_u_core_mult_sel_ex;
-   wire FE_PSN4446_n_185;
-   wire FE_PSN4445_n_81;
-   wire FE_PSN4442_n_70;
-   wire FE_PSN4441_n_166;
-   wire FE_PSN4437_n_99;
-   wire FE_PSN4435_n_86;
-   wire FE_PSN4386_n_94;
-   wire FE_COEN4326_n_32;
-   wire FE_COEN4305_FE_RN_115_0;
-   wire FE_COEN4296_n_60;
-   wire FE_COEN4291_n_239;
-   wire FE_COEN4286_n_225;
-   wire FE_COEN4284_n_54;
-   wire FE_COEN4281_n_176;
-   wire FE_COEN4280_n_226;
-   wire FE_RN_128_0;
-   wire FE_RN_127_0;
-   wire FE_RN_126_0;
-   wire FE_RN_121_0;
-   wire FE_RN_116_0;
-   wire FE_RN_115_0;
-   wire FE_RN_100_0;
-   wire FE_RN_99_0;
-   wire FE_RN_98_0;
+   wire FE_OFN19053_n_45;
+   wire FE_OFN19033_u_soc_u_top_u_core_instr_rdata_id_13;
+   wire FE_OFN19032_u_soc_u_top_u_core_instr_rdata_id_13;
+   wire FE_OFN18606_n_21;
+   wire FE_OFN18545_n_57;
+   wire FE_OFN18416_u_soc_u_top_u_core_instr_rdata_id_4;
+   wire FE_OFN18412_n_81;
+   wire FE_OFN18410_n_81;
    wire FE_RN_1;
-   wire FE_OFN18289_n_45;
-   wire FE_OFN18288_n_57;
-   wire FE_OFN18284_u_soc_u_top_u_core_instr_rdata_id_6;
-   wire FE_OFN18255_n_74;
-   wire FE_OFN18253_n_55;
-   wire FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1;
+   wire FE_OFN18178_n_55;
+   wire FE_OFN18169_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1;
+   wire FE_OFN18168_n_74;
    wire n_1;
    wire n_4;
+   wire n_5;
    wire n_6;
-   wire n_8;
    wire n_9;
    wire n_11;
    wire n_13;
@@ -5500,6 +5963,7 @@
    wire n_138;
    wire n_140;
    wire n_141;
+   wire n_142;
    wire n_145;
    wire n_146;
    wire n_147;
@@ -5545,535 +6009,206 @@
    wire n_240;
 
    // Module instantiations
-   sky130_fd_sc_hd__buf_6 FE_COEC4873_n_153 (
-	.A(n_153),
-	.X(FE_COEN4873_n_153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4868_n_54 (
-	.A(n_54),
-	.X(FE_COEN4868_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4865_n_109 (
-	.A(n_109),
-	.X(FE_COEN4865_n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4839_n_44 (
-	.A(n_44),
-	.X(FE_PSN4839_n_44), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4832_n_11 (
-	.A(n_11),
-	.X(FE_PSN4832_n_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4830_n_103 (
-	.A(n_103),
-	.X(FE_PSN4830_n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4829_n_108 (
-	.A(n_108),
-	.X(FE_PSN4829_n_108), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4827_n_240 (
-	.A(n_240),
-	.X(FE_PSN4827_n_240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4826_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
-	.A(bt_a_mux_sel_o[1]),
-	.X(FE_PSN4826_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4803_n_239 (
-	.A(n_239),
-	.X(FE_PSN4803_n_239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4799_n_236 (
-	.A(n_236),
-	.X(FE_PSN4799_n_236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4798_n_56 (
-	.A(n_56),
-	.X(FE_PSN4798_n_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4797_u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec_2 (
-	.A(FE_PSRN_2),
-	.X(imm_b_mux_sel_o[2]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4704_n_58 (
-	.A(n_58),
-	.X(FE_COEN4704_n_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4685_FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1 (
-	.A(FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
-	.X(FE_COEN4685_FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4682_n_165 (
-	.A(n_165),
-	.X(FE_COEN4682_n_165), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4679_n_115 (
-	.A(n_115),
-	.X(FE_COEN4679_n_115), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4642_n_94 (
-	.A(n_94),
-	.X(FE_PSN4642_n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4460_u_soc_u_top_u_core_mult_sel_ex (
-	.A(mult_sel_o),
-	.X(FE_PSN4460_u_soc_u_top_u_core_mult_sel_ex), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4446_n_185 (
-	.A(n_185),
-	.X(FE_PSN4446_n_185), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4445_n_81 (
-	.A(n_81),
-	.X(FE_PSN4445_n_81), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4442_n_70 (
-	.A(n_70),
-	.X(FE_PSN4442_n_70), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4441_n_166 (
-	.A(n_166),
-	.X(FE_PSN4441_n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4437_n_99 (
-	.A(n_99),
-	.X(FE_PSN4437_n_99), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4435_n_86 (
-	.A(n_86),
-	.X(FE_PSN4435_n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSC4386_n_94 (
-	.A(n_94),
-	.X(FE_PSN4386_n_94), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4326_n_32 (
-	.A(n_32),
-	.X(FE_COEN4326_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4305_FE_RN_115_0 (
-	.A(FE_RN_115_0),
-	.X(FE_COEN4305_FE_RN_115_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4296_n_60 (
-	.A(n_60),
-	.X(FE_COEN4296_n_60), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4291_n_239 (
-	.A(FE_PSN4803_n_239),
-	.X(FE_COEN4291_n_239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4286_n_225 (
-	.A(n_225),
-	.X(FE_COEN4286_n_225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4284_n_54 (
-	.A(FE_COEN4868_n_54),
-	.X(FE_COEN4284_n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4281_n_176 (
-	.A(n_176),
-	.X(FE_COEN4281_n_176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4280_n_226 (
-	.A(n_226),
-	.X(FE_COEN4280_n_226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 FE_RC_209_0 (
-	.A(FE_OFN18255_n_74),
-	.B(n_94),
-	.Y(FE_RN_127_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_2 FE_RC_208_0 (
-	.A_N(n_60),
-	.B(FE_RN_127_0),
-	.Y(FE_RN_128_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_207_0 (
-	.A(n_178),
-	.B(FE_RN_128_0),
-	.Y(n_192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 FE_RC_206_0 (
-	.A(n_8),
-	.B(instr_rdata_alu_i[3]),
-	.X(FE_RN_126_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 FE_RC_205_0 (
-	.A(n_38),
-	.B(FE_PSN4798_n_56),
-	.C(FE_RN_126_0),
-	.Y(n_64), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC3856_n_74 (
-	.A(n_74),
-	.Y(n_86), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_RC_194_0 (
-	.A(FE_RN_121_0),
-	.Y(n_237), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_4 FE_RC_193_0 (
-	.A_N(n_140),
-	.B(FE_RN_1),
-	.C(instr_rdata_alu_i[25]),
-	.Y(FE_RN_121_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 FE_RC_182_0 (
-	.A(n_69),
-	.B(FE_PSRN_2),
-	.Y(FE_RN_115_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_181_0 (
-	.A(FE_RN_116_0),
-	.Y(n_236), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_180_0 (
-	.A(FE_RN_115_0),
-	.B(n_94),
-	.Y(FE_RN_116_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_156_0 (
-	.A(n_13),
-	.Y(FE_RN_100_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 FE_RC_155_0 (
-	.A(n_34),
-	.B(FE_RN_100_0),
-	.C(n_44),
-	.Y(n_62), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 FE_RC_154_0 (
-	.A(n_103),
-	.B(FE_COEN4286_n_225),
-	.X(FE_RN_98_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_RC_153_0 (
-	.A(FE_RN_99_0),
-	.Y(n_240), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_2 FE_RC_152_0 (
-	.A1(n_120),
-	.A2(n_185),
-	.B1(n_164),
-	.C1(FE_RN_98_0),
-	.Y(FE_RN_99_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_4 FE_RC_133_0 (
-	.A(instr_rdata_alu_i[27]),
-	.B(instr_rdata_alu_i[28]),
-	.C(instr_rdata_alu_i[29]),
-	.Y(n_239), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2853_u_soc_u_top_u_core_instr_rdata_id_4 (
-	.A(instr_rdata_alu_i[4]),
-	.Y(n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2828_n_28 (
-	.A(n_28),
-	.Y(n_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2821_n_108 (
-	.A(n_108),
-	.Y(n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2820_u_soc_u_top_u_core_instr_rdata_id_5 (
-	.A(instr_rdata_alu_i[5]),
-	.Y(n_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2809_u_soc_u_top_u_core_instr_rdata_id_6 (
-	.A(n_8),
-	.Y(FE_OFN18284_u_soc_u_top_u_core_instr_rdata_id_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC2808_u_soc_u_top_u_core_instr_rdata_id_6 (
-	.A(instr_rdata_alu_i[6]),
-	.Y(n_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2771_n_56 (
-	.A(FE_PSN4798_n_56),
-	.Y(FE_OFN18288_n_57), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2768_n_55 (
-	.A(n_55),
-	.Y(n_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2698_u_soc_u_top_u_core_instr_rdata_id_14 (
+   sky130_fd_sc_hd__inv_2 FE_OFC3625_u_soc_u_top_u_core_instr_rdata_id_14 (
 	.A(instr_rdata_alu_i[14]),
 	.Y(n_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2621_u_soc_u_top_u_core_instr_rdata_id_30 (
-	.A(FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30),
-	.Y(FE_RN_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2563_n_111 (
-	.A(n_111),
-	.Y(n_110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2560_n_81 (
-	.A(n_81),
-	.Y(n_80), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2362_n_96 (
-	.A(n_96),
-	.Y(imm_a_mux_sel_o), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2334_n_102 (
-	.A(n_102),
-	.Y(n_103), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2322_n_45 (
+   sky130_fd_sc_hd__inv_2 FE_OFC3610_n_45 (
 	.A(n_46),
-	.Y(FE_OFN18289_n_45), 
+	.Y(FE_OFN19053_n_45), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2321_n_45 (
+   sky130_fd_sc_hd__inv_2 FE_OFC3609_n_45 (
 	.A(n_45),
 	.Y(n_46), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2319_n_57 (
-	.A(n_57),
-	.Y(n_56), 
+   sky130_fd_sc_hd__inv_1 FE_OFC3585_u_soc_u_top_u_core_instr_rdata_id_13 (
+	.A(instr_rdata_alu_i[13]),
+	.Y(FE_OFN19033_u_soc_u_top_u_core_instr_rdata_id_13), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2236_u_soc_u_top_u_core_instr_rdata_id_13 (
+   sky130_fd_sc_hd__inv_2 FE_OFC3584_u_soc_u_top_u_core_instr_rdata_id_13 (
 	.A(instr_rdata_alu_i[13]),
 	.Y(n_6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2223_n_74 (
-	.A(FE_PSN4435_n_86),
-	.Y(FE_OFN18255_n_74), 
+   sky130_fd_sc_hd__inv_2 FE_OFC3583_u_soc_u_top_u_core_instr_rdata_id_13 (
+	.A(instr_rdata_alu_i[13]),
+	.Y(FE_OFN19032_u_soc_u_top_u_core_instr_rdata_id_13), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2213_n_55 (
-	.A(FE_COEN4284_n_54),
-	.Y(FE_OFN18253_n_55), 
+   sky130_fd_sc_hd__inv_2 FE_OFC3556_u_soc_u_top_u_core_instr_rdata_id_12 (
+	.A(instr_rdata_alu_i[12]),
+	.Y(n_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2208_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1 (
+   sky130_fd_sc_hd__nor3b_2 FE_RC_230_0 (
+	.A(n_69),
+	.B(imm_b_mux_sel_o[2]),
+	.C_N(n_94),
+	.Y(n_236), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3_4 FE_RC_209_0 (
+	.A(instr_rdata_alu_i[28]),
+	.B(instr_rdata_alu_i[27]),
+	.C(instr_rdata_alu_i[29]),
+	.Y(n_239), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC3057_n_21 (
+	.A(n_22),
+	.Y(FE_OFN18606_n_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3056_n_21 (
+	.A(n_21),
+	.Y(n_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3007_n_55 (
+	.A(n_55),
+	.Y(n_54), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2981_n_108 (
+	.A(n_108),
+	.Y(n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2976_n_86 (
+	.A(n_86),
+	.Y(FE_OFN18168_n_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2922_n_57 (
+	.A(n_56),
+	.Y(FE_OFN18545_n_57), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2921_n_57 (
+	.A(n_57),
+	.Y(n_56), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2737_u_soc_u_top_u_core_instr_rdata_id_4 (
+	.A(n_9),
+	.Y(FE_OFN18416_u_soc_u_top_u_core_instr_rdata_id_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2736_u_soc_u_top_u_core_instr_rdata_id_4 (
+	.A(instr_rdata_alu_i[4]),
+	.Y(n_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2729_n_81 (
+	.A(n_80),
+	.Y(FE_OFN18412_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2727_n_81 (
+	.A(n_80),
+	.Y(FE_OFN18410_n_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2726_n_81 (
+	.A(n_81),
+	.Y(n_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2639_u_soc_u_top_u_core_instr_rdata_id_30 (
+	.A(instr_rdata_alu_i[30]),
+	.Y(FE_RN_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2460_u_soc_u_top_u_core_instr_rdata_id_5 (
+	.A(instr_rdata_alu_i[5]),
+	.Y(n_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2365_n_55 (
+	.A(n_54),
+	.Y(FE_OFN18178_n_55), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2344_n_102 (
+	.A(n_102),
+	.Y(n_103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2343_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1 (
 	.A(bt_b_mux_sel_o[1]),
-	.Y(FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1), 
+	.Y(FE_OFN18169_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2207_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1 (
+   sky130_fd_sc_hd__buf_4 FE_OFC2342_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1 (
 	.A(n_62),
 	.X(bt_b_mux_sel_o[1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2340_n_74 (
+	.A(n_74),
+	.Y(n_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g5902__5526 (
 	.A(jump_in_dec_o),
 	.B(instr_first_cycle_i),
@@ -6082,7 +6217,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g5903__6783 (
+   sky130_fd_sc_hd__nor2_1 g5903__6783 (
 	.A(n_109),
 	.B(illegal_insn_o),
 	.Y(data_req_o), 
@@ -6091,7 +6226,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5904__3680 (
-	.A(FE_COEN4685_FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.A(FE_OFN18169_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
 	.B(illegal_insn_o),
 	.Y(branch_in_dec_o), 
 	.VPWR(vccd1), 
@@ -6108,7 +6243,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g5906__2802 (
 	.A(illegal_insn_o),
-	.B_N(FE_PSN4460_u_soc_u_top_u_core_mult_sel_ex),
+	.B_N(mult_sel_o),
 	.Y(mult_en_o), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6157,7 +6292,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g5912__1881 (
+   sky130_fd_sc_hd__nand3_1 g5912__1881 (
 	.A(n_240),
 	.B(n_182),
 	.C(n_188),
@@ -6169,7 +6304,7 @@
    sky130_fd_sc_hd__or4_1 g5914__5115 (
 	.A(illegal_c_insn_i),
 	.B(n_147),
-	.C(FE_COEN4682_n_165),
+	.C(n_165),
 	.D(n_207),
 	.X(n_208), 
 	.VPWR(vccd1), 
@@ -6180,13 +6315,13 @@
 	.A1(n_160),
 	.A2(n_171),
 	.A3(n_174),
-	.B1(FE_PSN4442_n_70),
+	.B1(n_70),
 	.Y(n_207), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g5916__4733 (
+   sky130_fd_sc_hd__nand2_1 g5916__4733 (
 	.A(n_240),
 	.B(n_191),
 	.Y(alu_operator_o[1]), 
@@ -6196,7 +6331,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g5920__6161 (
 	.A(n_196),
-	.B(FE_PSN4799_n_236),
+	.B(n_236),
 	.C(n_227),
 	.Y(alu_op_b_mux_sel_o), 
 	.VPWR(vccd1), 
@@ -6212,20 +6347,20 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g5924__9945 (
+   sky130_fd_sc_hd__nand3_1 g5924__9945 (
 	.A(n_196),
 	.B(n_167),
-	.C(FE_COEN4305_FE_RN_115_0),
+	.C(n_142),
 	.Y(alu_op_a_mux_sel_o[1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o211ai_2 g5927__2883 (
+   sky130_fd_sc_hd__o211ai_1 g5927__2883 (
 	.A1(n_33),
-	.A2(FE_OFN18288_n_57),
+	.A2(FE_OFN18545_n_57),
 	.B1(n_167),
-	.C1(FE_COEN4281_n_176),
+	.C1(n_176),
 	.Y(alu_op_a_mux_sel_o[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6242,7 +6377,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g5929__1666 (
+   sky130_fd_sc_hd__nor2_2 g5929__1666 (
 	.A(n_118),
 	.B(n_177),
 	.Y(n_196), 
@@ -6268,8 +6403,18 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__o31ai_1 g5933__5477 (
+	.A1(FE_OFN18168_n_74),
+	.A2(n_60),
+	.A3(n_94),
+	.B1(n_178),
+	.Y(n_192), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g5934 (
-	.A(FE_PSN4446_n_185),
+	.A(n_185),
 	.Y(n_186), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6286,16 +6431,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5937__5107 (
 	.A(n_61),
-	.B(FE_COEN4873_n_153),
+	.B(n_153),
 	.Y(multdiv_signed_mode_o[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g5938__6260 (
-	.A1(FE_COEN4704_n_58),
+	.A1(n_58),
 	.A2(n_17),
-	.B1(FE_COEN4873_n_153),
+	.B1(n_153),
 	.Y(multdiv_signed_mode_o[1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6303,7 +6448,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_4 g5939__4319 (
 	.A(n_48),
-	.B(FE_COEN4873_n_153),
+	.B(n_153),
 	.Y(multdiv_operator_o[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6333,7 +6478,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g5943__3680 (
+   sky130_fd_sc_hd__nand2_1 g5943__3680 (
 	.A(n_236),
 	.B(n_103),
 	.Y(n_185), 
@@ -6341,7 +6486,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g5944__1617 (
+   sky130_fd_sc_hd__nand2_4 g5944__1617 (
 	.A(n_237),
 	.B(instr_rdata_alu_i[14]),
 	.Y(div_sel_o), 
@@ -6357,10 +6502,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g5947__2802 (
-	.A1(FE_COEN4284_n_54),
+	.A1(n_54),
 	.A2(n_89),
-	.B1(FE_OFN18253_n_55),
-	.B2(FE_COEN4326_n_32),
+	.B1(FE_OFN18178_n_55),
+	.B2(n_32),
 	.C1(n_78),
 	.C2(n_91),
 	.Y(n_174), 
@@ -6390,7 +6535,7 @@
    sky130_fd_sc_hd__a21o_1 g5950__8246 (
 	.A1(n_92),
 	.A2(n_134),
-	.B1(FE_OFN18253_n_55),
+	.B1(FE_OFN18178_n_55),
 	.X(n_171), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6416,7 +6561,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_2 g5953__1881 (
+   sky130_fd_sc_hd__o2bb2ai_1 g5953__1881 (
 	.A1_N(n_48),
 	.A2_N(n_110),
 	.B1(n_124),
@@ -6426,11 +6571,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g5954__5115 (
+   sky130_fd_sc_hd__nand4_1 g5954__5115 (
 	.A(n_127),
 	.B(n_103),
 	.C(n_129),
-	.D(FE_PSN4642_n_94),
+	.D(n_94),
 	.Y(n_176), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6454,7 +6599,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g5957__6161 (
 	.A(n_141),
-	.B(FE_COEN4305_FE_RN_115_0),
+	.B(n_142),
 	.Y(imm_b_mux_sel_o[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6473,7 +6618,7 @@
 	.A2(instr_rdata_i[11]),
 	.A3(instr_rdata_i[7]),
 	.A4(instr_rdata_i[8]),
-	.B1(FE_COEN4284_n_54),
+	.B1(n_54),
 	.Y(n_160), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6482,7 +6627,7 @@
    sky130_fd_sc_hd__o32ai_1 g5960__2883 (
 	.A1(instr_rdata_alu_i[25]),
 	.A2(n_31),
-	.A3(FE_PSN4830_n_103),
+	.A3(n_103),
 	.B1(n_111),
 	.B2(n_79),
 	.Y(n_159), 
@@ -6507,7 +6652,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g5963__7410 (
-	.A1(FE_PSN4386_n_94),
+	.A1(n_94),
 	.A2(n_111),
 	.B1(n_58),
 	.Y(n_156), 
@@ -6515,16 +6660,16 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g5964__6417 (
+   sky130_fd_sc_hd__a21oi_1 g5964__6417 (
 	.A1(n_96),
 	.A2(instr_rdata_alu_i[14]),
-	.B1(FE_PSN4437_n_99),
+	.B1(n_99),
 	.Y(n_167), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g5965__5477 (
+   sky130_fd_sc_hd__nor2_1 g5965__5477 (
 	.A(n_53),
 	.B(n_140),
 	.Y(n_166), 
@@ -6532,7 +6677,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g5966__2398 (
+   sky130_fd_sc_hd__nor2_1 g5966__2398 (
 	.A(n_76),
 	.B(n_138),
 	.Y(n_165), 
@@ -6550,7 +6695,7 @@
    sky130_fd_sc_hd__and4b_1 g5969__5107 (
 	.A_N(instr_rdata_i[22]),
 	.B(n_130),
-	.C(FE_COEN4291_n_239),
+	.C(n_239),
 	.D(instr_rdata_i[20]),
 	.X(ebrk_insn_o), 
 	.VPWR(vccd1), 
@@ -6570,7 +6715,7 @@
    sky130_fd_sc_hd__and3_1 g5971__4319 (
 	.A(n_130),
 	.B(n_36),
-	.C(FE_COEN4291_n_239),
+	.C(n_239),
 	.X(ecall_insn_o), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6586,8 +6731,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22a_1 g5973__5526 (
-	.A1(FE_COEN4868_n_54),
-	.A2(FE_PSN4826_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(n_54),
+	.A2(bt_a_mux_sel_o[1]),
 	.B1(n_4),
 	.B2(n_97),
 	.X(n_146), 
@@ -6608,8 +6753,8 @@
 	.A1(instr_rdata_alu_i[14]),
 	.A2(imm_a_mux_sel_o),
 	.B1(n_34),
-	.B2(FE_PSN4839_n_44),
-	.C1(FE_PSN4445_n_81),
+	.B2(n_44),
+	.C1(FE_OFN18412_n_81),
 	.Y(rf_ren_a_o), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6618,31 +6763,31 @@
    sky130_fd_sc_hd__and3_2 g5976__1617 (
 	.A(n_71),
 	.B(n_96),
-	.C(instr_rdata_alu_i[13]),
+	.C(FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_13),
 	.X(csr_op_o[1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g5977__2802 (
+   sky130_fd_sc_hd__o21ai_1 g5977__2802 (
 	.A1(instr_rdata_alu_i[25]),
-	.A2(FE_PSN4830_n_103),
-	.B1(FE_PSN4386_n_94),
+	.A2(n_103),
+	.B1(n_94),
 	.Y(n_155), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5981__1705 (
-	.A(FE_OFN26_u_soc_u_top_u_core_instr_rdata_id_14),
-	.B(FE_COEN4679_n_115),
+	.A(instr_rdata_alu_i[14]),
+	.B(n_115),
 	.Y(data_sign_extension_o), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g5982__5122 (
-	.A(FE_COEN4865_n_109),
+   sky130_fd_sc_hd__nor2b_1 g5982__5122 (
+	.A(n_109),
 	.B_N(n_32),
 	.Y(data_type_o[1]), 
 	.VPWR(vccd1), 
@@ -6651,7 +6796,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g5983__8246 (
 	.A1(n_73),
-	.A2(FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30),
+	.A2(instr_rdata_alu_i[30]),
 	.B1(instr_rdata_i[10]),
 	.Y(n_134), 
 	.VPWR(vccd1), 
@@ -6666,7 +6811,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g5986__6131 (
+   sky130_fd_sc_hd__nor2_2 g5986__6131 (
 	.A(n_23),
 	.B(n_111),
 	.Y(alu_operator_o[4]), 
@@ -6674,6 +6819,14 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g5987__1881 (
+	.A(n_69),
+	.B(imm_b_mux_sel_o[2]),
+	.Y(n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g5988__5115 (
 	.A_N(n_97),
 	.B(n_4),
@@ -6682,7 +6835,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g5989__7482 (
+   sky130_fd_sc_hd__nand2_2 g5989__7482 (
 	.A(n_102),
 	.B(n_225),
 	.Y(n_140), 
@@ -6700,13 +6853,13 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g5991__6161 (
 	.A(n_102),
-	.B(FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30),
+	.B(instr_rdata_alu_i[30]),
 	.Y(n_138), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g5992__9315 (
+   sky130_fd_sc_hd__nor3_1 g5992__9315 (
 	.A(bt_b_mux_sel_o[1]),
 	.B(n_69),
 	.C(n_116),
@@ -6716,17 +6869,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or3_1 g5993__9945 (
-	.A(n_6),
+	.A(instr_rdata_alu_i[13]),
 	.B(n_4),
-	.C(FE_COEN4679_n_115),
+	.C(n_115),
 	.X(n_128), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5994__2883 (
-	.A(FE_PSRN_2),
-	.B(FE_PSN4829_n_108),
+	.A(imm_b_mux_sel_o[2]),
+	.B(n_108),
 	.Y(n_127), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6752,7 +6905,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g5997__7410 (
-	.A(FE_COEN4286_n_225),
+	.A(n_225),
 	.B(n_25),
 	.C(n_30),
 	.Y(n_124), 
@@ -6762,9 +6915,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g5998__6417 (
 	.A1(n_1),
-	.A2(FE_PSN4445_n_81),
-	.B1(n_21),
-	.B2(FE_OFN18289_n_45),
+	.A2(FE_OFN18412_n_81),
+	.B1(FE_OFN18606_n_21),
+	.B2(FE_OFN19053_n_45),
 	.Y(rf_ren_b_o), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6772,7 +6925,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g5999__5477 (
 	.A(n_60),
-	.B(FE_PSN4435_n_86),
+	.B(n_86),
 	.C(n_94),
 	.Y(n_122), 
 	.VPWR(vccd1), 
@@ -6781,8 +6934,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3b_1 g6000__2398 (
 	.A(n_26),
-	.B(FE_PSN4386_n_94),
-	.C_N(FE_COEN4296_n_60),
+	.B(n_94),
+	.C_N(n_60),
 	.Y(n_121), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6790,7 +6943,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g6001__5107 (
 	.A(n_109),
-	.B(FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.B(FE_OFN18169_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
 	.C(n_64),
 	.Y(n_120), 
 	.VPWR(vccd1), 
@@ -6799,7 +6952,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g6002__6260 (
 	.A1(n_71),
-	.A2(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.A2(instr_rdata_alu_i[12]),
 	.B1(n_20),
 	.Y(n_119), 
 	.VPWR(vccd1), 
@@ -6807,7 +6960,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor4_1 g6003__4319 (
-	.A(FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24),
+	.A(instr_rdata_i[24]),
 	.B(instr_rdata_i[21]),
 	.C(n_29),
 	.D(n_98),
@@ -6816,15 +6969,22 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g6015__8428 (
+   sky130_fd_sc_hd__inv_2 g6007 (
+	.A(n_111),
+	.Y(n_110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 g6015__8428 (
 	.A(instr_first_cycle_i),
-	.B(FE_OFN18251_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.B(FE_OFN18169_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
 	.Y(n_118), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g6016__5526 (
+   sky130_fd_sc_hd__nand2_1 g6016__5526 (
 	.A(n_70),
 	.B(n_64),
 	.Y(n_116), 
@@ -6840,7 +7000,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g6020__3680 (
+   sky130_fd_sc_hd__nand2_2 g6020__3680 (
 	.A(bt_b_mux_sel_o[1]),
 	.B(instr_first_cycle_i),
 	.Y(n_111), 
@@ -6848,9 +7008,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g6021__1617 (
-	.A(n_9),
-	.B(n_81),
+   sky130_fd_sc_hd__nor2_2 g6021__1617 (
+	.A(FE_OFN18416_u_soc_u_top_u_core_instr_rdata_id_4),
+	.B(FE_OFN18410_n_81),
 	.Y(n_108), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6858,12 +7018,19 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_4 g6022__2802 (
 	.A(n_33),
-	.B(n_81),
+	.B(FE_OFN18410_n_81),
 	.Y(n_102), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g6023 (
+	.A(n_96),
+	.Y(imm_a_mux_sel_o), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g6024 (
 	.A(n_94),
 	.Y(n_93), 
@@ -6873,7 +7040,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g6025__1705 (
 	.A1(n_47),
-	.A2(instr_rdata_alu_i[29]),
+	.A2(FE_OFN13_u_soc_u_top_u_core_instr_rdata_id_29),
 	.B1(instr_rdata_i[9]),
 	.Y(n_92), 
 	.VPWR(vccd1), 
@@ -6881,9 +7048,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g6026__5122 (
-	.A1(FE_OFN13_u_soc_u_top_u_core_instr_rdata_id_29),
+	.A1(instr_rdata_alu_i[29]),
 	.A2(n_51),
-	.B1(FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_28),
+	.B1(FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_28),
 	.Y(n_91), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6898,7 +7065,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g6028__7098 (
-	.A1(FE_OFN30_u_soc_u_top_u_core_instr_rdata_id_30),
+	.A1(instr_rdata_alu_i[30]),
 	.A2(n_40),
 	.B1(n_49),
 	.Y(n_89), 
@@ -6915,9 +7082,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3b_1 g6030__1881 (
-	.A_N(FE_PSN4442_n_70),
+	.A_N(n_70),
 	.B(n_49),
-	.C(FE_COEN4284_n_54),
+	.C(n_54),
 	.Y(n_98), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6931,9 +7098,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g6032__7482 (
+   sky130_fd_sc_hd__nor2_1 g6032__7482 (
 	.A(n_70),
-	.B(FE_COEN4868_n_54),
+	.B(n_54),
 	.Y(n_96), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6942,7 +7109,7 @@
    sky130_fd_sc_hd__nand3_4 g6033__4733 (
 	.A(n_80),
 	.B(n_1),
-	.C(n_9),
+	.C(FE_OFN18416_u_soc_u_top_u_core_instr_rdata_id_4),
 	.Y(n_94), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6950,17 +7117,17 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g6041__6161 (
 	.A(n_59),
-	.B(n_6),
+	.B(instr_rdata_alu_i[13]),
 	.X(n_79), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o31a_1 g6042__9315 (
-	.A1(FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_28),
+	.A1(FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_28),
 	.A2(instr_rdata_i[22]),
 	.A3(instr_rdata_i[21]),
-	.B1(FE_COEN4284_n_54),
+	.B1(n_54),
 	.X(n_78), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -6991,7 +7158,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g6046__1666 (
+   sky130_fd_sc_hd__nand2_1 g6046__1666 (
 	.A(n_239),
 	.B(instr_rdata_alu_i[31]),
 	.Y(n_74), 
@@ -7007,28 +7174,28 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g6048__6417 (
+   sky130_fd_sc_hd__nand2_1 g6048__6417 (
 	.A(n_46),
-	.B(n_8),
+	.B(FE_OFN18204_u_soc_u_top_u_core_instr_rdata_id_6),
 	.Y(n_81), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g6054__5477 (
-	.A1(FE_OFN29_u_soc_u_top_u_core_instr_rdata_id_12),
-	.A2(FE_COEN4280_n_226),
-	.B1(FE_COEN4704_n_58),
+	.A1(instr_rdata_alu_i[12]),
+	.A2(n_226),
+	.B1(n_58),
 	.X(n_61), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g6055__2398 (
-	.A(FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24),
-	.B(FE_OFN27_u_soc_u_top_u_core_instr_rdata_id_25),
-	.C(FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_27),
-	.D(instr_rdata_alu_i[29]),
+	.A(instr_rdata_i[24]),
+	.B(FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_25),
+	.C(FE_OFN21_u_soc_u_top_u_core_instr_rdata_id_27),
+	.D(FE_OFN13_u_soc_u_top_u_core_instr_rdata_id_29),
 	.Y(n_73), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -7038,7 +7205,7 @@
 	.A(n_56),
 	.B(n_22),
 	.C(instr_rdata_alu_i[3]),
-	.D(FE_OFN18284_u_soc_u_top_u_core_instr_rdata_id_6),
+	.D(instr_rdata_alu_i[6]),
 	.Y(n_72), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -7061,17 +7228,27 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g6059__8428 (
+   sky130_fd_sc_hd__nor2_1 g6059__8428 (
 	.A(n_39),
-	.B(FE_OFN18288_n_57),
+	.B(FE_OFN18545_n_57),
 	.Y(n_69), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_2 g6060__5526 (
+	.A(n_56),
+	.B(n_38),
+	.C(instr_rdata_alu_i[3]),
+	.D(FE_OFN18204_u_soc_u_top_u_core_instr_rdata_id_6),
+	.Y(n_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g6064__6783 (
 	.A(n_30),
-	.B(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.B(instr_rdata_alu_i[12]),
 	.Y(n_53), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -7086,14 +7263,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6067__1617 (
-	.A(n_8),
+	.A(FE_OFN18204_u_soc_u_top_u_core_instr_rdata_id_6),
 	.B(n_33),
 	.Y(n_50), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g6068__2802 (
+   sky130_fd_sc_hd__nand2_1 g6068__2802 (
 	.A(n_20),
 	.B(instr_rdata_alu_i[14]),
 	.Y(n_60), 
@@ -7101,7 +7278,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g6069__1705 (
+   sky130_fd_sc_hd__nor2b_1 g6069__1705 (
 	.A(n_25),
 	.B_N(n_26),
 	.Y(n_59), 
@@ -7111,7 +7288,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g6070__5122 (
 	.A(n_226),
-	.B(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.B(instr_rdata_alu_i[12]),
 	.Y(n_58), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -7128,7 +7305,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g6072__7098 (
 	.A(n_226),
-	.B(FE_OFN28_u_soc_u_top_u_core_instr_rdata_id_12),
+	.B(n_5),
 	.Y(n_55), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -7144,26 +7321,26 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g6076__1881 (
-	.A(FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_27),
-	.B(FE_OFN27_u_soc_u_top_u_core_instr_rdata_id_25),
-	.C(FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24),
+	.A(FE_OFN21_u_soc_u_top_u_core_instr_rdata_id_27),
+	.B(FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_25),
+	.C(instr_rdata_i[24]),
 	.Y(n_40), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g6077__5115 (
-	.A(n_8),
-	.B(FE_PSN4832_n_11),
-	.C(n_9),
+	.A(FE_OFN18204_u_soc_u_top_u_core_instr_rdata_id_6),
+	.B(n_11),
+	.C(FE_OFN18416_u_soc_u_top_u_core_instr_rdata_id_4),
 	.Y(n_39), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g6078__7482 (
-	.A(FE_OFN23_u_soc_u_top_u_core_instr_rdata_id_31),
-	.B(instr_rdata_alu_i[26]),
+	.A(FE_OFN20_u_soc_u_top_u_core_instr_rdata_id_31),
+	.B(FE_OFN23_u_soc_u_top_u_core_instr_rdata_id_26),
 	.C(instr_rdata_i[23]),
 	.Y(n_49), 
 	.VPWR(vccd1), 
@@ -7171,9 +7348,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_2 g6079__4733 (
-	.A1(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.A1(instr_rdata_alu_i[12]),
 	.A2(n_4),
-	.B1(instr_rdata_alu_i[13]),
+	.B1(FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_13),
 	.Y(n_48), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -7188,7 +7365,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_4 g6081__9315 (
+   sky130_fd_sc_hd__nand4_1 g6081__9315 (
 	.A(n_13),
 	.B(n_11),
 	.C(instr_rdata_alu_i[1]),
@@ -7198,7 +7375,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g6082__9945 (
+   sky130_fd_sc_hd__nand2_1 g6082__9945 (
 	.A(n_22),
 	.B(n_18),
 	.Y(n_44), 
@@ -7206,8 +7383,15 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g6085 (
+	.A(n_28),
+	.Y(n_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6087__2883 (
-	.A(n_9),
+	.A(FE_OFN18416_u_soc_u_top_u_core_instr_rdata_id_4),
 	.B(instr_rdata_alu_i[5]),
 	.Y(n_38), 
 	.VPWR(vccd1), 
@@ -7216,7 +7400,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g6088__2346 (
 	.A(instr_rdata_i[22]),
-	.B(FE_OFN25_n),
+	.B(instr_rdata_i[20]),
 	.Y(n_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -7238,32 +7422,32 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g6091__6417 (
+   sky130_fd_sc_hd__nand2_1 g6091__6417 (
 	.A(instr_rdata_alu_i[5]),
-	.B(n_9),
+	.B(FE_OFN18416_u_soc_u_top_u_core_instr_rdata_id_4),
 	.Y(n_33), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6092__5477 (
-	.A(instr_rdata_alu_i[13]),
-	.B(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.A(n_6),
+	.B(instr_rdata_alu_i[12]),
 	.Y(n_32), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g6093__2398 (
-	.A(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
-	.B(instr_rdata_alu_i[13]),
+	.A(instr_rdata_alu_i[12]),
+	.B(FE_OFN19032_u_soc_u_top_u_core_instr_rdata_id_13),
 	.Y(n_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6094__5107 (
-	.A(instr_rdata_alu_i[13]),
+	.A(FE_OFN19033_u_soc_u_top_u_core_instr_rdata_id_13),
 	.B(instr_rdata_alu_i[25]),
 	.Y(n_30), 
 	.VPWR(vccd1), 
@@ -7272,45 +7456,38 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6095__6260 (
 	.A(instr_rdata_alu_i[25]),
-	.B(FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30),
+	.B(instr_rdata_alu_i[30]),
 	.Y(n_28), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g6097 (
-	.A(n_21),
-	.Y(n_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g6099__4319 (
+   sky130_fd_sc_hd__nor2_1 g6099__4319 (
 	.A(instr_rdata_alu_i[3]),
-	.B(n_8),
+	.B(FE_OFN18204_u_soc_u_top_u_core_instr_rdata_id_6),
 	.Y(n_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g6100__8428 (
-	.A(FE_OFN28_u_soc_u_top_u_core_instr_rdata_id_12),
-	.B(FE_OFN26_u_soc_u_top_u_core_instr_rdata_id_14),
+	.A(n_5),
+	.B(instr_rdata_alu_i[14]),
 	.Y(n_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6101__5526 (
-	.A(n_6),
-	.B(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.A(instr_rdata_alu_i[13]),
+	.B(instr_rdata_alu_i[12]),
 	.Y(n_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g6103__6783 (
-	.A(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.A(instr_rdata_alu_i[12]),
 	.B(instr_rdata_alu_i[14]),
 	.Y(n_26), 
 	.VPWR(vccd1), 
@@ -7319,7 +7496,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6104__3680 (
 	.A(instr_rdata_alu_i[14]),
-	.B(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+	.B(instr_rdata_alu_i[12]),
 	.Y(n_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -7327,23 +7504,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6106__1617 (
 	.A(instr_rdata_alu_i[14]),
-	.B(n_6),
+	.B(instr_rdata_alu_i[13]),
 	.Y(n_23), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g6107__2802 (
-	.A(instr_rdata_alu_i[4]),
+   sky130_fd_sc_hd__nand2_1 g6107__2802 (
+	.A(n_9),
 	.B(instr_rdata_alu_i[5]),
 	.Y(n_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g6108__1705 (
-	.A(n_6),
-	.B(FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12),
+   sky130_fd_sc_hd__and2_1 g6108__1705 (
+	.A(instr_rdata_alu_i[13]),
+	.B(instr_rdata_alu_i[12]),
 	.X(n_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -7356,17 +7533,17 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 g6111 (
+   sky130_fd_sc_hd__inv_2 g6111 (
 	.A(instr_rdata_alu_i[3]),
 	.Y(n_11), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g6123__5122 (
+   sky130_fd_sc_hd__nand2_2 g6123__5122 (
 	.A(bt_a_mux_sel_o[1]),
 	.B(n_72),
-	.Y(FE_PSRN_2), 
+	.Y(imm_b_mux_sel_o[2]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -7381,7 +7558,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g6124__7098 (
 	.A(n_4),
-	.B(n_6),
+	.B(instr_rdata_alu_i[13]),
 	.X(n_226), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -7397,7 +7574,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g6127__1881 (
-	.A(FE_COEN4865_n_109),
+	.A(n_109),
 	.B_N(n_20),
 	.Y(data_type_o[0]), 
 	.VPWR(vccd1), 
@@ -7405,16 +7582,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or3b_1 g6129__5115 (
-	.A(FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24),
-	.B(FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_27),
+	.A(instr_rdata_i[24]),
+	.B(FE_OFN21_u_soc_u_top_u_core_instr_rdata_id_27),
 	.C_N(instr_rdata_alu_i[29]),
 	.X(n_231), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g6130__7482 (
-	.A(FE_PSN4827_n_240),
+   sky130_fd_sc_hd__nand3_1 g6130__7482 (
+	.A(n_240),
 	.B(n_188),
 	.C(n_189),
 	.Y(alu_operator_o[2]), 
@@ -7422,7 +7599,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_4 g6131__4733 (
+   sky130_fd_sc_hd__a221o_1 g6131__4733 (
 	.A1(n_192),
 	.A2(FE_RN_1),
 	.B1(n_170),
@@ -7434,7 +7611,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a211o_2 g6132__6161 (
-	.A1(FE_PSN4441_n_166),
+	.A1(n_166),
 	.A2(FE_RN_1),
 	.B1(n_192),
 	.C1(n_156),
@@ -7443,6 +7620,35 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_2 g6135__9945 (
+	.A_N(n_140),
+	.B(FE_RN_1),
+	.C(instr_rdata_alu_i[25]),
+	.X(n_237), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor3b_1 g6136__2883 (
+	.A(n_44),
+	.B(n_34),
+	.C_N(n_13),
+	.Y(n_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o221a_4 g6138__1666 (
+	.A1(n_120),
+	.A2(n_185),
+	.B1(n_225),
+	.B2(n_103),
+	.C1(n_164),
+	.X(n_240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
 endmodule
 
 module uart_rx_prog (
@@ -7451,8 +7657,7 @@
 	CLKS_PER_BIT, 
 	o_Rx_DV, 
 	o_Rx_Byte, 
-	FE_OFN20_n_470, 
-	FE_OFN19_n_470, 
+	FE_OFN16_n_470, 
 	clk_i_clone1, 
 	clk_i_clone2, 
 	clk_i, 
@@ -7463,8 +7668,7 @@
    input [15:0] CLKS_PER_BIT;
    output o_Rx_DV;
    output [7:0] o_Rx_Byte;
-   input FE_OFN20_n_470;
-   input FE_OFN19_n_470;
+   input FE_OFN16_n_470;
    input clk_i_clone1;
    input clk_i_clone2;
    input clk_i;
@@ -7472,15 +7676,11 @@
    inout vssd1;
 
    // Internal wires
-   wire FE_PDN4097_FE_OFN18745_n_120;
-   wire FE_PDN3927_FE_OFN18730_n_181;
-   wire FE_PDN3873_FE_OFN18745_n_120;
-   wire FE_OFN18745_n_120;
-   wire FE_OFN18730_n_181;
-   wire FE_OFN1596_n_174;
-   wire FE_OFN1595_n_174;
-   wire FE_OFN1226_n_160;
-   wire FE_OFN1225_n_160;
+   wire FE_OFN18728_n_181;
+   wire FE_OFN18651_n_160;
+   wire FE_OFN18648_n_174;
+   wire FE_OFN1382_n_181;
+   wire FE_OFN1381_n_160;
    wire [2:0] r_Bit_Index;
    wire [15:0] r_Clock_Count;
    wire [2:0] r_SM_Main;
@@ -7692,81 +7892,53 @@
    wire r_Rx_Data_R;
 
    // Module instantiations
-   sky130_fd_sc_hd__buf_1 FE_PDC4097_FE_OFN18745_n_120 (
-	.A(FE_PDN3873_FE_OFN18745_n_120),
-	.X(FE_PDN4097_FE_OFN18745_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3927_FE_OFN18730_n_181 (
-	.A(FE_OFN18730_n_181),
-	.X(FE_PDN3927_FE_OFN18730_n_181), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3873_FE_OFN18745_n_120 (
-	.A(FE_OFN18745_n_120),
-	.X(FE_PDN3873_FE_OFN18745_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC3004_n_120 (
-	.A(n_120),
-	.X(FE_OFN18745_n_120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC2989_n_181 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3210_n_181 (
 	.A(n_181),
-	.X(FE_OFN18730_n_181), 
+	.X(FE_OFN18728_n_181), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1596_n_174 (
-	.A(FE_OFN1595_n_174),
-	.Y(FE_OFN1596_n_174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC1595_n_174 (
-	.A(n_174),
-	.Y(FE_OFN1595_n_174), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1226_n_160 (
-	.A(FE_OFN1225_n_160),
-	.Y(FE_OFN1226_n_160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1225_n_160 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3133_n_160 (
 	.A(n_160),
-	.Y(FE_OFN1225_n_160), 
+	.X(FE_OFN18651_n_160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3130_n_174 (
+	.A(n_174),
+	.X(FE_OFN18648_n_174), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1382_n_181 (
+	.A(FE_OFN18728_n_181),
+	.X(FE_OFN1382_n_181), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1381_n_160 (
+	.A(FE_OFN18651_n_160),
+	.X(FE_OFN1381_n_160), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Bit_Index_reg[0]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_140),
 	.Q(r_Bit_Index[0]),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[0]  (
-	.CLK(clk_i),
-	.D(FE_OFN1596_n_174),
+	.CLK(clk_i_clone2),
+	.D(FE_OFN18648_n_174),
 	.Q(r_Clock_Count[0]),
 	.RESET_B(rst_ni),
 	.SCD(n_180),
@@ -7776,7 +7948,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[1]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_192),
 	.Q(r_Clock_Count[1]),
 	.RESET_B(rst_ni), 
@@ -7785,7 +7957,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[2]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_194),
 	.Q(r_Clock_Count[2]),
 	.RESET_B(rst_ni), 
@@ -7794,7 +7966,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[5]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_187),
 	.Q(r_Clock_Count[5]),
 	.RESET_B(rst_ni), 
@@ -7803,7 +7975,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[6]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_189),
 	.Q(r_Clock_Count[6]),
 	.RESET_B(rst_ni), 
@@ -7812,7 +7984,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[7]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_190),
 	.Q(r_Clock_Count[7]),
 	.RESET_B(rst_ni), 
@@ -7821,7 +7993,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[8]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_191),
 	.Q(r_Clock_Count[8]),
 	.RESET_B(rst_ni), 
@@ -7830,7 +8002,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[9]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_188),
 	.Q(r_Clock_Count[9]),
 	.RESET_B(rst_ni), 
@@ -7839,7 +8011,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[10]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_178),
 	.Q(r_Clock_Count[10]),
 	.RESET_B(rst_ni),
@@ -7850,7 +8022,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[13]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_176),
 	.Q(r_Clock_Count[13]),
 	.RESET_B(rst_ni),
@@ -7861,7 +8033,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[15]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_203),
 	.Q(r_Clock_Count[15]),
 	.RESET_B(rst_ni), 
@@ -7870,82 +8042,82 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[0]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_166),
 	.Q(o_Rx_Byte[0]),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[1]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_168),
 	.Q(o_Rx_Byte[1]),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[2]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_165),
 	.Q(o_Rx_Byte[2]),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[3]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_162),
 	.Q(o_Rx_Byte[3]),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[4]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_163),
 	.Q(o_Rx_Byte[4]),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[5]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_164),
 	.Q(o_Rx_Byte[5]),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[6]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_167),
 	.Q(o_Rx_Byte[6]),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[7]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_155),
 	.Q(o_Rx_Byte[7]),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 r_Rx_DV_reg (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_131),
 	.Q(o_Rx_DV),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -7959,7 +8131,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_reg (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_27),
 	.Q(r_Rx_Data), 
 	.VPWR(vccd1), 
@@ -7967,28 +8139,28 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[0]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_177),
 	.Q(r_SM_Main[0]),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[1]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_175),
 	.Q(r_SM_Main[1]),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[2]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_125),
 	.Q(r_SM_Main[2]),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -8036,7 +8208,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21o_1 g7994__5107 (
-	.A1(FE_OFN1596_n_174),
+	.A1(FE_OFN18648_n_174),
 	.A2(n_0),
 	.B1(n_196),
 	.X(n_199), 
@@ -8058,7 +8230,7 @@
    sky130_fd_sc_hd__a22o_1 g7996__4319 (
 	.A1(n_184),
 	.A2(r_Clock_Count[4]),
-	.B1(FE_OFN1596_n_174),
+	.B1(FE_OFN18648_n_174),
 	.B2(n_64),
 	.X(n_197), 
 	.VPWR(vccd1), 
@@ -8075,7 +8247,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g8001__5526 (
-	.A1(FE_OFN1596_n_174),
+	.A1(FE_OFN18648_n_174),
 	.A2(n_37),
 	.B1(n_180),
 	.B2(r_Clock_Count[2]),
@@ -8085,7 +8257,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g8002__6783 (
-	.A1(FE_OFN1596_n_174),
+	.A1(FE_OFN18648_n_174),
 	.A2(n_13),
 	.B1(n_182),
 	.Y(n_193), 
@@ -8094,7 +8266,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g8003__3680 (
-	.A1(FE_OFN1596_n_174),
+	.A1(FE_OFN18648_n_174),
 	.A2(n_17),
 	.B1(n_180),
 	.B2(r_Clock_Count[1]),
@@ -8113,7 +8285,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g8005__2802 (
-	.A1(FE_OFN1596_n_174),
+	.A1(FE_OFN18648_n_174),
 	.A2(n_118),
 	.B1(n_180),
 	.B2(r_Clock_Count[8]),
@@ -8123,7 +8295,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g8006__1705 (
-	.A1(FE_OFN1596_n_174),
+	.A1(FE_OFN18648_n_174),
 	.A2(n_112),
 	.B1(n_180),
 	.B2(r_Clock_Count[7]),
@@ -8133,7 +8305,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g8007__5122 (
-	.A1(FE_OFN1596_n_174),
+	.A1(FE_OFN18648_n_174),
 	.A2(n_101),
 	.B1(n_180),
 	.B2(r_Clock_Count[6]),
@@ -8143,7 +8315,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g8008__8246 (
-	.A1(FE_OFN1596_n_174),
+	.A1(FE_OFN18648_n_174),
 	.A2(n_123),
 	.B1(n_180),
 	.B2(r_Clock_Count[9]),
@@ -8153,7 +8325,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g8009__7098 (
-	.A1(FE_OFN1596_n_174),
+	.A1(FE_OFN18648_n_174),
 	.A2(n_83),
 	.B1(n_180),
 	.B2(r_Clock_Count[5]),
@@ -8174,7 +8346,7 @@
    sky130_fd_sc_hd__o21ai_1 g8011__1881 (
 	.A1(n_136),
 	.A2(n_173),
-	.B1(FE_PDN3927_FE_OFN18730_n_181),
+	.B1(FE_OFN1382_n_181),
 	.Y(n_186), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -8188,7 +8360,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g8013__5115 (
-	.A1(FE_OFN1596_n_174),
+	.A1(FE_OFN18648_n_174),
 	.A2(n_33),
 	.B1(n_180),
 	.Y(n_183), 
@@ -8199,14 +8371,14 @@
    sky130_fd_sc_hd__o21ai_1 g8014__7482 (
 	.A1(n_127),
 	.A2(n_173),
-	.B1(FE_PDN3927_FE_OFN18730_n_181),
+	.B1(FE_OFN1382_n_181),
 	.Y(n_182), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g8017 (
-	.A(FE_PDN3927_FE_OFN18730_n_181),
+	.A(FE_OFN1382_n_181),
 	.Y(n_180), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -8265,7 +8437,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g8024 (
-	.A(FE_OFN1596_n_174),
+	.A(FE_OFN18648_n_174),
 	.Y(n_173), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -8273,7 +8445,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g8025__1666 (
 	.A1(n_25),
-	.A2(FE_PDN4097_FE_OFN18745_n_120),
+	.A2(n_120),
 	.B1(n_171),
 	.Y(n_174), 
 	.VPWR(vccd1), 
@@ -8281,7 +8453,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a31oi_1 g8026__7410 (
-	.A1(FE_OFN1226_n_160),
+	.A1(FE_OFN1381_n_160),
 	.A2(n_26),
 	.A3(n_35),
 	.B1(n_126),
@@ -8308,7 +8480,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g8035__2398 (
-	.A(FE_OFN1226_n_160),
+	.A(FE_OFN1381_n_160),
 	.B(r_Rx_Data),
 	.Y(n_169), 
 	.VPWR(vccd1), 
@@ -8392,7 +8564,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g8048 (
-	.A(FE_OFN1226_n_160),
+	.A(FE_OFN1381_n_160),
 	.Y(n_159), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -8687,7 +8859,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g8086__8246 (
 	.A(n_21),
-	.B(FE_PDN4097_FE_OFN18745_n_120),
+	.B(n_120),
 	.Y(n_126), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -8695,7 +8867,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g8087__7098 (
 	.A_N(n_21),
-	.B(FE_PDN4097_FE_OFN18745_n_120),
+	.B(n_120),
 	.Y(n_124), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -8713,14 +8885,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g8089__1881 (
-	.A(FE_PDN4097_FE_OFN18745_n_120),
+	.A(n_120),
 	.B(n_24),
 	.X(n_122), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_1 g8090__5115 (
+   sky130_fd_sc_hd__o21a_2 g8090__5115 (
 	.A1(n_113),
 	.A2(n_115),
 	.B1(n_69),
@@ -9510,7 +9682,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g8179__7482 (
 	.A_N(r_Rx_Data_R),
-	.B(FE_OFN19_n_470),
+	.B(FE_OFN16_n_470),
 	.Y(n_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -9622,7 +9794,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g8194__5477 (
 	.A_N(i_Rx_Serial),
-	.B(FE_OFN19_n_470),
+	.B(FE_OFN16_n_470),
 	.Y(n_11), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -9685,17 +9857,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[1]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_154),
 	.Q(r_Bit_Index[1]),
 	.Q_N(n_6),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[11]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_201),
 	.Q(r_Clock_Count[11]),
 	.Q_N(n_5),
@@ -9705,7 +9877,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[3]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_198),
 	.Q(r_Clock_Count[3]),
 	.Q_N(n_4),
@@ -9715,7 +9887,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[4]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_197),
 	.Q(r_Clock_Count[4]),
 	.Q_N(n_3),
@@ -9725,17 +9897,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[2]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_153),
 	.Q(r_Bit_Index[2]),
 	.Q_N(n_2),
-	.RESET_B(FE_OFN20_n_470), 
+	.RESET_B(FE_OFN16_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[12]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_200),
 	.Q(r_Clock_Count[12]),
 	.Q_N(n_1),
@@ -9745,7 +9917,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[14]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_202),
 	.Q(r_Clock_Count[14]),
 	.Q_N(n_0),
@@ -9763,12 +9935,15 @@
 	sbit_o, 
 	o_Rx_DV, 
 	o_Rx_Byte, 
+	FE_OFN10_io_out_37, 
+	FE_OFN9_io_out_37, 
+	FE_OFN8_io_out_37, 
 	FE_OFN7_io_out_37, 
-	FE_OFN1_io_out_37, 
-	FE_OFN18_u_soc_u_uart_u_uart_core_rx_4, 
-	FE_OFN32_io_out_37, 
-	clk_i_clone2, 
+	FE_OFN6_io_out_37, 
+	FE_OFN2_io_out_37, 
+	clk_i_clone3, 
 	clk_i_clone1, 
+	clk_i_clone2, 
 	clk_i, 
 	vccd1, 
 	vssd1);
@@ -9778,18 +9953,26 @@
    output sbit_o;
    output o_Rx_DV;
    output [7:0] o_Rx_Byte;
+   input FE_OFN10_io_out_37;
+   input FE_OFN9_io_out_37;
+   input FE_OFN8_io_out_37;
    input FE_OFN7_io_out_37;
-   input FE_OFN1_io_out_37;
-   output FE_OFN18_u_soc_u_uart_u_uart_core_rx_4;
-   input FE_OFN32_io_out_37;
-   input clk_i_clone2;
+   input FE_OFN6_io_out_37;
+   input FE_OFN2_io_out_37;
+   input clk_i_clone3;
    input clk_i_clone1;
+   input clk_i_clone2;
    input clk_i;
    inout vccd1;
    inout vssd1;
 
    // Internal wires
-   wire FE_OFN738_u_soc_u_uart_u_uart_core_rx_2;
+   wire FE_OFN1671_n_132;
+   wire FE_OFN1385_n_156;
+   wire FE_OFN1384_n_118;
+   wire FE_OFN1383_n_115;
+   wire FE_OFN856_u_soc_u_uart_u_uart_core_rx_6;
+   wire FE_OFN853_u_soc_u_uart_u_uart_core_rx_7;
    wire [2:0] r_Bit_Index;
    wire [15:0] r_Clock_Count;
    wire [2:0] r_SM_Main;
@@ -9991,234 +10174,262 @@
    wire r_Rx_Data_R;
 
    // Module instantiations
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC738_u_soc_u_uart_u_uart_core_rx_2 (
-	.A(FE_OFN738_u_soc_u_uart_u_uart_core_rx_2),
-	.X(o_Rx_Byte[2]), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1671_n_132 (
+	.A(n_132),
+	.X(FE_OFN1671_n_132), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC732_u_soc_u_uart_u_uart_core_rx_4 (
-	.A(o_Rx_Byte[4]),
-	.X(FE_OFN18_u_soc_u_uart_u_uart_core_rx_4), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1385_n_156 (
+	.A(n_156),
+	.X(FE_OFN1385_n_156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1384_n_118 (
+	.A(n_118),
+	.X(FE_OFN1384_n_118), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1383_n_115 (
+	.A(n_115),
+	.X(FE_OFN1383_n_115), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC856_u_soc_u_uart_u_uart_core_rx_6 (
+	.A(FE_OFN856_u_soc_u_uart_u_uart_core_rx_6),
+	.X(o_Rx_Byte[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC853_u_soc_u_uart_u_uart_core_rx_7 (
+	.A(FE_OFN853_u_soc_u_uart_u_uart_core_rx_7),
+	.X(o_Rx_Byte[7]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Bit_Index_reg[0]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_140),
 	.Q(r_Bit_Index[0]),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN9_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \r_Clock_Count_reg[0]  (
-	.CLK(clk_i_clone2),
-	.D(n_132),
+	.CLK(clk_i),
+	.D(FE_OFN1671_n_132),
 	.Q(r_Clock_Count[0]),
-	.RESET_B(FE_OFN1_io_out_37),
-	.SCD(n_156),
+	.RESET_B(FE_OFN2_io_out_37),
+	.SCD(FE_OFN1385_n_156),
 	.SCE(r_Clock_Count[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[1]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_165),
 	.Q(r_Clock_Count[1]),
-	.RESET_B(FE_OFN32_io_out_37), 
+	.RESET_B(rst_ni), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[2]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_172),
 	.Q(r_Clock_Count[2]),
-	.RESET_B(FE_OFN32_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[3]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_171),
 	.Q(r_Clock_Count[3]),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[4]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_170),
 	.Q(r_Clock_Count[4]),
-	.RESET_B(FE_OFN32_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[5]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_169),
 	.Q(r_Clock_Count[5]),
-	.RESET_B(FE_OFN32_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[6]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_168),
 	.Q(r_Clock_Count[6]),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[7]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_167),
 	.Q(r_Clock_Count[7]),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[8]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_166),
 	.Q(r_Clock_Count[8]),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[9]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i),
 	.D(n_173),
 	.Q(r_Clock_Count[9]),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[10]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_182),
 	.Q(r_Clock_Count[10]),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[11]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_185),
 	.Q(r_Clock_Count[11]),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[12]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_190),
 	.Q(r_Clock_Count[12]),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Clock_Count_reg[13]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i),
 	.D(n_191),
 	.Q(r_Clock_Count[13]),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[0]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_179),
 	.Q(o_Rx_Byte[0]),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.RESET_B(FE_OFN9_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[1]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_178),
 	.Q(o_Rx_Byte[1]),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.RESET_B(FE_OFN9_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[2]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_175),
-	.Q(FE_OFN738_u_soc_u_uart_u_uart_core_rx_2),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.Q(o_Rx_Byte[2]),
+	.RESET_B(FE_OFN9_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[3]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_180),
 	.Q(o_Rx_Byte[3]),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.RESET_B(FE_OFN9_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[4]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_177),
 	.Q(o_Rx_Byte[4]),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.RESET_B(FE_OFN9_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[5]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone3),
 	.D(n_174),
 	.Q(o_Rx_Byte[5]),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.RESET_B(FE_OFN9_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[6]  (
-	.CLK(clk_i),
+	.CLK(clk_i_clone2),
 	.D(n_176),
-	.Q(o_Rx_Byte[6]),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.Q(FE_OFN856_u_soc_u_uart_u_uart_core_rx_6),
+	.RESET_B(FE_OFN9_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_Rx_Byte_reg[7]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_181),
-	.Q(o_Rx_Byte[7]),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.Q(FE_OFN853_u_soc_u_uart_u_uart_core_rx_7),
+	.RESET_B(FE_OFN9_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 r_Rx_DV_reg (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_125),
 	.Q(o_Rx_DV),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN7_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -10232,7 +10443,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 r_Rx_Data_reg (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_20),
 	.Q(r_Rx_Data), 
 	.VPWR(vccd1), 
@@ -10240,37 +10451,37 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[0]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_155),
 	.Q(r_SM_Main[0]),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN6_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[1]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_141),
 	.Q(r_SM_Main[1]),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN6_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \r_SM_Main_reg[2]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_120),
 	.Q(r_SM_Main[2]),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN6_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 sbit_o_reg (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_36),
 	.Q(sbit_o),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN6_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -10308,18 +10519,18 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g7109__1705 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_188),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.Y(n_192), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7110__5122 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_189),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.B2(r_Clock_Count[13]),
 	.X(n_191), 
 	.VPWR(vccd1), 
@@ -10327,9 +10538,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7112__8246 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_186),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.B2(r_Clock_Count[12]),
 	.X(n_190), 
 	.VPWR(vccd1), 
@@ -10362,9 +10573,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7117__5115 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_184),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.B2(r_Clock_Count[11]),
 	.X(n_185), 
 	.VPWR(vccd1), 
@@ -10381,9 +10592,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7129__4733 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_164),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.B2(r_Clock_Count[10]),
 	.X(n_182), 
 	.VPWR(vccd1), 
@@ -10471,9 +10682,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7148__5477 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_136),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.B2(r_Clock_Count[9]),
 	.X(n_173), 
 	.VPWR(vccd1), 
@@ -10481,9 +10692,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7149__2398 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_42),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.B2(r_Clock_Count[2]),
 	.X(n_172), 
 	.VPWR(vccd1), 
@@ -10491,9 +10702,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7150__5107 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_60),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.B2(r_Clock_Count[3]),
 	.X(n_171), 
 	.VPWR(vccd1), 
@@ -10501,9 +10712,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7151__6260 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_81),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.B2(r_Clock_Count[4]),
 	.X(n_170), 
 	.VPWR(vccd1), 
@@ -10511,9 +10722,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7152__4319 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_96),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.B2(r_Clock_Count[5]),
 	.X(n_169), 
 	.VPWR(vccd1), 
@@ -10521,9 +10732,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7153__8428 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_103),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.B2(r_Clock_Count[6]),
 	.X(n_168), 
 	.VPWR(vccd1), 
@@ -10531,9 +10742,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7154__5526 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_111),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.B2(r_Clock_Count[7]),
 	.X(n_167), 
 	.VPWR(vccd1), 
@@ -10541,9 +10752,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7155__6783 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_117),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.B2(r_Clock_Count[8]),
 	.X(n_166), 
 	.VPWR(vccd1), 
@@ -10551,9 +10762,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g7156__3680 (
-	.A1(n_132),
+	.A1(FE_OFN1671_n_132),
 	.A2(n_25),
-	.B1(n_156),
+	.B1(FE_OFN1385_n_156),
 	.B2(r_Clock_Count[1]),
 	.X(n_165), 
 	.VPWR(vccd1), 
@@ -10579,7 +10790,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g7161__1705 (
 	.A_N(n_148),
-	.B(FE_OFN18_u_soc_u_uart_u_uart_core_rx_4),
+	.B(o_Rx_Byte[4]),
 	.Y(n_161), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -10794,14 +11005,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g7190 (
-	.A(n_132),
+	.A(FE_OFN1671_n_132),
 	.Y(n_131), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a31oi_1 g7191__1617 (
-	.A1(n_115),
+	.A1(FE_OFN1383_n_115),
 	.A2(n_13),
 	.A3(n_29),
 	.B1(n_123),
@@ -10829,7 +11040,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g7194__5122 (
 	.A1(n_17),
-	.A2(n_118),
+	.A2(FE_OFN1384_n_118),
 	.B1(n_127),
 	.Y(n_132), 
 	.VPWR(vccd1), 
@@ -10894,14 +11105,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g7203__4733 (
 	.A(n_18),
-	.B(n_118),
+	.B(FE_OFN1384_n_118),
 	.Y(n_123), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g7205__6161 (
-	.A(n_115),
+	.A(FE_OFN1383_n_115),
 	.B(r_Rx_Data),
 	.Y(n_119), 
 	.VPWR(vccd1), 
@@ -10910,7 +11121,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g7206__9315 (
 	.A(n_18),
-	.B_N(n_118),
+	.B_N(FE_OFN1384_n_118),
 	.Y(n_120), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -10936,7 +11147,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g7209 (
-	.A(n_115),
+	.A(FE_OFN1383_n_115),
 	.Y(n_114), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -11702,7 +11913,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g7298__2346 (
 	.A_N(r_Rx_Data_R),
-	.B(FE_OFN1_io_out_37),
+	.B(FE_OFN8_io_out_37),
 	.Y(n_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -11793,7 +12004,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g7312__5526 (
 	.A_N(i_Rx_Serial),
-	.B(FE_OFN1_io_out_37),
+	.B(FE_OFN10_io_out_37),
 	.Y(n_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -11832,48 +12043,48 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[15]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i),
 	.D(n_195),
 	.Q(r_Clock_Count[15]),
 	.Q_N(n_3),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[2]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_162),
 	.Q(r_Bit_Index[2]),
 	.Q_N(n_2),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN9_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \r_Clock_Count_reg[14]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i),
 	.D(n_194),
 	.Q(r_Clock_Count[14]),
 	.Q_N(n_1),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN2_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \r_Bit_Index_reg[1]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i_clone2),
 	.D(n_150),
 	.Q(r_Bit_Index[1]),
 	.Q_N(n_0),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN9_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g2__1705 (
 	.A_N(n_17),
-	.B(n_118),
+	.B(FE_OFN1384_n_118),
 	.Y(n_223), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -11896,8 +12107,6 @@
 	CLKS_PER_BIT, 
 	o_TX_Serial, 
 	o_TX_Done, 
-	FE_OFN11_io_out_37, 
-	clk_i_clone2, 
 	clk_i_clone1, 
 	clk_i, 
 	vccd1, 
@@ -11908,15 +12117,13 @@
    input [15:0] CLKS_PER_BIT;
    output o_TX_Serial;
    output o_TX_Done;
-   input FE_OFN11_io_out_37;
-   input clk_i_clone2;
    input clk_i_clone1;
    input clk_i;
    inout vccd1;
    inout vssd1;
 
    // Internal wires
-   wire FE_OFN1228_n_121;
+   wire FE_OFN1386_n_121;
    wire [2:0] r_Bit_Index;
    wire [15:0] r_Clock_Count;
    wire [2:0] r_SM_Main;
@@ -12078,15 +12285,15 @@
    wire n_187;
 
    // Module instantiations
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1228_n_121 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1386_n_121 (
 	.A(n_121),
-	.X(FE_OFN1228_n_121), 
+	.X(FE_OFN1386_n_121), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 o_TX_Serial_reg (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_70),
 	.Q(o_TX_Serial), 
 	.VPWR(vccd1), 
@@ -12120,7 +12327,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[0]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i_clone1),
 	.D(n_125),
 	.Q(r_Clock_Count[0]), 
 	.VPWR(vccd1), 
@@ -12128,7 +12335,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[1]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i_clone1),
 	.D(n_138),
 	.Q(r_Clock_Count[1]), 
 	.VPWR(vccd1), 
@@ -12136,7 +12343,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[2]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i_clone1),
 	.D(n_128),
 	.Q(r_Clock_Count[2]), 
 	.VPWR(vccd1), 
@@ -12168,7 +12375,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[6]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i),
 	.D(n_136),
 	.Q(r_Clock_Count[6]), 
 	.VPWR(vccd1), 
@@ -12176,7 +12383,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[7]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i),
 	.D(n_139),
 	.Q(r_Clock_Count[7]), 
 	.VPWR(vccd1), 
@@ -12184,7 +12391,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[8]  (
-	.CLK(clk_i_clone1),
+	.CLK(clk_i),
 	.D(n_134),
 	.Q(r_Clock_Count[8]), 
 	.VPWR(vccd1), 
@@ -12192,7 +12399,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[9]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_133),
 	.Q(r_Clock_Count[9]), 
 	.VPWR(vccd1), 
@@ -12200,7 +12407,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[10]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_132),
 	.Q(r_Clock_Count[10]), 
 	.VPWR(vccd1), 
@@ -12208,7 +12415,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[11]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_123),
 	.Q(r_Clock_Count[11]), 
 	.VPWR(vccd1), 
@@ -12216,7 +12423,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[12]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_152),
 	.Q(r_Clock_Count[12]), 
 	.VPWR(vccd1), 
@@ -12224,7 +12431,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[13]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_154),
 	.Q(r_Clock_Count[13]), 
 	.VPWR(vccd1), 
@@ -12232,7 +12439,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[14]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_157),
 	.Q(r_Clock_Count[14]), 
 	.VPWR(vccd1), 
@@ -12240,7 +12447,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_Clock_Count_reg[15]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_158),
 	.Q(r_Clock_Count[15]), 
 	.VPWR(vccd1), 
@@ -12248,7 +12455,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_SM_Main_reg[0]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_148),
 	.Q(r_SM_Main[0]), 
 	.VPWR(vccd1), 
@@ -12256,7 +12463,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \r_SM_Main_reg[1]  (
-	.CLK(clk_i_clone2),
+	.CLK(clk_i),
 	.D(n_141),
 	.Q(r_SM_Main[1]), 
 	.VPWR(vccd1), 
@@ -12337,7 +12544,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5955__8246 (
 	.A(n_156),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_158), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -12345,7 +12552,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5956__7098 (
 	.A(n_155),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_157), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -12370,7 +12577,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5960__5115 (
 	.A(n_153),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_154), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -12386,7 +12593,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5966__4733 (
 	.A(n_142),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_152), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -12493,14 +12700,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5994__6260 (
 	.A(n_98),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_139), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g5995__4319 (
-	.A(FE_OFN1228_n_121),
+	.A(FE_OFN1386_n_121),
 	.B_N(n_18),
 	.Y(n_138), 
 	.VPWR(vccd1), 
@@ -12509,7 +12716,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5996__8428 (
 	.A(n_80),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_137), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -12517,7 +12724,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5997__5526 (
 	.A(n_88),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_136), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -12533,7 +12740,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g5999__3680 (
 	.A(n_103),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_134), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -12541,7 +12748,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6000__1617 (
 	.A(n_110),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_133), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -12549,7 +12756,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6001__2802 (
 	.A(n_113),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_132), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -12557,7 +12764,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6002__1705 (
 	.A(n_38),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_128), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -12565,7 +12772,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6003__5122 (
 	.A(n_58),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_127), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -12573,7 +12780,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6004__8246 (
 	.A(n_69),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_126), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -12581,7 +12788,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6005__7098 (
 	.A(r_Clock_Count[0]),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_125), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -12597,7 +12804,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g6007__1881 (
 	.A(n_117),
-	.B(FE_OFN1228_n_121),
+	.B(FE_OFN1386_n_121),
 	.Y(n_123), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -13630,7 +13837,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g6142 (
-	.A(FE_OFN11_io_out_37),
+	.A(rst_ni),
 	.Y(n_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -13676,8 +13883,8 @@
 	analog_io, 
 	user_clock2, 
 	user_irq, 
-	vssd1, 
-	vccd1);
+	vccd1, 
+	vssd1);
    input wb_clk_i;
    input wb_rst_i;
    input wbs_stb_i;
@@ -13697,1621 +13904,736 @@
    inout [28:0] analog_io;
    input user_clock2;
    output [2:0] user_irq;
-   inout vssd1;
    inout vccd1;
+   inout vssd1;
 
    // Internal wires
-   wire FE_PHN4880_io_in_33;
-   wire FE_COEN4879_n_7799;
-   wire FE_COEN4878_FE_OFN587_u_soc_u_iccm_rdata1_30;
-   wire FE_COEN4877_n_5828;
-   wire FE_COEN4876_n_7805;
-   wire FE_COEN4875_FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
-   wire FE_COEN4874_n_496;
-   wire FE_COEN4872_n_15;
-   wire FE_COEN4871_n_10665;
-   wire FE_COEN4870_n_10345;
-   wire FE_COEN4869_n_10673;
-   wire FE_COEN4867_n_10677;
-   wire FE_COEN4866_n_10676;
-   wire FE_COEN4864_n_10678;
-   wire FE_COEN4863_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_11_0;
-   wire FE_COEN4862_n_11626;
-   wire FE_COEN4861_n_12755;
-   wire FE_PSN4860_u_soc_lsu_to_xbar_a_address_31;
-   wire FE_PSN4859_FE_PDN4759_FE_COEN4678;
-   wire FE_PSN4858_n_15982;
-   wire FE_PSBN19898_n_16001;
-   wire FE_PSBN19897_n_16001;
-   wire FE_PSN4857_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_1_0;
-   wire FE_PSN4856_n_8934;
-   wire FE_PSN4855_n_10568;
-   wire FE_PSN4854_n_10153;
-   wire FE_PSN4853_u_soc_u_iccm_bank_sel_0;
-   wire FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed;
-   wire FE_USKN4851_CTS_23;
-   wire FE_USKN4850_CTS_20;
-   wire FE_USKN4849_CTS_20;
-   wire FE_USKN4848_CTS_87;
-   wire FE_USKN4847_CTS_18;
-   wire FE_USKN4846_CTS_18;
-   wire FE_USKN4845_CTS_75;
-   wire FE_USKN4844_CTS_75;
-   wire FE_PSN4843_FE_OFN1118_n_15887;
-   wire FE_PSN4842_FE_OFN18526_n_11553;
-   wire FE_PSN4841_FE_OFN746_u_soc_lsu_to_xbar_a_address__31;
-   wire FE_PSN4840_n_11631;
-   wire FE_PSN4838_FE_PSBN19868_n_16000;
-   wire FE_PSN4837_FE_COEN4317_n_11475;
-   wire FE_PSN4836_n_15861;
-   wire FE_PSN4835_n_15856;
-   wire FE_PSN4834_FE_OFN18385_n_11514;
-   wire FE_PSN4833_n_11123;
-   wire FE_PSN4831_n_11517;
-   wire FE_PSN4828_n_13499;
-   wire FE_PSN4825_n_11035;
-   wire FE_PSN4824_u_soc_u_top_u_core_rf_waddr_wb_3;
-   wire FE_PSN4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1;
-   wire FE_PSN4822_n_13503;
-   wire FE_PSN4821_FE_COEN4317_n_11475;
-   wire FE_PSN4820_n_11121;
-   wire FE_PSN4818_FE_OFN18526_n_11553;
-   wire FE_PSN4817_FE_OFN1057_n_11478;
-   wire FE_PSN4816_n_12412;
-   wire FE_PSN4815_FE_OFN1053_n_11471;
-   wire FE_PSN4814_FE_OFN18559_n_15861;
-   wire FE_PSN4813_n_11761;
-   wire FE_PSN4812_n_11425;
-   wire FE_PSN4811_FE_OFN18505_n_16001;
-   wire FE_PSN4810_u_soc_u_top_u_core_instr_rdata_id_2;
-   wire FE_PSN4809_n_11555;
-   wire FE_PSN4808_FE_COEN4315_n_15877;
-   wire FE_PSN4807_n_11751;
-   wire FE_PSN4806_n_15975;
-   wire FE_PSN4805_FE_PSBN19868_n_16000;
-   wire FE_PSN4804_n_11632;
-   wire FE_PSN4802_u_soc_u_top_u_core_alu_operator_ex_5;
-   wire FE_PSN4801_n_11443;
-   wire FE_PSN4800_n_13841;
-   wire FE_PSN4796_n_11473;
-   wire FE_PSN4795_n_11474;
-   wire FE_PSN4794_n_13843;
-   wire FE_PSN4793_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34;
-   wire FE_PSN4792_n_13498;
-   wire FE_PSN4791_n_15871;
-   wire FE_PSN4790_n_13499;
-   wire FE_PSN4789_FE_OFN18315_n_13425;
-   wire FE_PSN4788_n_11593;
-   wire FE_PSN4787_n_11400;
-   wire FE_PSN4786_n_13503;
-   wire FE_PSN4785_n_15865;
-   wire FE_PSN4784_n_12068;
-   wire FE_PSN4783_n_12256;
-   wire FE_PSN4782_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9;
-   wire FE_PSN4781_u_soc_u_top_u_core_rf_waddr_wb_4;
-   wire FE_PSN4780_n_10162;
-   wire FE_PSN4779_n_11452;
-   wire FE_PSN4778_n_12419;
-   wire FE_PSN4777_FE_OFN1082_n_13075;
-   wire FE_PSN4776_n_12413;
-   wire FE_PSN4775_FE_OFN18385_n_11514;
-   wire FE_PSN4774_n_16000;
-   wire FE_PSN4773_n_12970;
-   wire FE_PSN4772_u_soc_lsu_to_xbar_a_address_30;
-   wire FE_PSBN19895_n_16000;
-   wire FE_PSBN19894_n_16000;
-   wire FE_PSBN19893_n_13422;
-   wire FE_PSBN19892_n_13422;
-   wire FE_PSBN19891_FE_RN_93_0;
-   wire FE_PSN4771_n_11476;
-   wire FE_PSN4770_n_11464;
-   wire FE_PSN4769_n_13507;
-   wire FE_PSN4768_FE_PSBN19863_FE_RN_55_0;
-   wire FE_PSN4767_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162;
-   wire FE_PSN4766_n_13852;
-   wire FE_PSN4765_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284;
-   wire FE_PSN4764_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208;
-   wire FE_PDN4762_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
-   wire FE_PDN4761_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
-   wire FE_PDN4760_u_soc_u_iccm_rdata4_20;
-   wire FE_PDN19889_u_soc_u_dccm_rdata4_3;
-   wire FE_PDN4759_FE_COEN4678;
-   wire FE_PDN4758_u_soc_u_dccm_rdata4_2;
-   wire FE_PDN19888_n;
-   wire FE_PDN4757_u_soc_u_iccm_rdata4_20;
-   wire FE_PDN4756_u_soc_u_iccm_rdata4_5;
-   wire FE_PDN4755_n;
-   wire FE_PDN4754_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
-   wire FE_PDN4753_FE_OFN19490_FE_OFN18932_FE_OFN17993_n;
-   wire FE_PDN4752_u_soc_u_dccm_rdata4_2;
-   wire FE_PDN4751_u_soc_u_iccm_rdata4_6;
-   wire FE_PDN4750_u_soc_u_dccm_rdata2_30;
-   wire FE_PDN4749_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
-   wire FE_PDN4748_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
-   wire FE_PDN19887_n;
-   wire FE_PDN4747_FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25;
-   wire FE_PDN19886_n;
-   wire FE_PDN4746_FE_OFN19001_FE_OFN17991_n;
-   wire FE_PDN4745_FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7;
-   wire FE_PDN4744_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
-   wire FE_PDN4743_FE_OFN19595_FE_OFN19195_FE_OFN19073_n;
-   wire FE_PDN4742_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
-   wire FE_PDN4741_FE_OFN19490_FE_OFN18932_FE_OFN17993_n;
-   wire FE_PDN4740_FE_OFN19617_FE_OFN19286_FE_OFN19061_n;
-   wire FE_PDN4739_u_soc_u_dccm_rdata4_2;
-   wire FE_PDN4737_io_oeb_24;
-   wire FE_PDN4736_u_soc_u_dccm_rdata2_26;
-   wire FE_PDN4735_FE_OFN3865_n;
-   wire FE_PDN4734_FE_OFN19605_FE_OFN19509_n;
-   wire FE_PDN4733_n;
-   wire FE_PDN4732_u_soc_u_dccm_rdata4_26;
-   wire FE_PDN4731_FE_OFN18003_n;
-   wire FE_PDN4730_n;
-   wire FE_PDN4729_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n;
-   wire FE_PDN4728_u_soc_u_dccm_rdata2_30;
-   wire FE_PDN4727_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
-   wire FE_PDN4726_u_soc_u_iccm_rdata4_6;
-   wire FE_PDN4725_u_soc_u_iccm_rdata4_20;
-   wire FE_PDN4724_n;
-   wire FE_PDN4723_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7;
-   wire FE_PDN4722_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net;
-   wire FE_PDN4721_FE_OFN19607_FE_OFN19282_FE_OFN19089_n;
-   wire FE_PDN4720_n;
-   wire FE_PDN4719_n;
-   wire FE_PDN4718_n;
-   wire FE_PDN4717_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n;
-   wire FE_PDN4716_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25;
-   wire FE_PDN4715_n;
-   wire FE_PDN4714_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0;
-   wire FE_PDN4713_FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
-   wire FE_PDN19885_FE_COEN4678;
-   wire FE_PDN19884_FE_COEN4678;
-   wire FE_PDN19883_n;
-   wire FE_PDN19882_n;
-   wire FE_PDN19881_n;
-   wire FE_PDN4712_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
-   wire FE_PHN4711_io_in_33;
-   wire FE_COEN4710_n_11995;
-   wire FE_COEN4709_n_12063;
-   wire FE_COEN4708_u_soc_xbar_to_lsu_d_valid;
-   wire FE_COEN4707_n_8336;
-   wire FE_COEN4706_n_12665;
-   wire FE_COEN4705_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121;
-   wire FE_COEN4703_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100;
-   wire FE_COEN4702_n_5826;
-   wire FE_COEN4701_n_5852;
-   wire FE_COEN4700_FE_OFN18585_n_15872;
-   wire FE_COEN4699_u_soc_u_tcam_data_wdata_29;
-   wire FE_COEN4698_u_soc_u_tcam_data_addr_6;
-   wire FE_COEN4697_FE_OFN18361_n;
-   wire FE_COEN4696_u_soc_u_tcam_data_addr_9;
-   wire FE_COEN4695_u_soc_u_tcam_data_wdata_18;
-   wire FE_COEN4694_u_soc_u_tcam_data_wdata_17;
-   wire FE_COEN4693_n_12781;
-   wire FE_COEN4692_n_11086;
-   wire FE_COEN4691_u_soc_u_tcam_data_wdata_20;
-   wire FE_COEN4690_u_soc_u_top_u_core_instr_rdata_id_15;
-   wire FE_COEN4689_u_soc_u_tcam_data_wdata_19;
-   wire FE_COEN4688_FE_OFN18466_n_11471;
-   wire FE_COEN4687_n_15859;
-   wire FE_COEN4686_n_11121;
-   wire FE_COEN4684_n_10562;
-   wire FE_COEN4683_n_13510;
-   wire FE_COEN4681_n_16005;
-   wire FE_COEN4680_n_11447;
-   wire FE_COEN4678_FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8;
-   wire FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
-   wire FE_PSN4676_u_soc_data_wdata_8;
-   wire FE_PSBN19880_FE_OFN1309_u_soc_data_wmask_2;
-   wire FE_PSBN19879_FE_OFN1309_u_soc_data_wmask_2;
-   wire FE_USKN4675_CTS_34;
-   wire FE_USKN4673_CTS_56;
-   wire FE_USKN4672_CTS_87;
-   wire FE_USKN4671_CTS_18;
-   wire FE_PSN4670_FE_OFN18542_n_11550;
-   wire FE_PSN4669_FE_OFN18542_n_11550;
-   wire FE_PSN4668_FE_OFN18492_n_13420;
-   wire FE_PSN4667_n_11479;
-   wire FE_PSN4666_u_soc_u_top_u_core_alu_operator_ex_5;
-   wire FE_PSN4665_FE_OFN1590_n_15897;
-   wire FE_PSN4664_n_10153;
-   wire FE_PSN4663_FE_OFN18361_n;
-   wire FE_PSN4662_FE_OFN1590_n_15897;
-   wire FE_PSN4661_n_10330;
-   wire FE_USKN4660_CTS_52;
-   wire FE_USKN4659_CTS_56;
-   wire FE_USKN4658_CTS_20;
-   wire FE_USKN4657_CTS_23;
-   wire FE_USKN4656_CTS_23;
-   wire FE_USKN4655_CTS_87;
-   wire FE_USKN4654_CTS_18;
-   wire FE_USKN4653_CTS_75;
-   wire FE_USKN4652_CTS_75;
-   wire FE_PSN4651_FE_COEN4317_n_11475;
-   wire FE_PSN4650_FE_OFN1053_n_11471;
-   wire FE_PSN4649_FE_OFN18425_n_15868;
-   wire FE_PSN4648_FE_OFN18526_n_11553;
-   wire FE_PSN4647_n_11517;
-   wire FE_PSN4646_FE_OFN1587_n_13428;
-   wire FE_PSN4645_n_15861;
-   wire FE_PSN4644_FE_OFN1079_n_12256;
-   wire FE_PSN4643_n_15882;
-   wire FE_PSN4641_FE_OFN18542_n_11550;
-   wire FE_PSN4640_n_15946;
-   wire FE_PSN4639_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13;
-   wire FE_PSBN19878_FE_PSN4174_n_11555;
-   wire FE_PSBN19877_FE_PSN4174_n_11555;
-   wire FE_PSN4638_n_11422;
-   wire FE_PSN4637_n_8868;
-   wire FE_PSBN19876_n_15858;
-   wire FE_PSBN19875_n_15858;
-   wire FE_PSN4636_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9;
-   wire FE_PSN4635_n_11476;
-   wire FE_PSN4634_n_13843;
-   wire FE_PSN4633_n_15856;
-   wire FE_PSN4632_n_11479;
-   wire FE_PSN4631_n_12888;
-   wire FE_PSBN19874_n_11550;
-   wire FE_PSBN19873_n_11550;
-   wire FE_PSN4630_n_12536;
-   wire FE_PSBN19872_n_13388;
-   wire FE_PSBN19871_n_13388;
-   wire FE_PSN4629_u_soc_u_top_u_core_alu_operand_b_ex_3;
-   wire FE_PSN4628_n_13812;
-   wire FE_PSN4627_FE_OFN1053_n_11471;
-   wire FE_PSBN19868_n_16000;
-   wire FE_PSBN19867_n_13424;
-   wire FE_PSBN19866_n_13424;
-   wire FE_PSBN19865_FE_RN_55_0;
-   wire FE_PSBN19864_FE_RN_55_0;
-   wire FE_PSBN19863_FE_RN_55_0;
-   wire FE_PSBN19862_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206;
-   wire FE_PSN4626_n_142;
-   wire FE_PSN4624_n_11401;
-   wire FE_PSN4623_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163;
-   wire FE_PSN4622_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193;
-   wire FE_PDN4621_u_soc_u_dccm_rdata3_17;
-   wire FE_PDN4619_io_out_6;
-   wire FE_PDN4618_n;
-   wire FE_PDN19860_n;
-   wire FE_PDN19859_n;
-   wire FE_PDN19858_n;
-   wire FE_PDN4617_FE_OFN19490_FE_OFN18932_FE_OFN17993_n;
-   wire FE_PDN4616_u_soc_u_dccm_rdata4_2;
-   wire FE_PDN19857_io_out_6;
-   wire FE_PDN4615_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
-   wire FE_PDN4614_u_soc_u_iccm_rdata4_6;
-   wire FE_PDN4613_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n;
-   wire FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
-   wire FE_PDN4611_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25;
-   wire FE_PDN19856_u_soc_u_dccm_rdata4_20;
-   wire FE_PDN19855_n;
-   wire FE_PDN4610_u_soc_u_dccm_rdata4_21;
-   wire FE_PDN4609_u_soc_u_dccm_rdata4_10;
-   wire FE_PDN4608_u_soc_u_dccm_rdata3_16;
-   wire FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8;
-   wire FE_PDN4606_u_soc_u_iccm_rdata3_9;
-   wire FE_PDN4605_u_soc_u_dccm_rdata4_19;
-   wire FE_PDN4604_u_soc_u_dccm_rdata4_2;
-   wire FE_PDN4603_u_soc_u_dccm_rdata2_11;
-   wire FE_PDN4602_u_soc_u_dccm_rdata2_30;
-   wire FE_PDN4601_u_soc_u_dccm_rdata2_2;
-   wire FE_PDN4600_n;
-   wire FE_PDN4599_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
-   wire FE_PDN4598_n;
-   wire FE_PDN4597_FE_OFN19610_FE_OFN19514_n;
-   wire FE_PDN4596_u_soc_u_iccm_rdata4_6;
-   wire FE_PDN19854_n;
-   wire FE_PDN4595_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
-   wire FE_PDN4594_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n;
-   wire FE_PDN4593_FE_OFN3865_n;
-   wire FE_PDN19853_n;
-   wire FE_PDN4592_FE_OFN19490_FE_OFN18932_FE_OFN17993_n;
-   wire FE_PDN4591_u_soc_u_iccm_rdata2_8;
-   wire FE_PDN4590_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
-   wire FE_PDN4589_n;
-   wire FE_PDN4588_u_soc_u_iccm_rdata4_20;
-   wire FE_PDN4587_n;
-   wire FE_PDN4586_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100;
-   wire FE_PDN4585_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net;
-   wire FE_PDN4584_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
-   wire FE_PDN4583_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0;
-   wire FE_PDN4582_n;
-   wire FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
-   wire FE_PDN4580_n;
-   wire FE_PDN4579_FE_OFN19605_FE_OFN19509_n;
-   wire FE_PDN4578_n;
-   wire FE_PDN4577_u_soc_u_dccm_rdata2_26;
-   wire FE_PDN4576_FE_OFN19607_FE_OFN19282_FE_OFN19089_n;
-   wire FE_PDN4575_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7;
-   wire FE_PDN4574_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n;
-   wire FE_PDN19852_n;
-   wire FE_PDN19851_n;
-   wire FE_COEN4573_n_13436;
-   wire FE_COEN4572_n_10564;
-   wire FE_COEN4571_FE_OFN601_u_soc_u_iccm_rdata1_16;
-   wire FE_COEN4570_u_soc_u_tcam_data_addr_7;
-   wire FE_COEN4569_n_10669;
-   wire FE_COEN4568_n_10670;
-   wire FE_COEN4567_u_soc_u_tcam_data_wdata_30;
-   wire FE_COEN4566_FE_OFN18445_n_15897;
-   wire FE_COEN4565_n_11122;
-   wire FE_COEN4564_n_10663;
-   wire FE_COEN4563_n_10664;
-   wire FE_COEN4562_u_soc_u_tcam_data_addr_23;
-   wire FE_COEN4561_n_10666;
-   wire FE_COEN4560_u_soc_u_tcam_data_addr_27;
-   wire FE_PSN4559_FE_OFN1633_n_10864;
-   wire FE_PSN4558_FE_OFN1633_n_10864;
-   wire FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n;
-   wire FE_PSN4556_n_17396;
-   wire FE_PSN4555_u_soc_data_addr_4;
-   wire FE_PSN4554_u_soc_data_addr_8;
-   wire FE_PSN4553_u_soc_data_addr_9;
-   wire FE_PDN19850_n;
-   wire FE_PDN4552_u_soc_u_iccm_rdata2_8;
-   wire FE_PDN4551_n;
-   wire FE_PDN4550_u_soc_u_dccm_rdata2_2;
-   wire FE_PDN4549_u_soc_u_dccm_rdata2_2;
-   wire FE_PDN19849_n;
-   wire FE_PDN4548_u_soc_u_dccm_rdata2_26;
-   wire FE_PDN4547_u_soc_u_iccm_rdata2_8;
-   wire FE_PDN4546_u_soc_u_iccm_rdata3_31;
-   wire FE_PDN19848_n;
-   wire FE_PDN19847_n;
-   wire FE_PDN4545_u_soc_u_iccm_rdata2_29;
-   wire FE_PDN4544_u_soc_u_iccm_rdata4_6;
-   wire FE_PDN4543_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
-   wire FE_PDN4542_FE_OFN19599_FE_OFN19212_FE_OFN19103_n;
-   wire FE_PDN4541_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
-   wire FE_PDN4540_FE_OFN19516_n;
-   wire FE_PDN4539_FE_OFN19506_n;
-   wire FE_PDN4538_n;
-   wire FE_PDN4537_u_soc_u_dccm_rdata2_2;
-   wire FE_PDN19846_n;
-   wire FE_PDN19845_u_soc_u_dccm_rdata2_17;
-   wire FE_PDN4536_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net;
-   wire FE_PDN4535_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
-   wire FE_PDN4534_n;
-   wire FE_PDN4533_u_soc_u_iccm_rdata3_31;
-   wire FE_PDN19844_n;
-   wire FE_PDN19843_n;
-   wire FE_PDN4532_u_soc_u_iccm_rdata3_9;
-   wire FE_PDN4531_u_soc_u_dccm_rdata3_29;
-   wire FE_PDN4530_u_soc_u_dccm_rdata2_2;
-   wire FE_PDN4529_u_soc_u_dccm_rdata2_11;
-   wire FE_PDN4528_u_soc_u_iccm_rdata4_20;
-   wire FE_PDN19842_n;
-   wire FE_PDN19841_n;
-   wire FE_PDN4527_u_soc_u_iccm_rdata2_29;
-   wire FE_PDN4526_u_soc_u_iccm_rdata3_8;
-   wire FE_PDN4525_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
-   wire FE_PDN4524_u_soc_u_iccm_rdata3_3;
-   wire FE_PDN4523_u_soc_u_dccm_rdata3_20;
-   wire FE_PDN4522_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
-   wire FE_PDN19840_n;
-   wire FE_PDN4521_FE_OFN19600_FE_OFN19523_n;
-   wire FE_PDN4520_FE_OFN19605_FE_OFN19509_n;
-   wire FE_PDN4519_FE_OFN19592_FE_OFN19511_n;
-   wire FE_PDN4518_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0;
-   wire FE_PDN4517_u_soc_u_dccm_rdata2_23;
-   wire FE_PDN4516_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n;
-   wire FE_PDN4515_n;
-   wire FE_PDN4514_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
-   wire FE_PDN4513_FE_OFN19515_n;
-   wire FE_PDN4512_n;
-   wire FE_PDN4511_n;
-   wire FE_PDN4510_u_soc_u_iccm_rdata2_1;
-   wire FE_PDN4509_FE_OFN3865_n;
-   wire FE_PDN4508_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net;
-   wire FE_PDN4507_u_soc_u_iccm_rdata4_6;
-   wire FE_PDN4506_u_soc_u_iccm_rdata2_8;
-   wire FE_PDN4505_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
-   wire FE_PDN19839_n;
-   wire FE_PDN19838_n;
-   wire FE_PDN4504_FE_OFN19608_FE_OFN18789_FE_OFN18004_n;
-   wire FE_PDN4503_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7;
-   wire FE_PDN4502_FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
-   wire FE_PDN4501_FE_OFN19280_FE_OFN19064_n;
-   wire FE_PDN4500_FE_OFN19610_FE_OFN19514_n;
-   wire FE_PDN4499_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
-   wire FE_PDN4498_FE_OFN19612_FE_OFN19522_n;
-   wire FE_PDN4497_FE_OFN19507_n;
-   wire FE_PDN4496_FE_OFN19599_FE_OFN19212_FE_OFN19103_n;
-   wire FE_PDN4495_FE_OFN19607_FE_OFN19282_FE_OFN19089_n;
-   wire FE_PDN4494_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7;
-   wire FE_PDN4493_n;
-   wire FE_PDN4492_n;
-   wire FE_PDN4491_FE_OFN19506_n;
-   wire FE_USKN4490_CTS_23;
-   wire FE_USKN4489_CTS_18;
-   wire FE_USKN4488_CTS_75;
-   wire FE_PSN4487_n_15872;
-   wire FE_PSN4486_n_15974;
-   wire FE_PSN4485_FE_OFN18425_n_15868;
-   wire FE_PSN4484_n_11123;
-   wire FE_PSN4483_n_11480;
-   wire FE_PSN4482_n_13477;
-   wire FE_PSN4481_n_11751;
-   wire FE_PSN4480_FE_OFN18584_n_15872;
-   wire FE_PSN4479_n_11476;
-   wire FE_PSN4478_FE_OFN18397_n_15865;
-   wire FE_PSN4477_FE_COEN4317_n_11475;
-   wire FE_PSN4476_n_12853;
-   wire FE_PSN4475_n_13393;
-   wire FE_PSN4474_n_12754;
-   wire FE_PSN4473_n_15995;
-   wire FE_PSN4472_n_12000;
-   wire FE_PSN4471_n_12261;
-   wire FE_PSN4470_n_13497;
-   wire FE_PSN4469_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45;
-   wire FE_PSN4468_n_13811;
-   wire FE_PSN4467_n_11020;
-   wire FE_PSN4466_n_10160;
-   wire FE_PSN4465_FE_OFN1056_n_11475;
-   wire FE_PSN4464_n_13506;
-   wire FE_PSN4463_n_11553;
-   wire FE_PSN4462_FE_OFN18407_n_15871;
-   wire FE_PSN4461_FE_OFN1587_n_13428;
-   wire FE_PSN4459_u_soc_u_top_u_core_instr_rdata_id_27;
-   wire FE_PSN4458_n_15872;
-   wire FE_PSN4457_FE_OFN1080_n_13070;
-   wire FE_PSN4456_FE_OFN18547_n_11593;
-   wire FE_PSN4455_n_11223;
-   wire FE_PSN4454_n_11479;
-   wire FE_PSN4453_n_16003;
-   wire FE_PSN4452_n_15945;
-   wire FE_PSN4451_n_11751;
-   wire FE_PSN4450_n_11314;
-   wire FE_PSN4449_n_15860;
-   wire FE_PSN4448_n_13386;
-   wire FE_PSN4447_FE_OFN18562_n_15867;
-   wire FE_PSN4444_n_11480;
-   wire FE_PSN4443_n_15881;
-   wire FE_PSN4440_u_soc_u_top_u_core_instr_rdata_id_20;
-   wire FE_PSN4439_n_11094;
-   wire FE_PSN4438_FE_COEN4311_n_11474;
-   wire FE_PSN4436_FE_OFN18492_n_13420;
-   wire FE_PSN4433_n_11355;
-   wire FE_PSN4432_n_11754;
-   wire FE_PSN4431_FE_OFN18505_n_16001;
-   wire FE_PSN4430_n_12881;
-   wire FE_PSN4429_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13;
-   wire FE_PSN4428_n_11176;
-   wire FE_PSN4427_FE_OFN18385_n_11514;
-   wire FE_PSN4426_n_11745;
-   wire FE_PSN4425_n_11761;
-   wire FE_PSN4424_FE_OFN18315_n_13425;
-   wire FE_PSN4423_n_15859;
-   wire FE_PSN4422_n_11763;
-   wire FE_PSN4421_n_15871;
-   wire FE_PSN4420_n_135;
-   wire FE_PSN4419_n_11699;
-   wire FE_PSN4418_n_13392;
-   wire FE_PSN4417_FE_OFN18238_n_13069;
-   wire FE_PSN4416_n_13497;
-   wire FE_PSBN19837_n_11428;
-   wire FE_PSN4415_FE_OFN18506_n_16001;
-   wire FE_PSBN19836_n_15865;
-   wire FE_PSBN19835_n_15865;
-   wire FE_PSN4414_FE_COEN4289_FE_OFN18396_n_15865;
-   wire FE_PSBN19834_n_15866;
-   wire FE_PSBN19833_n_15866;
-   wire FE_PSBN19832_n_15866;
-   wire FE_PSBN19831_n_15866;
-   wire FE_PSBN19830_n_15866;
-   wire FE_PSN4413_FE_OFN18584_n_15872;
-   wire FE_PSN4412_FE_OFN18472_n_11421;
-   wire FE_PSBN19829_n_13387;
-   wire FE_PSBN19828_n_13387;
-   wire FE_PSN4411_FE_COEN4289_FE_OFN18396_n_15865;
-   wire FE_PSN4410_n_11476;
-   wire FE_PSN4409_n_12557;
-   wire FE_PSN4408_n_15867;
-   wire FE_PSN4407_n_12534;
-   wire FE_PSN4406_n_11761;
-   wire FE_PSBN19827_n_15943;
-   wire FE_PSBN19826_n_15943;
-   wire FE_PSN4405_n_11471;
-   wire FE_PSN4404_FE_COEN4289_FE_OFN18396_n_15865;
-   wire FE_PSN4403_n_15881;
-   wire FE_PSN4402_n_15943;
-   wire FE_PSN4401_u_soc_u_top_u_core_alu_operand_b_ex_0;
-   wire FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2;
-   wire FE_PSN4399_n_13507;
-   wire FE_PSN4398_FE_OFN1114_n_15868;
-   wire FE_PSN4397_FE_OFN18491_n_11428;
-   wire FE_PSN4396_FE_OFN1585_n_13422;
-   wire FE_PSN4395_n_15943;
-   wire FE_PSN4394_FE_OFN18506_n_16001;
-   wire FE_PSN4393_u_soc_u_top_u_core_alu_operand_b_ex_5;
-   wire FE_PSN4392_FE_OFN1113_n_15866;
-   wire FE_PSN4390_n_15995;
-   wire FE_PSN4389_FE_OFN18507_n_13391;
-   wire FE_PSN4388_n_11557;
-   wire FE_PSN4387_FE_OFN1585_n_13422;
-   wire FE_PSN4385_n_13500;
-   wire FE_PSN4384_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27;
-   wire FE_PSN4383_n_13496;
-   wire FE_PSN4382_n_11039;
-   wire FE_PSN4381_FE_OFN1399_n_142;
-   wire FE_PSN4380_n_13390;
-   wire FE_PSN4379_n_13507;
-   wire FE_PSN4378_FE_OFN1113_n_15866;
-   wire FE_PSN4377_n_16001;
-   wire FE_PSN4376_n_13845;
-   wire FE_PSN4375_n_11428;
-   wire FE_PSN4374_n_15860;
-   wire FE_PSN4373_u_soc_u_top_u_core_alu_operand_b_ex_3;
-   wire FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20;
-   wire FE_PSBN19825_n_10150;
-   wire FE_PSBN19824_n_10150;
-   wire FE_PSN4371_n_13416;
-   wire FE_PSN4370_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192;
-   wire FE_PSN4369_u_soc_lsu_to_xbar_a_address_26;
-   wire FE_PSN4368_n_11553;
-   wire FE_PSN4367_n_11746;
-   wire FE_PSN4366_u_soc_u_top_u_core_instr_rdata_id_22;
-   wire FE_PSN4365_n_13502;
-   wire FE_PSN4364_n_11450;
-   wire FE_PSN4363_n_13429;
-   wire FE_PSN4362_n_15872;
-   wire FE_PSN4361_n_11476;
-   wire FE_PSN4359_n_15946;
-   wire FE_PSN4358_n_12596;
-   wire FE_PSN4357_n_11425;
-   wire FE_PSN4356_n_13393;
-   wire FE_PSN4355_n_11478;
-   wire FE_PSN4354_FE_OFN1585_n_13422;
-   wire FE_PSN4353_n_12877;
-   wire FE_PSN4352_n_13500;
-   wire FE_PSN4351_n_13502;
-   wire FE_PSN4350_FE_OFN1586_n_13424;
-   wire FE_PSN4349_n_12550;
-   wire FE_PSN4348_n_16000;
-   wire FE_PSN4347_u_soc_u_top_u_core_alu_operator_ex_0;
-   wire FE_PSN4346_n_15946;
-   wire FE_PSN4345_n_11294;
-   wire FE_PSN4344_n_13387;
-   wire FE_PSN4343_u_soc_u_top_u_core_alu_operand_b_ex_3;
-   wire FE_PSN4342_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116;
-   wire FE_PSN4341_FE_OFN18495_n_13389;
-   wire FE_PSN4340_n_11761;
-   wire FE_PSN4339_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139;
-   wire FE_PSN4338_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287;
-   wire FE_PSN4337_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288;
-   wire FE_COEN4335_n_13401;
-   wire FE_COEN4334_n_10344;
-   wire FE_COEN4333_n_12741;
-   wire FE_COEN4332_n_12885;
-   wire FE_COEN4331_n_496;
-   wire FE_COEN4330_n_13432;
-   wire FE_COEN4329_n_13402;
-   wire FE_COEN4328_FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0;
-   wire FE_COEN4327_u_soc_lsu_to_xbar_a_address_20;
-   wire FE_COEN4325_n_12777;
-   wire FE_COEN4324_u_soc_u_tcam_data_addr_25;
-   wire FE_COEN4323_FE_OFN19381_u_soc_u_iccm_rdata4_17;
-   wire FE_COEN4322_n_12783;
-   wire FE_COEN4321_FE_OFN601_u_soc_u_iccm_rdata1_16;
-   wire FE_COEN4320_FE_OFN18983_u_soc_u_iccm_rdata4_1;
-   wire FE_COEN4319_FE_OFN19442_u_soc_u_iccm_rdata4_16;
-   wire FE_COEN4318_n_1877;
-   wire FE_COEN4317_n_11475;
-   wire FE_COEN4316_FE_OFN18547_n_11593;
-   wire FE_COEN4315_n_15877;
-   wire FE_COEN4314_FE_OFN18473_n_11421;
-   wire FE_COEN4313_n_13496;
-   wire FE_COEN4312_n_11091;
-   wire FE_COEN4311_n_11474;
-   wire FE_COEN4310_n_13431;
-   wire FE_COEN4309_FE_OFN18547_n_11593;
-   wire FE_COEN4307_FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0;
-   wire FE_COEN4306_n_11550;
-   wire FE_COEN4304_n_13397;
-   wire FE_COEN4303_n_13388;
-   wire FE_COEN4302_n_669;
-   wire FE_COEN4301_u_soc_u_top_u_core_alu_operand_b_ex_12;
-   wire FE_COEN4300_n_13499;
-   wire FE_COEN4299_n_5838;
-   wire FE_COEN4298_n_11756;
-   wire FE_COEN4297_FE_OFN1071_n_11559;
-   wire FE_COEN4295_u_soc_u_top_u_core_alu_operand_b_ex_3;
-   wire FE_COEN4294_n_11033;
-   wire FE_COEN4293_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_instr_type_q_0;
-   wire FE_COEN4292_u_soc_lsu_to_xbar_a_address_28;
-   wire FE_COEN4290_FE_OFN18250_n_183;
-   wire FE_COEN4289_FE_OFN18396_n_15865;
-   wire FE_COEN4288_n_15881;
-   wire FE_COEN4287_n_13433;
-   wire FE_COEN4285_n_13437;
-   wire FE_COEN4283_FE_OFN18238_n_13069;
-   wire FE_COEN4282_n_13467;
-   wire FE_COEN4279_n_11090;
-   wire FE_COEN4278_n_12263;
-   wire FE_COEN4277_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14;
-   wire FE_COEN4276_n_13501;
-   wire FE_COEN4275_n_13392;
-   wire FE_COEN4274_n_11089;
-   wire FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4;
-   wire FE_COEN4272_n_12978;
-   wire FE_COEN4271_FE_OFN18292_n_15857;
-   wire FE_COEN4270_n_13378;
-   wire FE_COEN4269_n_12024;
-   wire FE_COEN4268_n_12409;
-   wire FE_COEN4267_u_soc_lsu_to_xbar_a_address_25;
-   wire FE_COEN4266_n_5854;
-   wire FE_COEN4265_u_soc_lsu_to_xbar_a_address_21;
-   wire FE_PSBN19822_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7;
-   wire FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7;
-   wire FE_PSBN19820_FE_OFN237_u_soc_data_wdata_7;
-   wire FE_PSBN19819_u_soc_data_wdata_7;
-   wire FE_PSN4264_n_13386;
-   wire FE_PSN4263_n_11294;
-   wire FE_PSN4262_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193;
-   wire FE_PSN4261_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32;
-   wire FE_PSN4260_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127;
-   wire FE_PSN4259_n_11557;
-   wire FE_PSN4258_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133;
-   wire FE_PDN4257_u_soc_u_iccm_rdata4_19;
-   wire FE_PDN19818_n;
-   wire FE_PDN19817_n;
-   wire FE_PDN19816_n;
-   wire FE_PDN19815_n;
-   wire FE_PDN19814_n;
-   wire FE_PDN4256_u_soc_u_dccm_rdata4_23;
-   wire FE_PDN4255_u_soc_u_dccm_rdata2_2;
-   wire FE_PDN19813_n;
-   wire FE_PDN4254_u_soc_u_dccm_rdata3_9;
-   wire FE_PDN4253_u_soc_u_iccm_rdata2_29;
-   wire FE_PDN4252_u_soc_u_dccm_rdata3_3;
-   wire FE_PDN4251_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
-   wire FE_PDN4250_u_soc_u_dccm_rdata3_4;
-   wire FE_PDN4249_n;
-   wire FE_PDN4248_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
-   wire FE_PDN19812_n;
-   wire FE_PDN19811_u_soc_u_iccm_rdata2_25;
-   wire FE_PDN19810_n;
-   wire FE_PDN19809_u_soc_u_dccm_rdata4_5;
-   wire FE_PDN19808_n;
-   wire FE_PDN19807_u_soc_u_dccm_rdata4_16;
-   wire FE_PDN4247_u_soc_u_iccm_rdata2_8;
-   wire FE_PDN4246_u_soc_u_iccm_rdata3_22;
-   wire FE_PDN4245_u_soc_u_dccm_rdata2_6;
-   wire FE_PDN4244_u_soc_u_dccm_rdata3_30;
-   wire FE_PDN4243_u_soc_u_iccm_rdata3_29;
-   wire FE_PDN4242_u_soc_u_iccm_rdata4_29;
-   wire FE_PDN4241_u_soc_u_dccm_rdata3_3;
-   wire FE_PDN4240_u_soc_u_iccm_rdata3_9;
-   wire FE_PDN4239_u_soc_u_dccm_rdata3_9;
-   wire FE_PDN4238_u_soc_u_dccm_rdata2_25;
-   wire FE_PDN4237_u_soc_u_dccm_rdata4_29;
-   wire FE_PDN19806_u_soc_u_dccm_rdata4_22;
-   wire FE_PDN4236_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
-   wire FE_PDN4235_u_soc_u_dccm_rdata3_17;
-   wire FE_PDN4234_FE_OFN19760_n;
-   wire FE_PDN4233_u_soc_u_iccm_rdata2_29;
-   wire FE_PDN19805_u_soc_u_dccm_rdata2_13;
-   wire FE_PDN4232_u_soc_u_iccm_rdata3_3;
-   wire FE_PDN4231_u_soc_u_dccm_rdata2_23;
-   wire FE_PDN19804_n;
-   wire FE_PDN4230_u_soc_u_iccm_rdata2_1;
-   wire FE_PDN4229_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
-   wire FE_PDN4228_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n;
-   wire FE_PDN4227_u_soc_u_dccm_rdata2_24;
-   wire FE_PDN4226_FE_OFN19600_FE_OFN19523_n;
-   wire FE_PDN4225_u_soc_u_dccm_rdata2_2;
-   wire FE_PDN4224_u_soc_u_dccm_rdata2_18;
-   wire FE_PDN4223_u_soc_u_dccm_rdata4_17;
-   wire FE_PDN4222_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
-   wire FE_PDN19803_n;
-   wire FE_PDN19802_n;
-   wire FE_PDN19801_n;
-   wire FE_PDN4221_u_soc_u_dccm_rdata2_26;
-   wire FE_PDN4220_u_soc_u_dccm_rdata2_15;
-   wire FE_PDN4219_logic_0_61_net;
-   wire FE_PDN4218_u_soc_u_dccm_rdata2_27;
-   wire FE_PDN4217_u_soc_u_dccm_rdata3_4;
-   wire FE_PDN4216_logic_0_48_net;
-   wire FE_PDN19800_n;
-   wire FE_PDN4215_u_soc_u_iccm_rdata4_2;
-   wire FE_PDN4214_u_soc_u_iccm_rdata4_6;
-   wire FE_PDN4213_FE_OFN19605_FE_OFN19509_n;
-   wire FE_PDN4212_FE_OFN19521_n;
-   wire FE_PDN4211_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net;
-   wire FE_PDN4210_u_soc_u_dccm_rdata4_4;
-   wire FE_PDN4209_FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
-   wire FE_PDN4208_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
-   wire FE_PDN4207_n;
-   wire FE_PDN4206_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net;
-   wire FE_PDN4205_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n;
-   wire FE_PDN4204_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0;
-   wire FE_PDN4203_u_soc_u_dccm_rdata3_0;
-   wire FE_PDN4202_FE_OFN19618_FE_OFN19207_FE_OFN19102_n;
-   wire FE_PDN4201_logic_0_63_net;
-   wire FE_PDN4200_FE_OFN19594_FE_OFN19517_n;
-   wire FE_PDN4199_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n;
-   wire FE_PDN4198_FE_OFN19610_FE_OFN19514_n;
-   wire FE_PDN19799_n;
-   wire FE_PDN19798_n;
-   wire FE_PDN19797_n;
-   wire FE_PDN19796_FE_OFN3867_FE_OFN18746_FE_OFN17984_n;
-   wire FE_PDN4197_u_soc_u_iccm_rdata4_14;
-   wire FE_PDN4196_u_soc_u_iccm_rdata2_6;
-   wire FE_PDN4195_FE_OFN19599_FE_OFN19212_FE_OFN19103_n;
-   wire FE_PDN4194_FE_OFN19608_FE_OFN18789_FE_OFN18004_n;
-   wire FE_PDN4193_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7;
-   wire FE_PDN4192_FE_OFN19607_FE_OFN19282_FE_OFN19089_n;
-   wire FE_PDN4191_FE_OFN3865_n;
-   wire FE_PDN4190_FE_OFN19280_FE_OFN19064_n;
-   wire FE_PDN19795_n;
-   wire FE_PDN19794_n;
-   wire FE_PDN4189_FE_OFN19506_n;
-   wire FE_PDN4188_FE_OFN19507_n;
-   wire FE_PDN4187_FE_OFN19592_FE_OFN19511_n;
-   wire FE_PDN4186_FE_OFN19612_FE_OFN19522_n;
-   wire FE_PDN4185_FE_OFN19515_n;
-   wire FE_PSN4183_n_12067;
-   wire FE_PSN4182_n_11480;
-   wire FE_PSN4181_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0;
-   wire FE_PSN4180_n_12808;
-   wire FE_PSN4179_n_11516;
-   wire FE_PSN4177_n_16001;
-   wire FE_PSN4175_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111;
-   wire FE_PSN4174_n_11555;
-   wire FE_PSN4173_n_12857;
-   wire FE_PSN4172_n_12095;
-   wire FE_PSN4171_FE_OFN18622_n_11474;
-   wire FE_PSN4170_FE_OFN18454_n_15997;
-   wire FE_PSN4169_FE_OFN1085_n_13078;
-   wire FE_PSN4168_n_13409;
-   wire FE_PSN4167_n_11087;
-   wire FE_PSN4166_n_13338;
-   wire FE_PSN4165_FE_OFN618_u_soc_u_iccm_rdata1_0;
-   wire FE_PSN4164_n_15857;
-   wire FE_PSN4162_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32;
-   wire FE_PSN4161_FE_OFN18431_n_11474;
-   wire FE_PSN4160_n_13101;
-   wire FE_PSN4159_FE_OFN18575_n_15869;
-   wire FE_PSN4158_n_12782;
-   wire FE_PSN4157_n_8331;
-   wire FE_PSN4156_n_13429;
-   wire FE_PSN4155_n_13808;
-   wire FE_PSN4154_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192;
-   wire FE_PSN4153_FE_OFN18511_n_11423;
-   wire FE_PSN4152_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124;
-   wire FE_PSN4151_n_13513;
-   wire FE_PSN4150_n_13044;
-   wire FE_PSN4149_FE_OFN1398_n_141;
-   wire FE_PSN4148_u_soc_u_top_u_core_instr_rdata_id_25;
-   wire FE_PSN4147_n_13407;
-   wire FE_PSN4146_n_11593;
-   wire FE_PSN4145_u_soc_lsu_to_xbar_a_address_22;
-   wire FE_PSN4144_n_10330;
-   wire FE_PSN4143_FE_OFN1114_n_15868;
-   wire FE_PSN4142_n_13502;
-   wire FE_PSN4141_u_soc_lsu_to_xbar_a_address_27;
-   wire FE_PSN4140_n_14;
-   wire FE_PSN4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5;
-   wire FE_PSN4138_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127;
-   wire FE_PSN4137_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130;
-   wire FE_PSN4136_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136;
-   wire FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20;
-   wire FE_PSN4134_FE_OFN18552_n_15995;
-   wire FE_PSN4133_u_soc_lsu_to_xbar_a_address_24;
-   wire FE_PSN4132_n_13504;
-   wire FE_PSN4131_n_13610;
-   wire FE_PSN4130_u_soc_u_top_u_core_pc_set;
-   wire FE_PSN4129_n_13395;
-   wire FE_PSN4128_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208;
-   wire FE_PSN4127_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169;
-   wire FE_PSN4126_u_soc_lsu_to_xbar_a_address_23;
-   wire FE_PSN4125_n_15;
-   wire FE_PSN4124_n_11294;
-   wire FE_PSN4123_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q;
-   wire FE_PSN4122_n_13362;
-   wire FE_PSN4121_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162;
-   wire FE_PSN4120_n_11294;
-   wire FE_PSN4119_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159;
-   wire FE_PSN4118_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152;
-   wire FE_PSN4117_n_9163;
-   wire FE_PSN4116_FE_OFN1586_n_13424;
-   wire FE_PSN4115_u_soc_u_top_u_core_pc_set;
-   wire FE_PSN4114_u_soc_iccm_adapter_inst_mem_wmask_int_0_30;
-   wire FE_PSN4113_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q;
-   wire FE_PSN4112_n_10158;
-   wire FE_PSN4111_n_10338;
-   wire FE_PSN4110_n_10341;
-   wire FE_PSN4109_FE_OFN1586_n_13424;
-   wire FE_PSN4108_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183;
-   wire FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0;
-   wire FE_PSN4104_n_11294;
-   wire FE_PSN4103_u_soc_lsu_to_xbar_a_address_31;
-   wire FE_PSN4101_n_7253;
-   wire FE_PSN4100_u_soc_lsu_to_xbar_a_address_31;
-   wire FE_PSN4099_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287;
-   wire FE_PDN4098_u_soc_u_dccm_rdata4_31;
-   wire FE_PDN19793_u_soc_u_dccm_rdata2_6;
-   wire FE_PDN4096_u_soc_u_dccm_rdata3_0;
-   wire FE_PDN4095_u_soc_u_dccm_rdata4_4;
-   wire FE_PDN4094_u_soc_u_dccm_rdata3_12;
-   wire FE_PDN4093_u_soc_u_dccm_rdata2_22;
-   wire FE_PDN4092_u_soc_u_iccm_rdata3_27;
-   wire FE_PDN4091_u_soc_u_iccm_rdata3_9;
-   wire FE_PDN19792_n;
-   wire FE_PDN4090_u_soc_u_dccm_rdata3_5;
-   wire FE_PDN4089_u_soc_u_dccm_rdata3_23;
-   wire FE_PDN4088_u_soc_u_dccm_rdata3_21;
-   wire FE_PDN4087_u_soc_u_dccm_rdata4_31;
-   wire FE_PDN4086_u_soc_u_dccm_rdata4_21;
-   wire FE_PDN4085_u_soc_u_dccm_rdata2_3;
-   wire FE_PDN4084_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n;
-   wire FE_PDN4083_u_soc_u_dccm_rdata4_15;
-   wire FE_PDN4082_u_soc_u_iccm_rdata2_15;
-   wire FE_PDN4081_u_soc_u_dccm_rdata3_7;
-   wire FE_PDN4080_u_soc_u_dccm_rdata3_22;
-   wire FE_PDN4079_u_soc_u_iccm_rdata4_5;
-   wire FE_PDN19791_n;
-   wire FE_PDN19790_n;
-   wire FE_PDN4078_u_soc_u_dccm_rdata2_12;
-   wire FE_PDN4077_u_soc_u_dccm_rdata2_4;
-   wire FE_PDN4076_u_soc_u_dccm_rdata2_30;
-   wire FE_PDN4075_u_soc_u_dccm_rdata2_2;
-   wire FE_PDN4074_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
-   wire FE_PDN4073_FE_OFN19608_FE_OFN18789_FE_OFN18004_n;
-   wire FE_PDN4072_FE_OFN19596_FE_OFN19203_FE_OFN19077_n;
-   wire FE_PDN4071_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n;
-   wire FE_PDN4070_u_soc_u_dccm_addr1_6;
-   wire FE_PDN4069_FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25;
-   wire FE_PDN4068_FE_OFN1732_n;
-   wire FE_PDN4067_FE_OFN579_u_soc_instr_wdata_6;
-   wire FE_PDN4066_FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1;
-   wire FE_PDN4065_FE_OFN19280_FE_OFN19064_n;
-   wire FE_PDN4064_FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27;
-   wire FE_PDN19789_u_soc_u_dccm_rdata4_27;
-   wire FE_PDN4063_FE_OFN1264_u_soc_data_wdata_9;
-   wire FE_PDN19788_u_soc_u_tcam_rdata_0;
-   wire FE_PDN4062_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8;
-   wire FE_PDN4061_u_soc_u_dccm_rdata4_13;
-   wire FE_PDN4060_u_soc_u_iccm_rdata2_15;
-   wire FE_PDN4059_u_soc_u_dccm_rdata4_15;
-   wire FE_PDN4058_u_soc_u_iccm_rdata4_14;
-   wire FE_PDN4057_u_soc_u_dccm_rdata3_7;
-   wire FE_PDN4056_u_soc_u_iccm_rdata3_16;
-   wire FE_PDN4055_FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n;
-   wire FE_PDN4054_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
-   wire FE_PDN4053_u_soc_u_iccm_rdata4_15;
-   wire FE_PDN4052_u_soc_u_iccm_rdata3_18;
-   wire FE_PDN4051_u_soc_u_dccm_rdata4_0;
-   wire FE_PDN4050_u_soc_u_dccm_rdata2_3;
-   wire FE_PDN4048_n_17937;
-   wire FE_PDN4047_u_soc_u_dccm_rdata3_6;
-   wire FE_PDN4046_u_soc_u_dccm_rdata4_4;
-   wire FE_PDN4044_u_soc_u_dccm_rdata3_10;
-   wire FE_PDN4043_u_soc_u_dccm_rdata3_23;
-   wire FE_PDN4042_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n;
-   wire FE_PDN4041_u_soc_u_iccm_rdata4_29;
-   wire FE_PDN4040_FE_OFN490_u_soc_instr_wdata_19;
-   wire FE_PDN4039_u_soc_u_iccm_rdata3_8;
-   wire FE_PDN4038_u_soc_u_dccm_rdata4_31;
-   wire FE_PDN4037_FE_OFN561_u_soc_instr_wdata_9;
-   wire FE_PDN4036_u_soc_u_iccm_rdata4_11;
-   wire FE_PDN4035_u_soc_u_dccm_rdata2_4;
-   wire FE_PDN4034_u_soc_u_dccm_rdata3_4;
-   wire FE_PDN4032_u_soc_u_iccm_rdata4_28;
-   wire FE_PDN19787_u_soc_u_dccm_rdata2_7;
-   wire FE_PDN4031_FE_OFN19760_n;
-   wire FE_PDN4030_FE_OFN437_u_soc_instr_wdata_27;
-   wire FE_PDN4029_u_soc_u_dccm_rdata4_14;
-   wire FE_PDN4028_u_soc_u_iccm_rdata2_0;
-   wire FE_PDN4026_io_oeb_27;
-   wire FE_PDN4025_u_soc_u_dccm_rdata4_21;
-   wire FE_PDN4024_FE_OFN243_u_soc_data_wdata_6;
-   wire FE_PDN4023_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
-   wire FE_PDN4022_u_soc_u_dccm_rdata3_21;
-   wire FE_PDN4021_FE_OFN1253_u_soc_data_wdata_19;
-   wire FE_PDN4020_u_soc_u_iccm_rdata3_3;
-   wire FE_PDN4019_u_soc_u_iccm_rdata4_9;
-   wire FE_PDN4018_u_soc_u_iccm_rdata3_0;
-   wire FE_PDN4017_u_soc_u_dccm_rdata3_11;
-   wire FE_PDN4016_u_soc_u_iccm_rdata3_2;
-   wire FE_PDN4015_u_soc_u_dccm_rdata2_5;
-   wire FE_PDN4014_u_soc_u_iccm_rdata2_1;
-   wire FE_PDN4013_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
-   wire FE_PDN4012_FE_OFN278_u_soc_data_wdata_1;
-   wire FE_PDN4011_FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
-   wire FE_PDN4010_u_soc_u_dccm_rdata2_24;
-   wire FE_PDN4009_FE_OFN1289_u_soc_instr_wdata_0;
-   wire FE_PDN4008_u_soc_u_dccm_rdata2_27;
-   wire FE_PDN4007_u_soc_u_iccm_rdata3_20;
-   wire FE_PDN4006_u_soc_u_iccm_rdata3_19;
-   wire FE_PDN4005_FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n;
-   wire FE_PDN4003_FE_OFN19373_FE_OFN19261_n;
-   wire FE_PDN4002_FE_OFN201_u_soc_data_wdata_21;
-   wire FE_PDN4001_u_soc_u_iccm_rdata2_22;
-   wire FE_PDN4000_u_soc_u_dccm_rdata4_8;
-   wire FE_PDN3999_FE_OFN3866_FE_OFN18749_FE_OFN17983_n;
-   wire FE_PDN3998_FE_OFN547_u_soc_instr_wdata_11;
-   wire FE_PDN3997_u_soc_u_iccm_rdata3_6;
-   wire FE_PDN3995_FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n;
-   wire FE_PDN3994_u_soc_u_iccm_rdata3_7;
-   wire FE_PDN3993_u_soc_u_dccm_rdata3_22;
-   wire FE_PDN3992_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
-   wire FE_PDN3991_u_soc_u_dccm_rdata3_17;
-   wire FE_PDN3990_u_soc_u_iccm_rdata4_6;
-   wire FE_PDN3989_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n;
-   wire FE_PDN3988_u_soc_u_iccm_rdata3_23;
-   wire FE_PDN3987_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net;
-   wire FE_PDN3986_u_soc_u_iccm_rdata3_11;
-   wire FE_PDN3985_u_soc_u_dccm_rdata2_8;
-   wire FE_PDN3984_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0;
-   wire FE_PDN3983_FE_OFN18665_u_soc_data_wdata_10;
-   wire FE_PDN3982_u_soc_u_iccm_rdata3_9;
-   wire FE_PDN3981_u_soc_u_dccm_rdata2_0;
-   wire FE_PDN3980_FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n;
-   wire FE_PDN3979_u_soc_u_dccm_rdata4_30;
-   wire FE_PDN3978_FE_OFN530_u_soc_instr_wdata_13;
-   wire FE_PDN3977_u_soc_u_iccm_rdata4_5;
-   wire FE_PDN3976_u_soc_u_dccm_rdata2_23;
-   wire FE_PDN3975_FE_OFN19603_FE_OFN19512_n;
-   wire FE_PDN3974_u_soc_u_dccm_rdata2_17;
-   wire FE_PDN3973_u_soc_u_dccm_rdata2_18;
-   wire FE_PDN3972_u_soc_u_dccm_rdata2_11;
-   wire FE_PDN3971_FE_OFN19763_n;
-   wire FE_PDN3970_u_soc_u_iccm_rdata2_11;
-   wire FE_PDN3969_u_soc_u_dccm_rdata3_19;
-   wire FE_PDN3968_FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1;
-   wire FE_PDN3967_u_soc_u_dccm_rdata2_31;
-   wire FE_PDN3966_u_soc_u_dccm_rdata2_10;
-   wire FE_PDN3965_FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net;
-   wire FE_PDN3964_FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n;
-   wire FE_PDN3963_u_soc_u_dccm_rdata2_13;
-   wire FE_PDN3962_u_soc_u_dccm_rdata2_25;
-   wire FE_PDN3961_FE_OFN19762_n;
-   wire FE_PDN3960_FE_OFN241_u_soc_data_wdata_7;
-   wire FE_PDN3959_u_soc_u_dccm_rdata2_12;
-   wire FE_PDN19786_FE_OFN19518_n;
-   wire FE_PDN19785_FE_OFN19518_n;
-   wire FE_PDN3958_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n;
-   wire FE_PDN3957_FE_OFN292_u_soc_u_dccm_rdata1_28;
-   wire FE_PDN3956_u_soc_u_dccm_addr1_5;
-   wire FE_PDN3955_FE_OFN19025_io_oeb_35;
-   wire FE_PDN3954_FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27;
-   wire FE_PDN3953_n_17939;
-   wire FE_PDN3952_u_soc_u_dccm_rdata2_14;
-   wire FE_PDN3951_u_soc_u_dccm_rdata2_16;
-   wire FE_PDN3950_u_soc_u_dccm_rdata2_9;
-   wire FE_PDN3949_u_soc_u_dccm_rdata2_21;
-   wire FE_PDN3948_n_17938;
-   wire FE_PDN3947_FE_OFN19579_FE_OFN19283_FE_OFN19181_n;
-   wire FE_PDN3946_u_soc_u_dccm_rdata2_15;
-   wire FE_PDN3945_FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5;
-   wire FE_PDN19784_FE_OFN19520_n;
-   wire FE_PDN19783_FE_OFN19520_n;
-   wire FE_PDN3944_u_soc_u_dccm_rdata2_26;
-   wire FE_PDN3943_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n;
-   wire FE_PDN3942_FE_OFN18768_FE_OFN1126_u_soc_data_we;
-   wire FE_PDN3941_n_17941;
-   wire FE_PDN3940_u_soc_u_dccm_rdata2_2;
-   wire FE_PDN3939_u_soc_u_dccm_rdata2_19;
-   wire FE_PDN3938_FE_OFN512_u_soc_instr_wdata_16;
-   wire FE_PDN3937_n_17940;
-   wire FE_PDN3936_FE_OFN19521_n;
-   wire FE_PDN3935_u_soc_u_dccm_rdata4_24;
-   wire FE_PDN3934_u_soc_u_dccm_rdata2_29;
-   wire FE_PDN3933_FE_OFN580_u_soc_instr_wdata_3;
-   wire FE_PDN3932_FE_OFN184_u_soc_data_wdata_29;
-   wire FE_PDN3931_u_soc_u_dccm_rdata2_30;
-   wire FE_PDN3930_FE_OFN253_u_soc_data_wdata_5;
-   wire FE_PDN3929_u_soc_u_dccm_rdata2_22;
-   wire FE_PDN3928_FE_OFN19605_FE_OFN19509_n;
-   wire FE_PDN19782_u_soc_u_dccm_rdata2_28;
-   wire FE_PDN3926_FE_OFN19607_FE_OFN19282_FE_OFN19089_n;
-   wire FE_PDN3925_FE_OFN1285_u_soc_instr_wdata_1;
-   wire FE_PDN3924_u_soc_u_dccm_rdata2_20;
-   wire FE_PDN3923_logic_0_49_net;
-   wire FE_PDN3922_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7;
-   wire FE_PDN3920_FE_OFN1237_u_soc_data_wdata_25;
-   wire FE_PDN3919_FE_OFN3865_n;
-   wire FE_PDN3918_u_soc_u_dccm_rdata4_9;
-   wire FE_PDN3917_FE_OFN19507_n;
-   wire FE_PDN3916_FE_OFN19592_FE_OFN19511_n;
-   wire FE_PDN3915_FE_OFN18972_io_oeb_36;
-   wire FE_PDN3914_FE_OFN18694_u_soc_data_wdata_13;
-   wire FE_PDN3913_FE_OFN19014_u_soc_data_addr_6;
-   wire FE_PDN3912_logic_0_61_net;
-   wire FE_PDN3911_u_soc_u_iccm_rdata4_8;
-   wire FE_PDN3910_FE_OFN539_u_soc_instr_wdata_12;
-   wire FE_PDN3909_u_soc_u_iccm_rdata2_29;
-   wire FE_PDN3908_u_soc_u_dccm_addr1_0;
-   wire FE_PDN3906_io_oeb_24;
-   wire FE_PDN3905_FE_OFN18873_FE_OFN1125_u_soc_data_we;
-   wire FE_PDN3904_FE_OFN18671_u_soc_data_wdata_11;
-   wire FE_PDN3903_FE_OFN214_u_soc_data_wdata_17;
-   wire FE_PDN3902_io_in_27;
-   wire FE_PDN3901_io_in_29;
-   wire FE_PDN3900_logic_0_66_net;
-   wire FE_PDN3899_FE_OFN172_u_soc_data_wdata_31;
-   wire FE_PDN3898_FE_OFN19599_FE_OFN19212_FE_OFN19103_n;
-   wire FE_PDN3897_FE_OFN19597_FE_OFN19310_FE_OFN19076_n;
-   wire FE_PDN3896_FE_OFN19612_FE_OFN19522_n;
-   wire FE_PDN3895_FE_OFN19510_n;
-   wire FE_PDN3894_FE_OFN19600_FE_OFN19523_n;
-   wire FE_PDN3893_FE_OFN19610_FE_OFN19514_n;
-   wire FE_PDN3892_FE_OFN18779_FE_OFN868_logic_0_54_net;
-   wire FE_PDN3891_FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26;
-   wire FE_PDN3890_FE_OFN569_u_soc_instr_wdata_7;
-   wire FE_PDN3889_FE_OFN19604_FE_OFN19513_n;
-   wire FE_PDN3888_logic_0_50_net;
-   wire FE_PDN3887_io_in_26;
-   wire FE_PDN3886_FE_OFN19594_FE_OFN19517_n;
-   wire FE_PDN19781_FE_OFN19515_n;
-   wire FE_PDN3885_FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4;
-   wire FE_PDN3884_n_17984;
-   wire FE_PDN3883_FE_OFN576_u_soc_instr_wdata_6;
-   wire FE_PDN3882_n_17985;
-   wire FE_PDN3881_FE_OFN1127_u_soc_data_we;
-   wire FE_PDN3880_FE_OFN19519_n;
-   wire FE_PDN3879_FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0;
-   wire FE_PDN3878_FE_OFN19506_n;
-   wire FE_PDN3877_logic_0_71_net;
-   wire FE_PDN3876_logic_0_52_net;
-   wire FE_PDN3875_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n;
-   wire FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5;
-   wire FE_PDN19780_logic_0_56_net;
-   wire FE_PDN19779_FE_OFN19516_n;
-   wire FE_PDN3872_FE_OFN1249_u_soc_data_wdata_22;
-   wire FE_PDN3871_logic_0_63_net;
-   wire FE_PDN3870_logic_0_48_net;
-   wire FE_PDN3869_FE_OFN19281_FE_OFN19059_n;
-   wire FE_PDN3868_FE_OFN19608_FE_OFN18789_FE_OFN18004_n;
-   wire FE_OFN19778_n;
-   wire FE_OFN19777_n;
-   wire FE_OFN19776_n;
-   wire FE_OFN19775_n;
-   wire FE_OFN19774_n;
-   wire FE_OFN19773_n;
-   wire FE_OFN19772_n;
-   wire FE_OFN19771_n;
-   wire FE_OFN19770_n;
-   wire FE_OFN19769_n;
-   wire FE_OFN19768_n;
-   wire FE_OFN19767_n;
-   wire FE_OFN19766_n;
-   wire FE_OFN19765_u_soc_u_iccm_rdata3_26;
-   wire FE_OFN19764_n;
-   wire FE_OFN19763_n;
-   wire FE_OFN19762_n;
-   wire FE_OFN19761_n;
-   wire FE_OFN19760_n;
-   wire FE_OFN19759_n;
-   wire FE_OFN19758_n;
-   wire FE_OFN19757_n;
-   wire FE_OFN19756_n;
-   wire FE_OFN19755_n;
-   wire FE_OFN19754_n;
-   wire FE_OFN19753_n;
-   wire FE_OFN19752_n;
-   wire FE_OFN19751_n;
-   wire FE_OFN19750_n;
-   wire FE_OFN19749_n;
-   wire FE_OFN19748_n;
-   wire FE_OFN19747_n;
-   wire FE_OFN19746_n;
-   wire FE_OFN19745_n;
-   wire FE_OFN19744_n;
-   wire FE_OFN19743_n;
-   wire FE_OFN19742_n;
-   wire FE_OFN19741_n;
-   wire FE_OFN19740_n;
-   wire FE_OFN19739_n;
-   wire FE_OFN19738_n;
-   wire FE_OFN19737_n;
-   wire FE_OFN19736_n;
-   wire FE_OFN19735_n;
-   wire FE_OFN19734_n;
-   wire FE_OFN19733_n;
-   wire FE_OFN19732_n;
-   wire FE_OFN19731_n;
-   wire FE_OFN19730_FE_OFN537_u_soc_instr_wdata_12;
-   wire FE_OFN19729_n_17982;
-   wire FE_OFN19728_n_2043;
-   wire FE_OFN19727_FE_OFN18696_u_soc_data_wdata_13;
-   wire FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net;
-   wire FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net;
-   wire FE_OFN19724_FE_OFN19436_FE_OFN18910_FE_OFN17986_n;
-   wire FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n;
-   wire FE_OFN19722_FE_OFN19430_FE_OFN18907_FE_OFN17999_n;
-   wire FE_OFN19721_FE_OFN19430_FE_OFN18907_FE_OFN17999_n;
-   wire FE_OFN19720_u_soc_u_iccm_rdata4_30;
-   wire FE_OFN19719_u_soc_u_dccm_rdata4_2;
-   wire FE_OFN19718_FE_OFN237_u_soc_data_wdata_7;
-   wire FE_OFN19717_u_soc_u_iccm_rdata4_27;
-   wire FE_OFN19716_u_soc_u_iccm_rdata4_25;
-   wire FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
-   wire FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
-   wire FE_OFN19713_u_soc_data_wdata_28;
-   wire FE_OFN19712_u_soc_u_dccm_rdata4_4;
-   wire FE_OFN19711_FE_OFN19245_FE_OFN19152_n;
-   wire FE_OFN19710_u_soc_instr_addr_0;
-   wire FE_OFN19709_u_soc_u_dccm_rdata3_4;
-   wire FE_OFN19708_u_soc_u_dccm_rdata3_3;
-   wire FE_OFN19707_FE_OFN19244_FE_OFN19155_n;
-   wire FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n;
-   wire FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
-   wire FE_OFN19704_u_soc_u_dccm_rdata3_0;
-   wire FE_OFN19703_u_soc_u_iccm_rdata4_18;
-   wire FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n;
-   wire FE_OFN19701_u_soc_u_dccm_rdata4_10;
-   wire FE_OFN19700_u_soc_u_dccm_rdata3_5;
-   wire FE_OFN19699_u_soc_u_iccm_rdata4_28;
-   wire FE_OFN19698_FE_OFN19528_n;
-   wire FE_OFN19697_u_soc_u_dccm_rdata4_6;
-   wire FE_OFN19696_u_soc_u_dccm_rdata4_11;
-   wire FE_OFN19695_u_soc_u_iccm_rdata4_22;
-   wire FE_OFN19694_u_soc_u_dccm_rdata4_7;
-   wire FE_OFN19693_u_soc_u_dccm_rdata2_1;
-   wire FE_OFN19692_u_soc_u_dccm_rdata4_0;
-   wire FE_OFN19691_FE_OFN1281_u_soc_instr_wdata_2;
-   wire FE_OFN19690_u_soc_u_dccm_rdata3_1;
-   wire FE_OFN19689_u_soc_u_iccm_rdata3_30;
-   wire FE_OFN19688_u_soc_u_iccm_rdata3_21;
-   wire FE_OFN19687_u_soc_u_iccm_rdata3_17;
-   wire FE_OFN19686_FE_OFN19532_n;
-   wire FE_OFN19685_u_soc_u_dccm_rdata4_13;
-   wire FE_OFN19684_u_soc_u_dccm_rdata4_5;
-   wire FE_OFN19683_u_soc_u_iccm_rdata2_26;
-   wire FE_OFN19682_u_soc_u_iccm_rdata4_26;
-   wire FE_OFN19681_FE_OFN19242_FE_OFN19168_n;
-   wire FE_OFN19680_n_5953;
-   wire FE_OFN19679_u_soc_u_iccm_rdata4_15;
-   wire FE_OFN19678_u_soc_u_iccm_rdata3_29;
-   wire FE_OFN19677_u_soc_u_dccm_rdata4_19;
-   wire FE_OFN19676_u_soc_u_iccm_rdata4_11;
-   wire FE_OFN19675_u_soc_u_iccm_rdata4_5;
-   wire FE_OFN19674_u_soc_u_iccm_rdata4_7;
-   wire FE_OFN19673_u_soc_u_iccm_rdata4_8;
-   wire FE_OFN19672_u_soc_u_iccm_rdata4_9;
-   wire FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n;
-   wire FE_OFN19670_u_soc_u_dccm_rdata4_18;
-   wire FE_OFN19669_u_soc_u_iccm_rdata2_29;
-   wire FE_OFN19668_u_soc_u_dccm_rdata4_24;
-   wire FE_OFN19667_FE_OFN19439_FE_OFN19258_n;
-   wire FE_OFN19666_u_soc_u_dccm_rdata3_15;
-   wire FE_OFN19665_FE_OFN457_u_soc_instr_wdata_24;
-   wire FE_OFN19664_u_soc_u_iccm_rdata2_15;
-   wire FE_OFN19663_u_soc_u_iccm_rdata3_1;
-   wire FE_OFN19662_u_soc_u_dccm_rdata4_26;
-   wire FE_OFN19661_u_soc_u_dccm_rdata4_20;
-   wire FE_OFN19660_u_soc_u_dccm_rdata3_27;
-   wire FE_OFN19659_u_soc_data_wdata_29;
-   wire FE_OFN19658_u_soc_u_iccm_rdata2_21;
-   wire FE_OFN19657_u_soc_u_iccm_csb3;
-   wire FE_OFN19656_u_soc_u_dccm_rdata2_11;
-   wire FE_OFN19655_u_soc_u_iccm_rdata3_26;
-   wire FE_OFN19654_u_soc_data_csb;
-   wire FE_OFN19653_u_soc_u_dccm_rdata3_28;
-   wire FE_OFN19652_u_soc_u_dccm_rdata3_30;
-   wire FE_OFN19651_u_soc_u_iccm_rdata4_0;
-   wire FE_OFN19650_u_soc_xbar_to_dccm_a_address__10;
-   wire FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n;
-   wire FE_OFN19648_u_soc_u_iccm_rdata3_10;
-   wire FE_OFN19647_FE_OFN19209_FE_OFN18796_FE_OFN18186_n;
-   wire FE_OFN19646_u_soc_u_dccm_rdata3_25;
-   wire FE_OFN19645_u_soc_u_dccm_rdata4_25;
-   wire FE_OFN19644_u_soc_u_dccm_rdata3_14;
-   wire FE_OFN19643_u_soc_u_iccm_rdata2_30;
-   wire FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7;
-   wire FE_OFN19641_u_soc_u_iccm_rdata3_24;
-   wire FE_OFN19640_u_soc_u_dccm_rdata2_23;
-   wire FE_OFN19639_u_soc_u_iccm_rdata4_14;
-   wire FE_OFN19638_u_soc_u_dccm_rdata2_8;
-   wire FE_OFN19637_u_soc_u_iccm_rdata4_10;
-   wire FE_OFN3867_FE_OFN18746_FE_OFN17984_n;
-   wire FE_OFN19636_u_soc_u_iccm_rdata2_0;
-   wire FE_OFN19635_u_soc_u_dccm_rdata2_31;
-   wire FE_OFN3866_FE_OFN18749_FE_OFN17983_n;
-   wire FE_OFN3865_n;
-   wire FE_OFN19634_u_soc_u_dccm_rdata3_13;
-   wire FE_OFN19633_FE_OFN19486_FE_OFN19265_n;
-   wire FE_OFN19632_FE_OFN19486_FE_OFN19265_n;
-   wire FE_OFN19631_FE_OFN19480_FE_OFN19271_n;
-   wire FE_OFN19630_FE_OFN19480_FE_OFN19271_n;
-   wire FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
-   wire FE_OFN19628_u_soc_u_dccm_rdata3_18;
-   wire FE_OFN19627_FE_OFN19469_FE_OFN19266_n;
-   wire FE_OFN19626_FE_OFN19469_FE_OFN19266_n;
-   wire FE_OFN19625_FE_OFN19472_FE_OFN19277_n;
-   wire FE_OFN19624_FE_OFN19472_FE_OFN19277_n;
-   wire FE_OFN19623_u_soc_u_top_u_core_rf_wdata_fwd_wb_28;
-   wire FE_OFN19622_u_soc_u_dccm_rdata4_23;
-   wire FE_OFN19621_FE_OFN3537_n;
-   wire FE_OFN19620_FE_OFN19566_FE_OFN19260_n;
-   wire FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n;
-   wire FE_OFN19618_FE_OFN19207_FE_OFN19102_n;
-   wire FE_OFN19617_FE_OFN19286_FE_OFN19061_n;
-   wire FE_OFN19616_u_soc_instr_addr_4;
-   wire FE_OFN19615_u_soc_instr_addr_5;
-   wire FE_OFN19614_u_soc_instr_addr_3;
-   wire FE_OFN19613_FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2;
-   wire FE_OFN19612_FE_OFN19522_n;
-   wire FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n;
-   wire FE_OFN19610_FE_OFN19514_n;
-   wire FE_OFN19609_FE_OFN19216_FE_OFN19067_n;
-   wire FE_OFN19608_FE_OFN18789_FE_OFN18004_n;
-   wire FE_OFN19607_FE_OFN19282_FE_OFN19089_n;
-   wire FE_OFN19606_u_soc_u_dccm_rdata2_27;
-   wire FE_OFN19605_FE_OFN19509_n;
-   wire FE_OFN19604_FE_OFN19513_n;
-   wire FE_OFN19603_FE_OFN19512_n;
-   wire FE_OFN19602_u_soc_instr_addr_2;
-   wire FE_OFN19601_FE_OFN19202_FE_OFN18777_FE_OFN1689_n;
-   wire FE_OFN19600_FE_OFN19523_n;
-   wire FE_OFN19599_FE_OFN19212_FE_OFN19103_n;
-   wire FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n;
-   wire FE_OFN19597_FE_OFN19310_FE_OFN19076_n;
-   wire FE_OFN19596_FE_OFN19203_FE_OFN19077_n;
-   wire FE_OFN19595_FE_OFN19195_FE_OFN19073_n;
-   wire FE_OFN19594_FE_OFN19517_n;
-   wire FE_OFN19593_u_soc_u_dccm_rdata2_19;
-   wire FE_OFN19592_FE_OFN19511_n;
-   wire FE_OFN19591_u_soc_u_top_u_core_rf_wdata_fwd_wb_21;
-   wire FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
-   wire FE_OFN19589_FE_OFN19192_FE_OFN19179_n;
-   wire FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n;
-   wire FE_OFN19587_FE_OFN19210_FE_OFN19104_n;
-   wire FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n;
-   wire FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
-   wire FE_OFN19584_u_soc_u_dccm_rdata2_18;
-   wire FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n;
-   wire FE_OFN19582_FE_OFN19357_FE_OFN19215_FE_OFN19173_n;
-   wire FE_OFN19581_FE_OFN19190_FE_OFN19180_n;
-   wire FE_OFN19580_FE_OFN19194_FE_OFN18757_FE_OFN1682_n;
-   wire FE_OFN19579_FE_OFN19283_FE_OFN19181_n;
-   wire FE_OFN19578_FE_OFN19288_FE_OFN19191_FE_OFN19096_n;
-   wire FE_OFN19577_FE_OFN19288_FE_OFN19191_FE_OFN19096_n;
-   wire FE_OFN19576_FE_OFN19332_FE_OFN19262_n;
-   wire FE_OFN19575_FE_OFN19332_FE_OFN19262_n;
-   wire FE_OFN19574_FE_OFN19332_FE_OFN19262_n;
-   wire FE_OFN19573_FE_OFN19332_FE_OFN19262_n;
-   wire FE_OFN19572_FE_OFN19332_FE_OFN19262_n;
-   wire FE_OCPN19570_u_soc_u_top_u_core_instr_rdata_id_15;
-   wire FE_OCPN19569_u_soc_u_top_u_core_pc_set;
-   wire FE_RN_129_0;
-   wire FE_RN_125_0;
-   wire FE_RN_124_0;
-   wire FE_RN_123_0;
-   wire FE_OFN19566_FE_OFN19260_n;
-   wire FE_OFN19565_u_soc_u_dccm_rdata4_14;
-   wire FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net;
-   wire FE_OFN19563_u_soc_u_iccm_rdata3_21;
-   wire FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1;
-   wire FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2;
-   wire FE_OFN19558_u_soc_u_top_u_core_instr_rdata_id_21;
-   wire FE_OFN19556_u_soc_u_top_u_core_instr_rdata_id_18;
-   wire FE_OFN19555_n_13387;
-   wire FE_OFN19553_n;
-   wire FE_OFN19551_n;
-   wire FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1;
-   wire FE_OFN19549_u_soc_u_top_u_core_instr_rdata_id_26;
-   wire FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17;
-   wire FE_OFN19544_n_13394;
-   wire FE_OFN19543_u_soc_iccm_adapter_inst_mem_wmask_int_0__30;
-   wire FE_OFN19542_n_13088;
-   wire FE_OFN19541_n;
-   wire FE_OFN19539_n_13391;
-   wire FE_OFN19537_n_11514;
-   wire FE_OFN19534_n_13380;
-   wire FE_OFN19533_n_577;
-   wire FE_OFN19532_n;
-   wire FE_OFN19531_n;
-   wire FE_OFN19530_n;
-   wire FE_OFN19529_n;
-   wire FE_OFN19528_n;
-   wire FE_OFN19527_n;
-   wire FE_OFN19526_n;
-   wire FE_OFN19524_n_10261;
-   wire FE_OFN19523_n;
-   wire FE_OFN19522_n;
-   wire FE_OFN19521_n;
-   wire FE_OFN19520_n;
-   wire FE_OFN19519_n;
-   wire FE_OFN19518_n;
-   wire FE_OFN19517_n;
-   wire FE_OFN19516_n;
-   wire FE_OFN19515_n;
-   wire FE_OFN19514_n;
-   wire FE_OFN19513_n;
-   wire FE_OFN19512_n;
-   wire FE_OFN19511_n;
-   wire FE_OFN19510_n;
-   wire FE_OFN19509_n;
-   wire FE_OFN19508_n;
-   wire FE_OFN19507_n;
-   wire FE_OFN19506_n;
-   wire FE_OFN19504_FE_OFN112_io_out_37;
-   wire FE_OFN19503_FE_OFN149_io_out_37;
-   wire FE_OFN19502_n_10931;
-   wire FE_OFN19501_FE_OFN59_io_out_37;
-   wire FE_OFN19500_FE_OFN496_u_soc_instr_wdata_18;
-   wire FE_OFN19499_n_10447;
-   wire FE_OFN19497_FE_OFN76_io_out_37;
-   wire FE_OFN19495_FE_OFN1032_n_8911;
-   wire FE_OFN19490_FE_OFN18932_FE_OFN17993_n;
-   wire FE_OFN19489_u_soc_u_dccm_rdata4_1;
-   wire FE_OFN19486_FE_OFN19265_n;
-   wire FE_OFN19485_FE_OFN19268_n;
-   wire FE_OFN19484_FE_OFN19273_n;
-   wire FE_OFN19483_u_soc_u_iccm_rdata4_29;
-   wire FE_OFN19482_u_soc_u_dccm_rdata4_3;
-   wire FE_OFN19481_u_soc_u_iccm_rdata4_24;
-   wire FE_OFN19480_FE_OFN19271_n;
-   wire FE_OFN19479_FE_OFN19276_n;
-   wire FE_OFN19478_FE_OFN19141_n;
-   wire FE_OFN19477_FE_OFN19154_n;
-   wire FE_OFN19476_FE_OFN19269_n;
-   wire FE_OFN19475_FE_OFN19272_n;
-   wire FE_OFN19474_FE_OFN19274_n;
-   wire FE_OFN19473_FE_OFN19275_n;
-   wire FE_OFN19472_FE_OFN19277_n;
-   wire FE_OFN19471_u_soc_u_iccm_rdata4_23;
-   wire FE_OFN19470_u_soc_u_iccm_rdata4_31;
-   wire FE_OFN19469_FE_OFN19266_n;
-   wire FE_OFN19468_u_soc_u_dccm_rdata4_4;
-   wire FE_OFN19467_u_soc_u_dccm_rdata4_5;
-   wire FE_OFN19466_FE_OFN892_n_2004;
-   wire FE_OFN19465_FE_OFN1746_n;
-   wire FE_OFN19463_FE_OFN1751_n;
-   wire FE_OFN19462_u_soc_u_iccm_rdata4_30;
-   wire FE_OFN19461_FE_OFN18984_FE_OFN17987_n;
-   wire FE_OFN19460_FE_OFN18918_FE_OFN17998_n;
-   wire FE_OFN19458_u_soc_u_iccm_rdata4_27;
-   wire FE_OFN19457_u_soc_u_iccm_rdata4_21;
-   wire FE_OFN19456_FE_OFN181_u_soc_data_wdata_30;
-   wire FE_OFN19455_u_soc_u_iccm_rdata4_18;
-   wire FE_OFN19454_u_soc_u_iccm_rdata4_19;
-   wire FE_OFN19453_u_soc_u_dccm_rdata4_8;
-   wire FE_OFN19451_FE_OFN19270_n;
-   wire FE_OFN19450_FE_OFN19267_n;
-   wire FE_OFN19449_FE_OFN18975_FE_OFN17985_n;
-   wire FE_OFN19448_u_soc_u_iccm_rdata4_20;
-   wire FE_OFN19447_u_soc_u_iccm_rdata4_28;
-   wire FE_OFN19445_u_soc_u_dccm_rdata4_17;
-   wire FE_OFN19444_FE_OFN18998_FE_OFN17988_n;
-   wire FE_OFN19443_n_1431;
-   wire FE_OFN19442_u_soc_u_iccm_rdata4_16;
-   wire FE_OFN19441_u_soc_u_dccm_rdata1_17;
-   wire FE_OFN19440_u_soc_u_dccm_rdata4_9;
-   wire FE_OFN19439_FE_OFN19258_n;
-   wire FE_OFN19438_u_soc_u_iccm_rdata2_12;
-   wire FE_OFN19437_u_soc_u_dccm_rdata4_25;
-   wire FE_OFN19436_FE_OFN18910_FE_OFN17986_n;
-   wire FE_OFN19435_u_soc_u_dccm_rdata4_22;
-   wire FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
-   wire FE_OFN19432_FE_OFN1756_n;
-   wire FE_OFN19431_u_soc_u_iccm_rdata4_14;
-   wire FE_OFN19430_FE_OFN18907_FE_OFN17999_n;
-   wire FE_OFN19428_FE_OFN18844_FE_OFN17995_n;
-   wire FE_OFN19426_u_soc_u_iccm_rdata2_23;
-   wire FE_OFN19425_u_soc_u_dccm_rdata4_10;
-   wire FE_OFN19424_u_soc_u_iccm_rdata4_8;
-   wire FE_OFN19423_u_soc_u_dccm_rdata2_17;
-   wire FE_OFN19422_u_soc_u_dccm_rdata4_16;
-   wire FE_OFN19421_u_soc_u_iccm_rdata4_0;
-   wire FE_OFN19420_u_soc_u_iccm_rdata3_28;
-   wire FE_OFN19419_u_soc_u_iccm_rdata3_16;
-   wire FE_OFN19417_u_soc_u_iccm_rdata2_9;
-   wire FE_OFN19416_u_soc_u_iccm_rdata3_30;
-   wire FE_OFN19415_u_soc_u_iccm_rdata3_26;
-   wire FE_OFN19414_FE_OFN18748_FE_OFN244_u_soc_data_wdata_6;
-   wire FE_OFN19413_n_2053;
-   wire FE_OFN19412_u_soc_u_dccm_rdata3_8;
-   wire FE_OFN19411_u_soc_u_iccm_rdata3_24;
-   wire FE_OFN3537_n;
-   wire FE_OFN19410_FE_OFN18831_FE_OFN18000_n;
-   wire FE_OFN19409_FE_OFN18831_FE_OFN18000_n;
-   wire FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net;
-   wire FE_OFN19407_FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10;
-   wire FE_OFN19406_FE_OFN18846_FE_OFN17994_n;
-   wire FE_OFN19404_u_soc_u_dccm_rdata3_13;
-   wire FE_OFN19403_u_soc_u_iccm_rdata2_18;
-   wire FE_OFN19402_u_soc_u_iccm_rdata3_12;
-   wire FE_OFN19401_u_soc_u_iccm_rdata2_11;
-   wire FE_OFN19400_u_soc_u_iccm_rdata2_6;
-   wire FE_OFN19399_u_soc_u_dccm_rdata3_5;
-   wire FE_OFN19398_FE_OFN19193_FE_OFN19182_n;
-   wire FE_OFN19397_u_soc_u_iccm_rdata3_18;
-   wire FE_OFN19396_u_soc_u_dccm_rdata3_1;
-   wire FE_OFN19395_u_soc_u_dccm_rdata3_6;
-   wire FE_OFN19394_u_soc_u_iccm_rdata4_13;
-   wire FE_OFN19393_FE_OFN18782_FE_OFN17992_n;
-   wire FE_OFN19392_FE_OFN18782_FE_OFN17992_n;
-   wire FE_OFN19391_FE_OFN18925_FE_OFN850_logic_0_23_net;
-   wire FE_OFN19390_FE_OFN18925_FE_OFN850_logic_0_23_net;
-   wire FE_OFN19389_FE_OFN240_u_soc_data_wdata_7;
-   wire FE_OFN19388_FE_OFN18804_FE_OFN17997_n;
-   wire FE_OFN19386_u_soc_u_dccm_rdata2_13;
-   wire FE_OFN19385_u_soc_u_dccm_rdata2_14;
-   wire FE_OFN19384_u_soc_u_dccm_rdata2_0;
-   wire FE_OFN19383_u_soc_u_iccm_rdata3_29;
-   wire FE_OFN19382_u_soc_u_dccm_rdata3_18;
-   wire FE_OFN19381_u_soc_u_iccm_rdata4_17;
-   wire FE_OFN19380_u_soc_u_dccm_rdata3_12;
-   wire FE_OFN19379_u_soc_u_iccm_rdata2_19;
-   wire FE_OFN19377_FE_OFN18824_FE_OFN852_logic_0_25_net;
-   wire FE_OFN19376_u_soc_u_iccm_rdata2_25;
-   wire FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net;
-   wire FE_OFN19374_FE_OFN18783_FE_OFN851_logic_0_24_net;
-   wire FE_OFN19373_FE_OFN19261_n;
-   wire FE_OFN19372_u_soc_u_dccm_rdata2_7;
-   wire FE_OFN19371_u_soc_u_iccm_rdata3_15;
-   wire FE_OFN19370_u_soc_u_dccm_rdata3_15;
-   wire FE_OFN19369_u_soc_u_dccm_rdata3_3;
-   wire FE_OFN19368_u_soc_u_iccm_rdata2_27;
-   wire FE_OFN19367_FE_OFN3443_FE_OFN1709_n;
-   wire FE_OFN19366_u_soc_u_dccm_rdata2_12;
-   wire FE_OFN19365_u_soc_u_iccm_rdata3_17;
-   wire FE_OFN19364_u_soc_u_iccm_rdata3_1;
-   wire FE_OFN19363_u_soc_u_dccm_rdata3_2;
-   wire FE_OFN19362_u_soc_u_iccm_rdata3_10;
-   wire FE_OFN19361_u_soc_u_dccm_rdata2_3;
-   wire FE_OFN19360_u_soc_u_iccm_rdata2_30;
-   wire FE_OFN19359_u_soc_u_iccm_rdata3_13;
-   wire FE_OFN19358_FE_OFN19213_FE_OFN19090_n;
-   wire FE_OFN19357_FE_OFN19215_FE_OFN19173_n;
-   wire FE_OFN19356_u_soc_u_iccm_rdata3_14;
-   wire FE_OFN19355_u_soc_u_iccm_rdata2_20;
-   wire FE_OFN19354_u_soc_u_iccm_rdata2_10;
-   wire FE_OFN19353_u_soc_u_iccm_rdata2_7;
-   wire FE_OFN19352_u_soc_u_iccm_rdata2_16;
-   wire FE_OFN19351_u_soc_u_dccm_rdata3_4;
-   wire FE_OFN19350_u_soc_data_wdata_14;
-   wire FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7;
-   wire FE_OFN19348_u_soc_u_dccm_rdata3_14;
-   wire FE_OFN19347_u_soc_u_dccm_rdata2_10;
-   wire FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7;
-   wire FE_OFN19345_u_soc_u_iccm_rdata2_0;
-   wire FE_OFN19344_FE_OFN19205_FE_OFN19097_n;
-   wire FE_OFN19343_u_soc_u_iccm_rdata2_31;
-   wire FE_OFN19342_u_soc_u_iccm_rdata2_24;
-   wire FE_OFN19341_u_soc_u_iccm_rdata2_13;
-   wire FE_OFN19340_u_soc_u_dccm_rdata2_6;
-   wire FE_OFN19339_u_soc_u_iccm_rdata2_8;
-   wire FE_OFN19338_u_soc_u_iccm_rdata2_29;
-   wire FE_OFN19337_u_soc_u_dccm_rdata2_16;
-   wire FE_OFN19336_u_soc_u_dccm_rdata2_4;
-   wire FE_OFN19335_u_soc_u_dccm_rdata2_1;
-   wire FE_OFN19334_u_soc_u_iccm_rdata2_22;
-   wire FE_OFN19333_u_soc_u_dccm_rdata2_11;
-   wire FE_OFN19332_FE_OFN19262_n;
-   wire FE_OFN19331_u_soc_u_dccm_rdata3_27;
-   wire FE_OFN19330_u_soc_u_iccm_rdata2_3;
-   wire FE_OFN19329_u_soc_u_dccm_rdata2_9;
-   wire FE_OFN19328_u_soc_u_dccm_rdata3_0;
-   wire FE_OFN19327_u_soc_u_iccm_rdata2_14;
-   wire FE_OFN19326_u_soc_u_iccm_rdata3_0;
-   wire FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2;
-   wire FE_OFN19324_FE_OFN19217_FE_OFN19098_n;
-   wire FE_OFN19323_u_soc_data_addr_5;
-   wire FE_OFN19322_u_soc_u_iccm_rdata2_21;
-   wire FE_OFN19321_u_soc_u_iccm_rdata2_4;
-   wire FE_OFN19320_u_soc_u_dccm_rdata3_30;
-   wire FE_OFN19319_u_soc_data_addr_3;
-   wire FE_OFN19318_u_soc_u_dccm_rdata3_28;
-   wire FE_OFN19317_u_soc_data_addr_7;
-   wire FE_OFN19316_u_soc_data_addr_2;
-   wire FE_OFN19315_u_soc_u_iccm_rdata2_26;
-   wire FE_OFN19314_u_soc_data_addr_1;
-   wire FE_OFN19313_u_soc_u_dccm_rdata3_29;
-   wire FE_OFN19312_u_soc_u_dccm_rdata3_24;
-   wire FE_OFN19311_u_soc_u_iccm_rdata2_15;
-   wire FE_OFN19310_FE_OFN19076_n;
-   wire FE_OFN19309_FE_OFN18749_FE_OFN17983_n;
-   wire FE_OFN19308_u_soc_u_iccm_rdata2_17;
-   wire FE_OFN19307_u_soc_u_dccm_rdata2_29;
-   wire FE_OFN19306_u_soc_u_iccm_rdata2_5;
-   wire FE_OFN19305_u_soc_u_dccm_rdata3_26;
-   wire FE_OFN19304_u_soc_u_dccm_rdata2_5;
-   wire FE_OFN19303_u_soc_u_dccm_rdata3_25;
-   wire FE_OFN19301_u_soc_u_iccm_rdata2_28;
-   wire FE_OFN19300_u_soc_u_iccm_rdata2_1;
-   wire FE_OFN19299_u_soc_u_dccm_rdata3_31;
-   wire FE_OFN19297_u_soc_u_dccm_rdata2_8;
-   wire FE_OFN19296_FE_OFN1741_n;
-   wire FE_OFN19295_u_soc_u_dccm_rdata2_23;
-   wire FE_OFN19294_FE_OFN18746_FE_OFN17984_n;
-   wire FE_OFN19293_u_soc_u_dccm_rdata2_25;
-   wire FE_OFN19292_u_soc_u_dccm_rdata2_30;
-   wire FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0;
-   wire FE_OFN19289_u_soc_u_dccm_rdata2_24;
-   wire FE_OFN19288_FE_OFN19191_FE_OFN19096_n;
-   wire FE_OFN19286_FE_OFN19061_n;
-   wire FE_OFN19285_u_soc_data_addr_4;
-   wire FE_OFN19284_u_soc_u_iccm_rdata2_2;
-   wire FE_OFN19283_FE_OFN19181_n;
-   wire FE_OFN19282_FE_OFN19089_n;
-   wire FE_OFN19281_FE_OFN19059_n;
-   wire FE_OFN19280_FE_OFN19064_n;
-   wire FE_OFN19279_u_soc_u_dccm_rdata2_31;
-   wire CTS_96;
+   wire FE_PDN4104_FE_OFN19092_FE_OFN18920_FE_OFN18885_n;
+   wire FE_PDN4103_FE_OFN19135_FE_OFN18990_FE_OFN17994_n;
+   wire FE_PDN4102_FE_OFN18739_FE_OFN17999_n;
+   wire FE_PDN4101_u_soc_xbar_to_lsu_d_valid;
+   wire FE_PDN19252_u_soc_u_tcam_rdata_4;
+   wire FE_PDN4100_logic_0_57_net;
+   wire FE_PDN4099_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27;
+   wire FE_PDN4098_u_soc_u_iccm_rdata1_26;
+   wire FE_PDN19251_FE_OFN18739_FE_OFN17999_n;
+   wire FE_PDN19250_n;
+   wire FE_PDN4097_FE_OFN1035_u_soc_uart_to_xbar_d_data__3;
+   wire FE_PDN4096_FE_OFN1703_u_soc_xbar_to_dccm_a_data__21;
+   wire FE_PDN4095_FE_OFN529_u_soc_instr_wdata_29;
+   wire FE_PDN4094_FE_OFN909_u_soc_main_swith_host_lsu_dev_select_outstanding_0;
+   wire FE_PDN19249_u_soc_u_tcam_rdata_3;
+   wire FE_PDN4093_logic_0_58_net;
+   wire FE_PDN4092_FE_OFN19195_n;
+   wire FE_PDN4091_FE_OFN19196_n;
+   wire FE_PDN4090_FE_OFN1706_u_soc_xbar_to_dccm_a_data__18;
+   wire FE_PDN4089_n_17943;
+   wire FE_PDN4088_FE_OFN19092_FE_OFN18920_FE_OFN18885_n;
+   wire FE_PDN4087_FE_OFN229_u_soc_data_wdata_22;
+   wire FE_PDN4086_FE_OFN1034_u_soc_uart_to_xbar_d_data__4;
+   wire FE_PDN4085_FE_OFN1707_u_soc_xbar_to_dccm_a_data__17;
+   wire FE_PDN4084_FE_OFN325_u_soc_data_wdata_9;
+   wire FE_PDN4083_FE_OFN18888_n;
+   wire FE_PDN4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16;
+   wire FE_PDN19248_n;
+   wire FE_PDN4081_n;
+   wire FE_PDN4080_FE_OFN1663_u_soc_dccm_adapter_data_mem_error_internal;
+   wire FE_PDN4079_FE_OFN19015_n;
+   wire FE_PDN4078_n;
+   wire FE_PDN4077_FE_OFN1719_u_soc_xbar_to_dccm_a_data__6;
+   wire FE_PDN4076_FE_OFN359_u_soc_data_wdata_4;
+   wire FE_PDN4075_u_soc_xbar_to_dccm_a_data_10;
+   wire FE_PDN4074_FE_OFN308_u_soc_data_wdata_11;
+   wire FE_PDN4073_FE_RN_105_0;
+   wire FE_PDN4072_FE_OFN19135_FE_OFN18990_FE_OFN17994_n;
+   wire FE_PDN4071_FE_OFN18643_FE_OFN18074_n;
+   wire FE_PDN4070_FE_OFN266_u_soc_data_wdata_17;
+   wire FE_PDN4069_FE_OFN1595_n_7979;
+   wire FE_PDN4068_FE_OFN302_u_soc_data_wdata_12;
+   wire FE_PDN19247_n;
+   wire FE_PDN19246_n;
+   wire FE_PDN19245_io_out_6;
+   wire FE_PDN4067_FE_OFN222_u_soc_data_wdata_23;
+   wire FE_PDN4066_FE_OFN473_u_soc_u_dccm_rdata2_6;
+   wire FE_PDN4065_FE_OFN313_u_soc_data_wdata_10;
+   wire FE_PDN4064_FE_OFN285_u_soc_data_wdata_14;
+   wire FE_PDN4063_FE_OFN349_u_soc_data_wdata_5;
+   wire FE_PDN4062_FE_OFN1769_n_7264;
+   wire FE_PDN4061_FE_OFN412_u_soc_u_dccm_rdata1_20;
+   wire FE_PDN4060_u_soc_xbar_to_dccm_a_data_30;
+   wire FE_PDN4059_FE_OFN1781_n_7804;
+   wire FE_PDN4058_FE_OFN292_u_soc_data_wdata_13;
+   wire FE_PDN4057_u_soc_u_dccm_rdata4_30;
+   wire FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26;
+   wire FE_PHN4054_u_soc_u_top_u_core_load_store_unit_i_rdata_q_10;
+   wire FE_PHN4053_u_soc_u_top_u_core_load_store_unit_i_rdata_q_9;
+   wire FE_PDN4052_FE_OFN19092_FE_OFN18920_FE_OFN18885_n;
+   wire FE_PDN19243_u_soc_u_tcam_rdata_4;
+   wire FE_PDN4051_FE_OFN491_u_soc_u_dccm_rdata4_22;
+   wire FE_PDN19242_u_soc_u_tcam_rdata_3;
+   wire FE_PDN4050_u_soc_data_addr_6;
+   wire FE_PDN19241_FE_OFN19135_FE_OFN18990_FE_OFN17994_n;
+   wire FE_PDN19240_FE_OFN19135_FE_OFN18990_FE_OFN17994_n;
+   wire FE_PDN4049_FE_OFN19196_n;
+   wire FE_PDN4048_FE_OFN19000_FE_OFN18869_n;
+   wire FE_PDN4047_FE_OFN19132_FE_OFN18953_FE_OFN18884_n;
+   wire FE_PDN4046_FE_PSBN19219_FE_PSN3983_n_5667;
+   wire FE_PDN4045_FE_OFN18767_FE_OFN18069_n;
+   wire FE_PDN4044_FE_OFN18881_n;
+   wire FE_PDN4043_FE_OFN18873_n;
+   wire FE_PDN4042_n;
+   wire FE_PDN4041_FE_OFN19015_n;
+   wire FE_PDN4040_FE_OFN19092_FE_OFN18920_FE_OFN18885_n;
+   wire FE_PDN4039_FE_OFN18888_n;
+   wire FE_PDN19239_n;
+   wire FE_PDN4037_FE_OFN19195_n;
+   wire FE_PDN4036_u_soc_u_dccm_rdata2_0;
+   wire FE_PDN19238_n;
+   wire FE_PHN4034_u_soc_u_uart_u_uart_core_read_fifo_raddr_6;
+   wire FE_PHN4033_io_in_33;
+   wire FE_PSN4032_FE_OFN18344_n_11478;
+   wire FE_PSN4031_u_soc_xbar_to_dccm_a_address_7;
+   wire FE_PSN4030_u_soc_iccm_adapter_inst_mem_wmask_int_0_30;
+   wire FE_USKN4029_CTS_59;
+   wire FE_USKN4028_CTS_63;
+   wire FE_USKN4027_CTS_78;
+   wire FE_USKN4026_CTS_70;
+   wire FE_USKN4025_CTS_68;
+   wire FE_USKN4024_CTS_71;
+   wire FE_USKN4023_CTS_55;
+   wire FE_USKN4022_CTS_60;
+   wire FE_USKN4021_CTS_61;
+   wire FE_USKN4020_CTS_61;
+   wire FE_USKN4019_CTS_76;
+   wire FE_USKN4018_CTS_76;
+   wire FE_USKN4017_CTS_79;
+   wire FE_USKN4016_CTS_79;
+   wire FE_PSBN19237_n_8751;
+   wire FE_PSN4015_FE_OFN1862_n_8745;
+   wire FE_PSN4014_FE_OFN1862_n_8745;
+   wire FE_PSBN19236_n_8776;
+   wire FE_PSBN19235_n_8776;
+   wire FE_PSBN19234_n_8547;
+   wire FE_PSBN19233_n_8547;
+   wire FE_PSBN19232_FE_PSN3910_n_8750;
+   wire FE_PSBN19231_FE_PSN3910_n_8750;
+   wire FE_PSBN19230_FE_PSN3910_n_8750;
+   wire FE_PSBN19229_n_6735;
+   wire FE_PSBN19228_n_6735;
+   wire FE_PSBN19225_u_soc_u_top_u_core_pc_set;
+   wire FE_PSBN19224_u_soc_u_top_u_core_pc_set;
+   wire FE_PSN4013_u_soc_xbar_to_dccm_a_address_10;
+   wire FE_USKN4012_CTS_15;
+   wire FE_USKN4011_CTS_15;
+   wire FE_USKN4010_CTS_86;
+   wire FE_USKN4009_CTS_15;
+   wire FE_USKN4008_CTS_49;
+   wire FE_USKN4007_CTS_15;
+   wire FE_USKN4006_CTS_62;
+   wire FE_USKN4005_CTS_61;
+   wire FE_USKN4004_CTS_79;
+   wire FE_USKN4003_CTS_15;
+   wire FE_USKN4002_CTS_15;
+   wire FE_USKN4001_CTS_49;
+   wire FE_USKN4000_CTS_95;
+   wire FE_USKN3999_CTS_96;
+   wire FE_USKN3998_CTS_68;
+   wire FE_USKN3997_CTS_76;
+   wire FE_USKN3996_CTS_79;
+   wire FE_PSN3995_n_8758;
+   wire FE_PSN3994_u_soc_lsu_to_xbar_a_address_31;
+   wire FE_PSBN19223_n_5667;
+   wire FE_PSBN19222_n_5667;
+   wire FE_PSBN19221_n_5667;
+   wire FE_PSN3991_n_8756;
+   wire FE_PSBN19219_FE_PSN3983_n_5667;
+   wire FE_PSN3990_FE_OFN1775_n_7797;
+   wire FE_PSN3988_n_5667;
+   wire FE_PSN3987_n_8748;
+   wire FE_PSN3986_FE_OFN1195_n_6865;
+   wire FE_PSN3985_FE_OFN1872_n_8755;
+   wire FE_PSN3982_n_8777;
+   wire FE_PSN3981_n_8745;
+   wire FE_PSN3978_FE_OFN1865_n_8748;
+   wire FE_PSN3977_n_8758;
+   wire FE_PSN3976_n_8545;
+   wire FE_PSN3975_FE_OFN18519_n_10344;
+   wire FE_PSN3974_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141;
+   wire FE_PSN3973_u_soc_u_top_u_core_instr_rdata_id_22;
+   wire FE_PSN3972_FE_OFN1193_n_6857;
+   wire FE_PSN3971_n_13405;
+   wire FE_PSN3969_FE_OFN18519_n_10344;
+   wire FE_PSN3968_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173;
+   wire FE_PSN3967_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139;
+   wire FE_PSN3966_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152;
+   wire FE_PSN3965_u_soc_u_top_u_core_alu_operand_b_ex_13;
+   wire FE_PSN3964_n_8745;
+   wire FE_PSN3963_n_5848;
+   wire FE_PSN3962_n_8775;
+   wire FE_PSN3961_n_8774;
+   wire FE_PSN3960_n_6729;
+   wire FE_PSN3959_n_8750;
+   wire FE_PSN3958_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284;
+   wire FE_PSN3957_FE_OFN18519_n_10344;
+   wire FE_PSN3956_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183;
+   wire FE_PSN3955_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169;
+   wire FE_PSN3954_n_8751;
+   wire FE_PSN3953_n_10564;
+   wire FE_PSN3952_FE_OFN18519_n_10344;
+   wire FE_PSN3951_n_13409;
+   wire FE_PSN3950_FE_OFN1549_n_3013;
+   wire FE_PSN3949_n_8755;
+   wire FE_PSN3948_FE_OFN18519_n_10344;
+   wire FE_PSN3947_u_soc_u_tcam_n_27;
+   wire FE_PSN3946_n_13094;
+   wire FE_PSN3945_FE_OFN1873_n_8756;
+   wire FE_PSN3944_n_8711;
+   wire FE_PSN3943_FE_OFN18224_n_15897;
+   wire FE_PSN3942_FE_OFN18398_n_11421;
+   wire FE_PSN3941_FE_OFN18519_n_10344;
+   wire FE_PSN3940_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197;
+   wire FE_PSN3939_n_13040;
+   wire FE_PSN3938_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162;
+   wire FE_PSN3937_u_soc_lsu_to_xbar_a_address_30;
+   wire FE_PSN3936_n_7974;
+   wire FE_PSN3935_FE_OFN18224_n_15897;
+   wire FE_PSN3934_FE_OFN18519_n_10344;
+   wire FE_PSN3933_FE_OFN877_u_soc_lsu_to_xbar_a_address__31;
+   wire FE_PSN3932_n_3131;
+   wire FE_PSN3931_FE_OFN18224_n_15897;
+   wire FE_PSN3930_FE_OFN18519_n_10344;
+   wire FE_PSN3929_n_8759;
+   wire FE_PSN3928_n_8753;
+   wire FE_PSN3927_FE_OFN1875_n_8758;
+   wire FE_PSN3926_FE_OFN1866_n_8749;
+   wire FE_PSN3925_n_6224;
+   wire FE_PSN3924_n_6734;
+   wire FE_PSN3923_FE_OFN1599_n_8548;
+   wire FE_PSN3922_n_6737;
+   wire FE_PSN3921_FE_OFN1597_n_8546;
+   wire FE_PSN3920_n_6736;
+   wire FE_PSN3919_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287;
+   wire FE_PSN3918_n_10330;
+   wire FE_PSN3917_n_11478;
+   wire FE_PSN3916_n_8742;
+   wire FE_PSN3915_n_6225;
+   wire FE_PSN3913_n_8745;
+   wire FE_PSN3912_FE_OFN877_u_soc_lsu_to_xbar_a_address__31;
+   wire FE_PSN3911_n_6734;
+   wire FE_PSN3910_n_8750;
+   wire FE_PSN3909_n_8746;
+   wire FE_PSN3908_n_6737;
+   wire FE_PSN3907_n_8687;
+   wire FE_PSN3906_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286;
+   wire FE_PSN3905_FE_OFN1868_n_8751;
+   wire FE_PSN3904_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288;
+   wire FE_PSN3903_n_6222;
+   wire FE_PSN3902_u_soc_u_dccm_bank_sel_1;
+   wire FE_PSN3901_n_8690;
+   wire FE_PSN3899_n_6224;
+   wire FE_PSN3898_u_soc_u_dccm_bank_sel_1;
+   wire FE_PSN3897_n_8546;
+   wire FE_PSN3896_n_6727;
+   wire FE_PSN3895_u_soc_u_dccm_bank_sel_0;
+   wire FE_PSN3894_n_3028;
+   wire FE_PSN3893_n_5850;
+   wire FE_PSN3892_n_8549;
+   wire FE_PSN3891_n_8548;
+   wire FE_PSN3890_n_8664;
+   wire FE_PSN3889_FE_PDN3659_FE_OFN1565_n_5667;
+   wire FE_PSN3886_n_1231;
+   wire FE_PSN3884_n_7799;
+   wire FE_PSN3883_u_soc_u_dccm_bank_sel_1;
+   wire FE_PDN3882_FE_OFN18745_FE_OFN17991_n;
+   wire FE_PDN3881_FE_OFN416_u_soc_u_dccm_rdata1_18;
+   wire FE_PDN3880_FE_OFN396_u_soc_u_dccm_rdata1_28;
+   wire FE_PDN3879_FE_OFN410_u_soc_u_dccm_rdata1_21;
+   wire FE_PDN3878_FE_OFN402_u_soc_u_dccm_rdata1_25;
+   wire FE_PDN3877_FE_OFN776_u_soc_u_iccm_rdata1_2;
+   wire FE_PDN3876_FE_OFN745_u_soc_u_iccm_rdata1_25;
+   wire FE_PDN3875_FE_OFN556_u_soc_instr_wdata_26;
+   wire FE_PDN19215_u_soc_u_tcam_rdata_2;
+   wire FE_PDN19214_u_soc_u_tcam_rdata_3;
+   wire FE_PDN3873_FE_OFN430_u_soc_u_dccm_rdata1_11;
+   wire FE_PDN19213_n;
+   wire FE_PDN3872_FE_OFN18868_n;
+   wire FE_PDN3871_FE_OFN396_u_soc_u_dccm_rdata1_28;
+   wire FE_PDN3870_FE_OFN416_u_soc_u_dccm_rdata1_18;
+   wire FE_PDN3869_u_soc_u_dccm_rdata2_0;
+   wire FE_PDN3868_FE_OFN402_u_soc_u_dccm_rdata1_25;
+   wire FE_PDN3867_FE_OFN398_u_soc_u_dccm_rdata1_27;
+   wire FE_PDN3866_u_soc_u_iccm_rdata1_26;
+   wire FE_PDN3865_FE_OFN18755_FE_OFN18001_n;
+   wire FE_PDN3864_FE_OFN19015_n;
+   wire FE_PDN3863_FE_OFN776_u_soc_u_iccm_rdata1_2;
+   wire FE_PDN3862_FE_OFN404_u_soc_u_dccm_rdata1_24;
+   wire FE_PDN3861_FE_OFN745_u_soc_u_iccm_rdata1_25;
+   wire FE_PDN3860_FE_OFN410_u_soc_u_dccm_rdata1_21;
+   wire FE_PDN3859_FE_OFN742_u_soc_u_iccm_rdata1_27;
+   wire FE_PDN3858_FE_OFN18636_FE_OFN18062_n;
+   wire FE_PDN3857_FE_OFN381_u_soc_data_wdata_1;
+   wire FE_PDN3856_FE_OFN345_u_soc_data_wdata_6;
+   wire FE_PDN3855_FE_OFN325_u_soc_data_wdata_9;
+   wire FE_PDN3854_n_2363;
+   wire FE_PDN3853_FE_OFN19198_n;
+   wire FE_PDN3852_FE_OFN183_u_soc_data_wdata_30;
+   wire FE_PDN3851_FE_OFN18774_FE_OFN1721_u_soc_xbar_to_dccm_a_data__5;
+   wire FE_PDN3850_FE_OFN1452_n_1879;
+   wire FE_PDN3849_FE_OFN935_u_soc_data_wmask_1;
+   wire FE_PDN3848_FE_OFN367_u_soc_data_wdata_3;
+   wire FE_PDN3847_n_6223;
+   wire FE_PDN3846_n_6222;
+   wire FE_PDN3845_FE_OFN685_u_soc_instr_wdata_7;
+   wire FE_PDN3844_n_6225;
+   wire FE_PDN3843_FE_OFN332_u_soc_data_wdata_8;
+   wire FE_PDN3842_FE_OFN337_u_soc_data_wdata_7;
+   wire FE_PDN3841_FE_OFN343_u_soc_data_wdata_6;
+   wire FE_PDN3839_FE_OFN352_u_soc_data_wdata_5;
+   wire FE_PDN3838_FE_OFN18751_FE_OFN422_u_soc_u_dccm_rdata1_15;
+   wire FE_PDN3837_FE_OFN323_u_soc_data_wdata_9;
+   wire FE_PDN3836_FE_OFN19163_n;
+   wire FE_PDN3835_FE_OFN763_u_soc_u_iccm_rdata1_10;
+   wire FE_PDN3833_u_soc_u_iccm_addr1_0;
+   wire FE_PDN19212_io_out_16;
+   wire FE_PDN3832_FE_OFN1031_u_soc_uart_to_xbar_d_data__7;
+   wire FE_PDN19211_u_soc_u_tcam_rdata_3;
+   wire FE_PDN3831_FE_OFN1037_u_soc_uart_to_xbar_d_data__1;
+   wire FE_PDN3830_FE_OFN19092_FE_OFN18920_FE_OFN18885_n;
+   wire FE_PDN3829_FE_OFN1703_u_soc_xbar_to_dccm_a_data__21;
+   wire FE_PDN3828_FE_OFN18778_FE_OFN18010_n;
+   wire FE_PDN3827_FE_OFN18734_logic_0_18_net;
+   wire FE_PDN3826_FE_OFN19196_n;
+   wire FE_PDN3825_logic_0_4_net;
+   wire FE_PDN3824_FE_OFN1724_u_soc_xbar_to_dccm_a_data__2;
+   wire FE_PDN3823_FE_OFN18838_n;
+   wire FE_PDN3822_FE_OFN19077_FE_OFN18977_n;
+   wire FE_PDN3821_FE_OFN1036_u_soc_uart_to_xbar_d_data__2;
+   wire FE_PDN3820_FE_OFN18720_FE_OFN18070_n;
+   wire FE_PDN3819_FE_OFN422_u_soc_u_dccm_rdata1_15;
+   wire FE_PDN3818_n_17962;
+   wire FE_PDN3817_FE_OFN18683_FE_OFN1889_n;
+   wire FE_PDN3816_FE_OFN18767_FE_OFN18069_n;
+   wire FE_PDN3814_io_out_17;
+   wire FE_PDN3813_FE_OFN18873_n;
+   wire FE_PDN3812_FE_OFN18644_FE_OFN18074_n;
+   wire FE_PDN3810_FE_OFN216_u_soc_data_wdata_24;
+   wire FE_PDN3809_logic_0_2_net;
+   wire FE_PDN3808_FE_OFN18872_n;
+   wire FE_PDN3807_FE_OFN18881_n;
+   wire FE_PDN3806_n_17952;
+   wire FE_PDN3805_FE_OFN621_u_soc_instr_wdata_16;
+   wire FE_PDN3804_FE_OFN1032_u_soc_uart_to_xbar_d_data__6;
+   wire FE_PDN3803_n_17976;
+   wire FE_PDN3801_FE_OFN1723_u_soc_xbar_to_dccm_a_data__3;
+   wire FE_PDN19210_logic_0_34_net;
+   wire FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13;
+   wire FE_PDN3799_FE_OFN19074_FE_OFN18989_FE_OFN18978_n;
+   wire FE_PDN3798_FE_OFN947_u_soc_instr_wmask_0;
+   wire FE_PDN3797_n_17974;
+   wire FE_PDN3796_FE_OFN1_io_out_37;
+   wire FE_PDN3795_logic_0_47_net;
+   wire FE_PDN3794_FE_OFN1033_u_soc_uart_to_xbar_d_data__5;
+   wire FE_PDN3792_FE_OFN195_u_soc_data_wdata_28;
+   wire FE_PDN3790_FE_OFN1034_u_soc_uart_to_xbar_d_data__4;
+   wire FE_PDN3789_FE_OFN909_u_soc_main_swith_host_lsu_dev_select_outstanding_0;
+   wire FE_PDN3788_FE_OFN1499_n_2160;
+   wire FE_PDN3787_FE_OFN18888_n;
+   wire FE_PDN3786_u_soc_u_dccm_rdata2_0;
+   wire FE_PDN3784_FE_OFN919_u_soc_data_wmask_3;
+   wire FE_PDN3783_u_soc_u_top_u_core_fetch_enable_q;
+   wire FE_PDN3782_FE_OFN489_u_soc_u_dccm_rdata4_24;
+   wire FE_PDN3781_u_soc_u_iccm_addr1_7;
+   wire FE_PDN3780_FE_OFN942_u_soc_data_wmask_0;
+   wire FE_PDN3779_FE_OFN181_u_soc_data_wdata_30;
+   wire FE_PDN3777_FE_OFN512_u_soc_u_dccm_rdata4_1;
+   wire FE_PDN3776_FE_OFN189_u_soc_data_wdata_29;
+   wire FE_PDN3775_FE_OFN1721_u_soc_xbar_to_dccm_a_data__5;
+   wire FE_PDN3774_FE_OFN488_u_soc_u_dccm_rdata4_25;
+   wire FE_PDN3773_FE_OFN18868_n;
+   wire FE_PDN3772_FE_OFN511_u_soc_u_dccm_rdata4_2;
+   wire FE_PDN3771_FE_OFN735_u_soc_instr_wdata_0;
+   wire FE_PDN3770_u_soc_uart_to_xbar_d_data_15;
+   wire FE_PDN3769_FE_OFN509_u_soc_u_dccm_rdata4_4;
+   wire FE_PDN3768_FE_OFN1035_u_soc_uart_to_xbar_d_data__3;
+   wire FE_PDN3767_FE_OFN441_u_soc_u_dccm_rdata1_5;
+   wire FE_PDN3766_FE_OFN1787_n_10155;
+   wire FE_PDN3764_u_soc_u_iccm_rdata1_26;
+   wire FE_PDN3763_FE_OFN234_u_soc_data_wdata_22;
+   wire FE_PDN3762_FE_OFN513_u_soc_u_dccm_rdata4_0;
+   wire FE_PDN19209_FE_OFN19015_n;
+   wire FE_PDN19208_FE_OFN19015_n;
+   wire FE_PDN3761_FE_OFN18755_FE_OFN18001_n;
+   wire FE_PDN3760_FE_OFN604_u_soc_instr_wdata_19;
+   wire FE_PDN3759_FE_OFN444_u_soc_u_dccm_rdata1_2;
+   wire FE_PDN3758_FE_OFN502_u_soc_u_dccm_rdata4_11;
+   wire FE_PDN3756_FE_OFN274_u_soc_data_wdata_16;
+   wire FE_PDN3753_FE_OFN628_u_soc_instr_wdata_15;
+   wire FE_PDN3752_FE_OFN329_u_soc_data_wdata_8;
+   wire FE_PDN3751_FE_OFN18745_FE_OFN17991_n;
+   wire FE_PDN3750_FE_OFN713_u_soc_instr_wdata_3;
+   wire FE_PDN3749_FE_OFN443_u_soc_u_dccm_rdata1_3;
+   wire FE_PDN3748_FE_OFN739_u_soc_u_iccm_rdata1_30;
+   wire FE_PDN3747_FE_OFN505_u_soc_u_dccm_rdata4_8;
+   wire FE_PDN3746_FE_OFN1088_n_1433;
+   wire FE_PDN3745_FE_OFN507_u_soc_u_dccm_rdata4_6;
+   wire FE_PDN3744_FE_OFN655_u_soc_instr_wdata_11;
+   wire FE_PDN3743_FE_OFN374_u_soc_data_wdata_2;
+   wire FE_PDN3742_FE_OFN747_u_soc_u_iccm_rdata1_23;
+   wire FE_PDN3741_FE_OFN261_u_soc_data_wdata_18;
+   wire FE_PDN3740_FE_OFN764_u_soc_u_iccm_rdata1_9;
+   wire FE_PDN3739_FE_OFN582_u_soc_instr_wdata_22;
+   wire FE_PDN3738_FE_OFN530_u_soc_instr_wdata_29;
+   wire FE_PDN3737_FE_OFN18737_FE_OFN17996_n;
+   wire FE_PDN3736_FE_OFN501_u_soc_u_dccm_rdata4_12;
+   wire FE_PDN3735_FE_OFN741_u_soc_u_iccm_rdata1_28;
+   wire FE_PDN3734_FE_OFN365_u_soc_data_wdata_3;
+   wire FE_PDN3733_FE_OFN706_u_soc_instr_wdata_4;
+   wire FE_PDN3732_FE_OFN18780_FE_OFN17995_n;
+   wire FE_PDN3731_FE_OFN699_u_soc_instr_wdata_5;
+   wire FE_PDN3730_FE_OFN469_u_soc_u_dccm_rdata2_10;
+   wire FE_PDN3729_FE_OFN17998_n;
+   wire FE_PDN3728_FE_OFN765_u_soc_u_iccm_rdata1_8;
+   wire FE_PDN3727_FE_OFN676_u_soc_instr_wdata_8;
+   wire FE_PDN3726_FE_OFN504_u_soc_u_dccm_rdata4_9;
+   wire FE_PDN3725_FE_OFN317_u_soc_data_wdata_10;
+   wire FE_PDN3724_FE_OFN497_u_soc_u_dccm_rdata4_16;
+   wire FE_PDN3723_FE_OFN465_u_soc_u_dccm_rdata2_14;
+   wire FE_PDN3722_FE_OFN379_u_soc_data_wdata_1;
+   wire FE_PDN3721_FE_OFN463_u_soc_u_dccm_rdata2_16;
+   wire FE_PDN3720_FE_OFN908_u_soc_main_swith_host_lsu_dev_select_outstanding_1;
+   wire FE_PDN3719_FE_OFN464_u_soc_u_dccm_rdata2_15;
+   wire FE_PDN3718_FE_OFN498_u_soc_u_dccm_rdata4_15;
+   wire FE_PDN3717_FE_OFN503_u_soc_u_dccm_rdata4_10;
+   wire FE_PDN3716_FE_OFN351_u_soc_data_wdata_5;
+   wire FE_PDN3715_FE_OFN759_u_soc_u_iccm_rdata1_14;
+   wire FE_PDN3714_FE_OFN561_u_soc_instr_wdata_25;
+   wire FE_PDN3713_FE_OFN358_u_soc_data_wdata_4;
+   wire FE_PDN3712_FE_OFN472_u_soc_u_dccm_rdata2_7;
+   wire FE_PDN3711_FE_OFN1278_u_soc_data_we;
+   wire FE_PDN3710_FE_OFN683_u_soc_instr_wdata_7;
+   wire FE_PDN3709_FE_OFN493_u_soc_u_dccm_rdata4_20;
+   wire FE_PDN3708_FE_OFN750_u_soc_u_iccm_rdata1_21;
+   wire FE_PDN19207_n_17941;
+   wire FE_PDN19206_n_17941;
+   wire FE_PDN19205_n_17941;
+   wire FE_PDN19204_n_17941;
+   wire FE_PDN3707_FE_OFN495_u_soc_u_dccm_rdata4_18;
+   wire FE_PDN3706_FE_OFN761_u_soc_u_iccm_rdata1_12;
+   wire FE_PDN3705_FE_OFN18715_FE_OFN414_u_soc_u_dccm_rdata1_19;
+   wire FE_PDN3704_FE_OFN177_u_soc_data_wdata_31;
+   wire FE_PDN3703_FE_OFN455_u_soc_u_dccm_rdata2_24;
+   wire FE_PDN3702_FE_OFN18976_n;
+   wire FE_PDN3701_u_soc_xbar_to_dccm_a_data_14;
+   wire FE_PDN3700_FE_OFN18870_n;
+   wire FE_PDN3699_n_17939;
+   wire FE_PDN3698_u_soc_xbar_to_dccm_a_data_29;
+   wire FE_PDN3697_n_17938;
+   wire FE_PDN3696_n_17936;
+   wire FE_PDN3695_n_17935;
+   wire FE_PDN3694_u_soc_u_dccm_rdata4_30;
+   wire FE_PDN3693_u_soc_data_addr_0;
+   wire FE_PDN3692_n_17940;
+   wire FE_PDN3691_FE_OFN18740_FE_OFN18000_n;
+   wire FE_PDN3690_FE_OFN662_u_soc_instr_wdata_10;
+   wire FE_PDN3689_FE_OFN564_u_soc_instr_wdata_24;
+   wire FE_PDN3688_FE_OFN491_u_soc_u_dccm_rdata4_22;
+   wire FE_PDN19203_u_soc_u_iccm_rdata1_10;
+   wire FE_PDN3686_u_soc_uart_to_xbar_d_data_14;
+   wire FE_PDN3684_FE_OFN300_u_soc_data_wdata_12;
+   wire FE_PDN3683_FE_OFN430_u_soc_u_dccm_rdata1_11;
+   wire FE_PDN3681_FE_OFN19195_n;
+   wire FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27;
+   wire FE_PDN3679_FE_OFN384_u_soc_data_wdata_0;
+   wire FE_PDN3678_FE_OFN398_u_soc_u_dccm_rdata1_27;
+   wire FE_PDN3677_FE_OFN1089_n_1438;
+   wire FE_PDN3676_FE_OFN432_u_soc_u_dccm_rdata1_10;
+   wire FE_PDN3675_FE_OFN416_u_soc_u_dccm_rdata1_18;
+   wire FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31;
+   wire FE_PDN3673_FE_OFN776_u_soc_u_iccm_rdata1_2;
+   wire FE_PDN3672_FE_OFN410_u_soc_u_dccm_rdata1_21;
+   wire FE_PDN3671_FE_OFN933_u_soc_data_wmask_1;
+   wire FE_PDN3670_FE_OFN1782_n_7806;
+   wire FE_PDN3669_FE_OFN745_u_soc_u_iccm_rdata1_25;
+   wire FE_PDN3668_FE_OFN306_u_soc_data_wdata_11;
+   wire FE_PDN3667_FE_OFN742_u_soc_u_iccm_rdata1_27;
+   wire FE_PDN3666_FE_OFN342_u_soc_data_wdata_6;
+   wire FE_PDN3665_FE_OFN335_u_soc_data_wdata_7;
+   wire FE_PDN3664_FE_OFN320_u_soc_data_wdata_9;
+   wire FE_PDN3663_FE_OFN1564_n_5664;
+   wire FE_PDN3662_FE_OFN402_u_soc_u_dccm_rdata1_25;
+   wire FE_PDN3661_FE_OFN404_u_soc_u_dccm_rdata1_24;
+   wire FE_PDN3660_FE_OFN396_u_soc_u_dccm_rdata1_28;
+   wire FE_PDN3659_FE_OFN1565_n_5667;
+   wire FE_PDN3658_FE_OFN204_u_soc_data_wdata_26;
+   wire FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni;
+   wire FE_PDN3656_FE_OFN1717_u_soc_xbar_to_dccm_a_data__7;
+   wire FE_PDN19202_logic_0_53_net;
+   wire FE_PDN19201_logic_0_53_net;
+   wire FE_PDN3655_FE_OFN1591_n_7974;
+   wire FE_PDN19200_logic_0_52_net;
+   wire FE_PDN19199_logic_0_52_net;
+   wire FE_OFN19198_n;
+   wire FE_OFN19197_n;
+   wire FE_OFN19196_n;
+   wire FE_OFN19195_n;
+   wire FE_OFN19194_n;
+   wire FE_OFN19193_n;
+   wire FE_OFN19192_n;
+   wire FE_OFN19191_n;
+   wire FE_OFN19190_n;
+   wire FE_OFN19189_n;
+   wire FE_OFN19188_n;
+   wire FE_OFN19187_n;
+   wire FE_OFN19186_n;
+   wire FE_OFN19185_n;
+   wire FE_OFN19184_n;
+   wire FE_OFN19183_n;
+   wire FE_OFN19182_n;
+   wire FE_OFN19181_n;
+   wire FE_OFN19180_n;
+   wire FE_OFN19179_n;
+   wire FE_OFN19178_n;
+   wire FE_OFN19177_n;
+   wire FE_OFN19176_n;
+   wire FE_OFN19175_n;
+   wire FE_OFN19174_n;
+   wire FE_OFN19173_n;
+   wire FE_OFN19172_n;
+   wire FE_OFN19171_n;
+   wire FE_OFN19170_n;
+   wire FE_OFN19169_n;
+   wire FE_OFN19168_n;
+   wire FE_OFN19167_n;
+   wire FE_OFN19166_n;
+   wire FE_OFN19165_n;
+   wire FE_OFN19164_n;
+   wire FE_OFN19163_n;
+   wire FE_OFN19162_n;
+   wire FE_OFN19161_n;
+   wire FE_OFN19160_n_10234;
+   wire FE_OFN19159_n_5686;
+   wire FE_OFN19156_FE_OFN430_u_soc_u_dccm_rdata1_11;
+   wire FE_OFN19153_u_soc_u_dccm_rdata4_28;
+   wire FE_OFN19152_u_soc_data_wdata_24;
+   wire FE_OFN19151_u_soc_data_wdata_27;
+   wire FE_OFN19150_u_soc_u_dccm_rdata4_26;
+   wire FE_OFN19149_FE_OFN19006_FE_OFN18882_n;
+   wire FE_OFN19148_FE_OFN1722_u_soc_xbar_to_dccm_a_data__4;
+   wire FE_OFN19147_FE_OFN19004_FE_OFN18871_n;
+   wire FE_OFN19146_u_soc_u_dccm_rdata4_25;
+   wire FE_OFN19145_FE_OFN18844_n;
+   wire FE_OFN19144_FE_OFN18999_FE_OFN18876_n;
+   wire FE_OFN19143_FE_OFN19001_FE_OFN18637_FE_OFN18062_n;
+   wire FE_OFN19142_FE_OFN18982_n;
+   wire FE_OFN19141_u_soc_data_wdata_31;
+   wire FE_OFN19140_n_6883;
+   wire FE_OFN19139_n_6871;
+   wire FE_OFN19138_FE_OFN19071_FE_OFN18957_FE_OFN18748_FE_OFN17989_n;
+   wire FE_OFN19137_u_soc_data_wdata_29;
+   wire FE_OFN19136_n_5974;
+   wire FE_OFN19135_FE_OFN18990_FE_OFN17994_n;
+   wire FE_OFN19134_FE_OFN18955_FE_OFN18883_n;
+   wire FE_OFN19133_n_7611;
+   wire FE_OFN19132_FE_OFN18953_FE_OFN18884_n;
+   wire FE_OFN19131_FE_OFN18980_n;
+   wire FE_OFN19130_FE_OFN18940_FE_OFN18685_FE_OFN1891_n;
+   wire FE_OFN19129_FE_OFN18940_FE_OFN18685_FE_OFN1891_n;
+   wire FE_OFN19128_FE_OFN18936_FE_OFN18834_n;
+   wire FE_OFN19127_FE_OFN18936_FE_OFN18834_n;
+   wire FE_OFN19126_FE_OFN436_u_soc_u_dccm_rdata1_8;
+   wire FE_OFN19125_FE_OFN18984_n;
+   wire FE_OFN19124_FE_OFN18934_FE_OFN18682_FE_OFN1892_n;
+   wire FE_OFN19123_FE_OFN18934_FE_OFN18682_FE_OFN1892_n;
+   wire FE_OFN19122_FE_OFN18938_FE_OFN18679_FE_OFN1896_n;
+   wire FE_OFN19121_FE_OFN18938_FE_OFN18679_FE_OFN1896_n;
+   wire FE_OFN19120_FE_OFN18945_FE_OFN18688_FE_OFN1894_n;
+   wire FE_OFN19119_FE_OFN18945_FE_OFN18688_FE_OFN1894_n;
+   wire FE_OFN19118_FE_OFN18943_FE_OFN18710_FE_OFN1911_n;
+   wire FE_OFN19117_FE_OFN18948_FE_OFN18840_n;
+   wire FE_OFN19116_FE_OFN18972_n;
+   wire FE_OFN19115_FE_OFN18965_n;
+   wire FE_OFN19114_FE_OFN18949_FE_OFN18702_FE_OFN18014_n;
+   wire FE_OFN19113_FE_OFN19070_FE_OFN18942_FE_OFN18698_FE_OFN1903_n;
+   wire FE_OFN19112_FE_OFN434_u_soc_u_dccm_rdata1_9;
+   wire FE_OFN19111_FE_OFN18932_FE_OFN18862_n;
+   wire FE_OFN19110_FE_OFN18931_FE_OFN18831_n;
+   wire FE_OFN19109_FE_OFN18930_FE_OFN18865_n;
+   wire FE_OFN19108_FE_OFN18927_FE_OFN18864_n;
+   wire FE_OFN19107_FE_OFN18926_FE_OFN18867_n;
+   wire FE_OFN19106_FE_OFN18909_FE_OFN18863_n;
+   wire FE_OFN19105_FE_OFN18906_FE_OFN18866_n;
+   wire FE_OFN19104_u_soc_xbar_to_dccm_a_data__1;
+   wire FE_OFN19103_FE_OFN18913_FE_OFN18669_FE_OFN18032_n;
+   wire FE_OFN19102_FE_OFN18908_FE_OFN18663_FE_OFN18034_n;
+   wire FE_OFN19101_FE_OFN18904_FE_OFN18875_n;
+   wire FE_OFN19100_FE_OFN18902_FE_OFN18877_n;
+   wire FE_OFN19099_FE_OFN18901_FE_OFN18887_n;
+   wire FE_OFN19098_FE_OFN18900_FE_OFN18756_FE_OFN1885_n;
+   wire FE_OFN19097_FE_OFN18925_FE_OFN18861_n;
+   wire FE_OFN19096_FE_OFN18929_FE_OFN18677_FE_OFN1893_n;
+   wire FE_OFN19095_FE_OFN18929_FE_OFN18677_FE_OFN1893_n;
+   wire FE_OFN19094_FE_OFN18922_FE_OFN18665_FE_OFN1890_n;
+   wire FE_OFN19093_FE_OFN18922_FE_OFN18665_FE_OFN1890_n;
+   wire FE_OFN19092_FE_OFN18920_FE_OFN18885_n;
+   wire FE_OFN19091_FE_OFN18919_FE_OFN18673_FE_OFN18040_n;
+   wire FE_OFN19090_FE_OFN18919_FE_OFN18673_FE_OFN18040_n;
+   wire FE_OFN19089_FE_OFN18917_FE_OFN18657_FE_OFN18038_n;
+   wire FE_OFN19088_FE_OFN18917_FE_OFN18657_FE_OFN18038_n;
+   wire FE_OFN19087_FE_OFN18983_n;
+   wire FE_OFN19086_FE_OFN18983_n;
+   wire FE_OFN19085_FE_OFN18911_FE_OFN18661_FE_OFN18036_n;
+   wire FE_OFN19084_FE_OFN18911_FE_OFN18661_FE_OFN18036_n;
+   wire FE_OFN19083_FE_OFN18915_FE_OFN18671_FE_OFN18042_n;
+   wire FE_OFN19082_FE_OFN18915_FE_OFN18671_FE_OFN18042_n;
+   wire FE_OFN19081_FE_OFN18905_FE_OFN18879_n;
+   wire FE_OFN19080_FE_OFN18905_FE_OFN18879_n;
+   wire FE_OFN19079_FE_OFN18903_FE_OFN18654_FE_OFN1897_n;
+   wire FE_OFN19078_FE_OFN18941_FE_OFN18849_n;
+   wire FE_OFN19077_FE_OFN18977_n;
+   wire FE_OFN19076_FE_OFN18977_n;
+   wire FE_OFN19075_FE_OFN18977_n;
+   wire FE_OFN19074_FE_OFN18989_FE_OFN18978_n;
+   wire FE_OFN19073_FE_OFN18989_FE_OFN18978_n;
+   wire FE_RN_164_0;
+   wire FE_RN_163_0;
+   wire FE_RN_162_0;
+   wire FE_OCPN19072_u_soc_u_iccm_bank_sel_0;
+   wire FE_RN_161_0;
+   wire FE_RN_160_0;
+   wire FE_RN_159_0;
+   wire FE_OFN19071_FE_OFN18957_FE_OFN18748_FE_OFN17989_n;
+   wire FE_OFN19070_FE_OFN18942_FE_OFN18698_FE_OFN1903_n;
+   wire FE_OFN19068_n;
+   wire FE_OFN19067_n;
+   wire FE_OFN19063_n;
+   wire FE_OFN19060_n;
+   wire FE_OFN19059_FE_RN_146_0;
+   wire FE_OFN19058_FE_RN_146_0;
+   wire FE_OFN19057_n_11751;
+   wire FE_OFN19055_n_11553;
+   wire FE_OFN19054_n_13386;
+   wire FE_OFN19052_n;
+   wire FE_OFN19051_n;
+   wire FE_OFN19049_n;
+   wire FE_OFN19047_n;
+   wire FE_OFN19045_u_soc_xbar_to_dccm_a_address__9;
+   wire FE_OFN19043_n_13401;
+   wire FE_OFN19039_n_13378;
+   wire FE_OFN19036_n_13103;
+   wire FE_OFN19035_n;
+   wire FE_OFN19034_u_soc_u_top_u_core_instr_rdata_id_13;
+   wire FE_OFN19030_n_11561;
+   wire FE_OFN19028_u_soc_xbar_to_dccm_a_address__6;
+   wire FE_OFN19026_u_soc_u_top_u_core_multdiv_operator_ex_1;
+   wire FE_OFN19023_n_6863;
+   wire FE_OFN19022_n_5840;
+   wire FE_OFN19021_n_5838;
+   wire FE_OFN19019_n_5832;
+   wire FE_OFN19017_n_13074;
+   wire FE_OFN19016_n_577;
+   wire FE_OFN19015_n;
+   wire FE_OFN19014_n_10736;
+   wire FE_OFN19012_io_oeb_16;
+   wire FE_OFN19011_FE_OFN99_io_out_37;
+   wire FE_OFN19010_FE_OFN1614_n_8967;
+   wire FE_OFN19009_FE_OFN121_io_out_37;
+   wire FE_OFN19008_FE_OFN81_io_out_37;
+   wire FE_OFN19006_FE_OFN18882_n;
+   wire FE_OFN19004_FE_OFN18871_n;
+   wire FE_OFN19001_FE_OFN18637_FE_OFN18062_n;
+   wire FE_OFN19000_FE_OFN18869_n;
+   wire FE_OFN18999_FE_OFN18876_n;
+   wire FE_OFN18998_FE_OFN18759_FE_OFN18009_n;
+   wire FE_OFN18995_n_5687;
+   wire FE_OFN18991_n_2534;
+   wire FE_OFN18990_FE_OFN17994_n;
+   wire FE_OFN18989_FE_OFN18978_n;
+   wire FE_OFN18987_FE_OFN18783_FE_OFN18007_n;
+   wire CTS_101;
+   wire CTS_100;
+   wire CTS_99;
+   wire CTS_98;
+   wire CTS_95;
+   wire CTS_94;
+   wire CTS_93;
+   wire CTS_92;
    wire CTS_91;
    wire CTS_90;
    wire CTS_89;
    wire CTS_88;
-   wire CTS_87;
-   wire CTS_86;
-   wire CTS_93;
-   wire CTS_94;
+   wire CTS_97;
+   wire CTS_96;
+   wire CTS_85;
+   wire CTS_84;
    wire CTS_83;
    wire CTS_82;
    wire CTS_81;
    wire CTS_80;
+   wire CTS_87;
+   wire CTS_86;
    wire CTS_79;
-   wire CTS_85;
-   wire CTS_92;
-   wire CTS_84;
-   wire CTS_78;
-   wire CTS_74;
    wire CTS_76;
-   wire CTS_77;
    wire CTS_75;
+   wire CTS_74;
+   wire CTS_73;
+   wire CTS_72;
+   wire CTS_71;
    wire CTS_70;
    wire CTS_69;
    wire CTS_68;
-   wire CTS_67;
-   wire CTS_66;
+   wire CTS_78;
+   wire CTS_77;
    wire CTS_65;
    wire CTS_64;
-   wire CTS_63;
-   wire CTS_62;
+   wire CTS_67;
+   wire CTS_66;
    wire CTS_61;
    wire CTS_60;
    wire CTS_59;
-   wire CTS_72;
-   wire CTS_73;
+   wire CTS_58;
+   wire CTS_57;
    wire CTS_56;
    wire CTS_55;
    wire CTS_54;
-   wire CTS_53;
-   wire CTS_52;
+   wire CTS_63;
+   wire CTS_62;
    wire CTS_51;
    wire CTS_50;
    wire CTS_49;
    wire CTS_48;
-   wire CTS_58;
-   wire CTS_71;
-   wire CTS_45;
-   wire CTS_44;
+   wire CTS_47;
+   wire CTS_46;
+   wire CTS_53;
+   wire CTS_52;
    wire CTS_43;
    wire CTS_42;
    wire CTS_41;
    wire CTS_40;
    wire CTS_39;
    wire CTS_38;
+   wire CTS_45;
+   wire CTS_44;
    wire CTS_37;
-   wire CTS_47;
-   wire CTS_57;
-   wire CTS_34;
+   wire CTS_36;
    wire CTS_33;
    wire CTS_32;
    wire CTS_31;
    wire CTS_30;
    wire CTS_29;
    wire CTS_28;
-   wire CTS_27;
-   wire CTS_26;
-   wire CTS_25;
-   wire CTS_36;
-   wire CTS_46;
-   wire CTS_19;
    wire CTS_35;
+   wire CTS_34;
+   wire CTS_25;
+   wire CTS_24;
+   wire CTS_23;
    wire CTS_22;
    wire CTS_21;
    wire CTS_20;
-   wire CTS_18;
+   wire CTS_27;
+   wire CTS_26;
    wire CTS_17;
-   wire CTS_24;
-   wire CTS_23;
+   wire CTS_16;
+   wire CTS_15;
    wire CTS_14;
    wire CTS_13;
    wire CTS_12;
@@ -15320,46 +14642,104 @@
    wire CTS_9;
    wire CTS_8;
    wire CTS_7;
-   wire CTS_6;
-   wire CTS_5;
+   wire CTS_19;
+   wire CTS_18;
    wire CTS_4;
    wire CTS_3;
    wire CTS_2;
    wire CTS_1;
-   wire CTS_16;
-   wire CTS_15;
-   wire FE_OCPN19278_u_soc_u_top_u_core_instr_rdata_id_16;
-   wire FE_OFN19255_n_6867;
-   wire FE_OFN19254_FE_OFN1276_u_soc_instr_wdata_5;
-   wire FE_OFN19253_FE_OFN1276_u_soc_instr_wdata_5;
-   wire FE_OFN19247_FE_OFN19156_n;
-   wire FE_OFN19246_FE_OFN19161_n;
-   wire FE_OFN19245_FE_OFN19152_n;
-   wire FE_OFN19244_FE_OFN19155_n;
-   wire FE_OFN19243_FE_OFN19131_n;
-   wire FE_OFN19242_FE_OFN19168_n;
-   wire FE_OFN19218_FE_OFN18888_FE_OFN17996_n;
-   wire FE_OFN19216_FE_OFN19067_n;
-   wire FE_OFN19212_FE_OFN19103_n;
-   wire FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0;
-   wire FE_OFN19210_FE_OFN19104_n;
-   wire FE_OFN19209_FE_OFN18796_FE_OFN18186_n;
-   wire FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7;
-   wire FE_OFN19207_FE_OFN19102_n;
-   wire FE_OFN19206_FE_OFN18750_FE_OFN1716_n;
-   wire FE_OFN19204_FE_OFN18791_FE_OFN1687_n;
-   wire FE_OFN19203_FE_OFN19077_n;
-   wire FE_OFN19202_FE_OFN18777_FE_OFN1689_n;
-   wire FE_OFN19195_FE_OFN19073_n;
-   wire FE_OFN19194_FE_OFN18757_FE_OFN1682_n;
-   wire FE_OFN19192_FE_OFN19179_n;
-   wire FE_OFN19190_FE_OFN19180_n;
-   wire FE_OFN19189_FE_OFN19180_n;
+   wire CTS_6;
+   wire CTS_5;
+   wire FE_OFN18984_n;
+   wire FE_OFN18983_n;
+   wire FE_OFN18982_n;
+   wire FE_OFN18980_n;
+   wire FE_OFN18977_n;
+   wire FE_OFN18976_n;
+   wire FE_OFN18975_n;
+   wire FE_OFN18972_n;
+   wire FE_OFN18970_n;
+   wire FE_OFN18967_n;
+   wire FE_OFN18966_n;
+   wire FE_OFN18965_n;
+   wire FE_OFN18960_u_soc_data_wdata_26;
+   wire FE_OFN18959_u_soc_data_wdata_30;
+   wire FE_OFN18957_FE_OFN18748_FE_OFN17989_n;
+   wire FE_OFN18955_FE_OFN18883_n;
+   wire FE_OFN18953_FE_OFN18884_n;
+   wire FE_OFN18951_u_soc_data_wdata_25;
+   wire FE_OFN18949_FE_OFN18702_FE_OFN18014_n;
+   wire FE_OFN18948_FE_OFN18840_n;
+   wire FE_OFN18947_FE_OFN18878_n;
+   wire FE_OFN18945_FE_OFN18688_FE_OFN1894_n;
+   wire FE_OFN18943_FE_OFN18710_FE_OFN1911_n;
+   wire FE_OFN18941_FE_OFN18849_n;
+   wire FE_OFN18940_FE_OFN18685_FE_OFN1891_n;
+   wire FE_OFN18938_FE_OFN18679_FE_OFN1896_n;
+   wire FE_OFN18936_FE_OFN18834_n;
+   wire FE_OFN18934_FE_OFN18682_FE_OFN1892_n;
+   wire FE_OFN18932_FE_OFN18862_n;
+   wire FE_OFN18931_FE_OFN18831_n;
+   wire FE_OFN18930_FE_OFN18865_n;
+   wire FE_OFN18929_FE_OFN18677_FE_OFN1893_n;
+   wire FE_OFN18927_FE_OFN18864_n;
+   wire FE_OFN18926_FE_OFN18867_n;
+   wire FE_OFN18925_FE_OFN18861_n;
+   wire FE_OFN18922_FE_OFN18665_FE_OFN1890_n;
+   wire FE_OFN18920_FE_OFN18885_n;
+   wire FE_OFN18919_FE_OFN18673_FE_OFN18040_n;
+   wire FE_OFN18917_FE_OFN18657_FE_OFN18038_n;
+   wire FE_OFN18915_FE_OFN18671_FE_OFN18042_n;
+   wire FE_OFN18913_FE_OFN18669_FE_OFN18032_n;
+   wire FE_OFN18911_FE_OFN18661_FE_OFN18036_n;
+   wire FE_OFN18909_FE_OFN18863_n;
+   wire FE_OFN18908_FE_OFN18663_FE_OFN18034_n;
+   wire FE_OFN18906_FE_OFN18866_n;
+   wire FE_OFN18905_FE_OFN18879_n;
+   wire FE_OFN18904_FE_OFN18875_n;
+   wire FE_OFN18903_FE_OFN18654_FE_OFN1897_n;
+   wire FE_OFN18902_FE_OFN18877_n;
+   wire FE_OFN18901_FE_OFN18887_n;
+   wire FE_OFN18900_FE_OFN18756_FE_OFN1885_n;
+   wire FE_RN_158_0;
+   wire FE_RN_157_0;
+   wire FE_RN_156_0;
+   wire FE_RN_155_0;
+   wire FE_RN_154_0;
+   wire FE_RN_153_0;
+   wire FE_RN_152_0;
+   wire FE_RN_151_0;
+   wire FE_RN_150_0;
+   wire FE_RN_149_0;
+   wire FE_RN_147_0;
+   wire FE_RN_146_0;
+   wire FE_RN_145_0;
+   wire FE_RN_144_0;
+   wire FE_RN_143_0;
+   wire FE_RN_142_0;
+   wire FE_RN_141_0;
+   wire FE_RN_139_0;
+   wire FE_RN_138_0;
+   wire FE_RN_137_0;
+   wire FE_RN_136_0;
+   wire FE_RN_135_0;
+   wire FE_RN_134_0;
+   wire FE_RN_133_0;
+   wire FE_RN_132_0;
+   wire FE_RN_130_0;
+   wire FE_RN_129_0;
+   wire FE_RN_127_0;
+   wire FE_RN_126_0;
+   wire FE_RN_124_0;
+   wire FE_RN_123_0;
    wire FE_RN_122_0;
+   wire FE_RN_121_0;
    wire FE_RN_120_0;
    wire FE_RN_119_0;
    wire FE_RN_118_0;
    wire FE_RN_117_0;
+   wire FE_RN_116_0;
+   wire FE_RN_115_0;
    wire FE_RN_114_0;
    wire FE_RN_113_0;
    wire FE_RN_112_0;
@@ -15367,20 +14747,23 @@
    wire FE_RN_110_0;
    wire FE_RN_109_0;
    wire FE_RN_108_0;
-   wire FE_RN_107_0;
    wire FE_RN_106_0;
    wire FE_RN_105_0;
-   wire FE_RN_104_0;
    wire FE_RN_103_0;
    wire FE_RN_102_0;
-   wire FE_RN_101_0;
+   wire FE_RN_99_0;
+   wire FE_RN_98_0;
+   wire FE_RN_97_0;
    wire FE_RN_96_0;
+   wire FE_RN_95_0;
    wire FE_RN_94_0;
    wire FE_RN_93_0;
    wire FE_RN_92_0;
    wire FE_RN_91_0;
    wire FE_RN_90_0;
+   wire FE_RN_89_0;
    wire FE_RN_88_0;
+   wire FE_RN_87_0;
    wire FE_RN_86_0;
    wire FE_RN_85_0;
    wire FE_RN_84_0;
@@ -15393,6 +14776,8 @@
    wire FE_RN_77_0;
    wire FE_RN_76_0;
    wire FE_RN_75_0;
+   wire FE_RN_74_0;
+   wire FE_RN_73_0;
    wire FE_RN_72_0;
    wire FE_RN_71_0;
    wire FE_RN_70_0;
@@ -15407,7 +14792,6 @@
    wire FE_RN_61_0;
    wire FE_RN_60_0;
    wire FE_RN_59_0;
-   wire FE_RN_58_0;
    wire FE_RN_57_0;
    wire FE_RN_56_0;
    wire FE_RN_55_0;
@@ -15434,6 +14818,8 @@
    wire FE_RN_34_0;
    wire FE_RN_33_0;
    wire FE_RN_32_0;
+   wire FE_RN_31_0;
+   wire FE_RN_30_0;
    wire FE_RN_29_0;
    wire FE_RN_28_0;
    wire FE_RN_27_0;
@@ -15443,18 +14829,22 @@
    wire FE_RN_23_0;
    wire FE_RN_22_0;
    wire FE_RN_21_0;
+   wire FE_RN_20_0;
+   wire FE_OCPN18895_n_11294;
+   wire FE_OCPN18894_n_11294;
+   wire FE_OCPN18892_FE_OFN18258_u_soc_u_top_u_core_pc_set;
+   wire FE_RN_19_0;
    wire FE_RN_18_0;
    wire FE_RN_17_0;
    wire FE_RN_16_0;
    wire FE_RN_15_0;
-   wire FE_OCPN19184_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156;
    wire FE_RN_14_0;
    wire FE_RN_13_0;
    wire FE_RN_12_0;
+   wire FE_RN_11_0;
    wire FE_RN_10_0;
    wire FE_RN_9_0;
    wire FE_RN_8_0;
-   wire FE_OCPN19183_n_10157;
    wire FE_RN_7_0;
    wire FE_RN_6_0;
    wire FE_RN_5_0;
@@ -15462,1516 +14852,1831 @@
    wire FE_RN_3_0;
    wire FE_RN_1_0;
    wire FE_RN_0_0;
-   wire FE_OFN19175_n;
-   wire FE_OFN19171_n;
-   wire FE_OFN19165_u_soc_u_iccm_rdata4_22;
-   wire FE_OFN19164_u_soc_u_dccm_rdata4_6;
-   wire FE_OFN19163_u_soc_u_dccm_rdata4_7;
-   wire FE_OFN19160_u_soc_u_iccm_rdata4_10;
-   wire FE_OFN19159_u_soc_u_dccm_rdata4_13;
-   wire FE_OFN19158_u_soc_u_dccm_rdata4_15;
-   wire FE_OFN19157_n;
-   wire FE_OFN19130_u_soc_u_iccm_rdata4_6;
-   wire FE_OFN19129_u_soc_u_iccm_rdata4_11;
-   wire FE_OFN19128_u_soc_u_iccm_rdata4_25;
-   wire FE_OFN19127_u_soc_u_dccm_rdata4_11;
-   wire FE_OFN19125_n;
-   wire FE_OFN19124_u_soc_u_dccm_rdata4_12;
-   wire FE_OFN19122_u_soc_u_dccm_rdata4_19;
-   wire FE_OFN19121_u_soc_u_iccm_rdata4_9;
-   wire FE_OFN19117_u_soc_u_iccm_rdata4_7;
-   wire FE_OFN19115_u_soc_u_dccm_rdata4_23;
-   wire FE_OFN19113_u_soc_u_dccm_rdata4_20;
-   wire FE_OFN19111_u_soc_u_dccm_rdata4_24;
-   wire FE_OFN19110_u_soc_u_dccm_rdata4_29;
-   wire FE_OFN19109_u_soc_u_dccm_rdata4_30;
-   wire FE_OFN19108_u_soc_u_dccm_rdata4_26;
-   wire FE_OFN19106_u_soc_u_dccm_rdata4_28;
-   wire FE_OFN19105_u_soc_u_dccm_rdata4_31;
-   wire FE_OFN19080_io_out_6;
-   wire FE_OFN19057_n;
-   wire FE_OFN19056_FE_OFN133_io_out_37;
-   wire FE_OFN19055_FE_OFN126_io_out_37;
-   wire FE_OFN19054_FE_OFN138_io_out_37;
-   wire FE_OFN19053_FE_OFN132_io_out_37;
-   wire FE_OFN19051_FE_OFN77_io_out_37;
-   wire FE_OFN19050_FE_OFN961_n_5348;
-   wire FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10;
-   wire FE_OFN19048_FE_OFN101_io_out_37;
-   wire FE_OFN19046_FE_OFN470_u_soc_instr_wdata_22;
-   wire FE_OFN19045_FE_OFN125_io_out_37;
-   wire FE_OFN19043_FE_OFN105_io_out_37;
-   wire FE_OFN19042_FE_OFN122_io_out_37;
-   wire FE_OFN19041_FE_OFN66_io_out_37;
-   wire FE_OFN19040_FE_OFN423_u_soc_instr_wdata_29;
-   wire FE_OFN19039_FE_OFN47_io_out_37;
-   wire FE_OFN19038_FE_OFN102_io_out_37;
-   wire FE_OFN19037_FE_OFN61_io_out_37;
-   wire FE_OFN19036_FE_OFN153_io_out_37;
-   wire FE_OFN19035_n_10718;
-   wire FE_OFN19034_FE_OFN103_io_out_37;
-   wire FE_OFN19031_FE_OFN79_io_out_37;
-   wire FE_OFN19030_FE_OFN465_u_soc_instr_wdata_23;
-   wire FE_OFN19029_FE_OFN96_io_out_37;
-   wire FE_OFN19028_FE_OFN123_io_out_37;
-   wire FE_OFN19027_FE_OFN70_io_out_37;
-   wire FE_OFN19025_io_oeb_35;
-   wire FE_OFN19024_FE_OFN124_io_out_37;
-   wire FE_OFN19022_u_soc_u_tcam_rdata_1;
-   wire FE_OFN19021_u_soc_u_tcam_rdata_4;
-   wire FE_OFN19020_FE_OFN233_u_soc_data_wdata_8;
-   wire FE_OFN19019_u_soc_u_dccm_rdata1_12;
-   wire FE_OFN19018_u_soc_u_iccm_rdata3_27;
-   wire FE_OFN19016_FE_OFN829_logic_0_9_net;
-   wire FE_OFN19015_u_soc_u_iccm_rdata3_19;
-   wire FE_OFN19014_u_soc_data_addr_6;
-   wire FE_OFN19011_u_soc_u_iccm_rdata3_11;
-   wire FE_OFN19010_u_soc_u_iccm_rdata3_20;
-   wire FE_OFN19009_u_soc_instr_we;
-   wire FE_OFN19008_u_soc_instr_we;
-   wire FE_OFN19005_FE_OFN823_logic_0_5_net;
-   wire FE_OFN19003_FE_OFN188_u_soc_data_wdata_29;
-   wire FE_OFN19002_u_soc_u_iccm_rdata4_26;
-   wire FE_OFN19001_FE_OFN17991_n;
-   wire FE_OFN18996_FE_OFN469_u_soc_instr_wdata_22;
-   wire FE_OFN18994_u_soc_u_tcam_rdata_2;
-   wire FE_OFN18993_FE_OFN17989_n;
-   wire FE_OFN18991_FE_OFN822_logic_0_4_net;
-   wire FE_OFN18989_FE_OFN18002_n;
-   wire FE_OFN18985_FE_OFN455_u_soc_instr_wdata_25;
-   wire FE_OFN18983_u_soc_u_iccm_rdata4_1;
-   wire FE_OFN18980_FE_OFN848_logic_0_22_net;
-   wire FE_OFN18974_n_10863;
-   wire FE_OFN18972_io_oeb_36;
-   wire FE_OFN18970_u_soc_u_dccm_rdata4_0;
-   wire FE_OFN18969_n_5850;
-   wire FE_OFN18968_n_2994;
-   wire FE_OFN18967_u_soc_u_iccm_rdata4_5;
-   wire FE_OFN18966_u_soc_u_iccm_rdata3_8;
-   wire FE_OFN18963_u_soc_u_iccm_rdata4_2;
-   wire FE_OFN18960_FE_OFN1721_n;
-   wire FE_OFN18959_io_in_28;
-   wire FE_OFN18958_u_soc_u_iccm_rdata3_31;
-   wire FE_OFN18955_FE_OFN582_u_soc_instr_wdata_3;
-   wire FE_OFN18952_io_in_5;
-   wire FE_OFN18950_FE_OFN500_u_soc_instr_wdata_18;
-   wire FE_OFN18943_FE_OFN820_logic_0_3_net;
-   wire FE_OFN18941_FE_OFN858_logic_0_31_net;
-   wire FE_OFN18939_u_soc_u_iccm_rdata3_6;
-   wire FE_OFN18937_FE_OFN426_u_soc_instr_wdata_29;
-   wire FE_OFN18933_u_soc_u_iccm_rdata3_25;
-   wire FE_OFN18927_FE_OFN846_logic_0_21_net;
-   wire FE_OFN18918_FE_OFN17998_n;
-   wire FE_OFN18915_FE_OFN17990_n;
-   wire FE_OFN18907_FE_OFN17999_n;
-   wire FE_OFN18903_u_soc_u_dccm_rdata2_27;
-   wire FE_OFN18900_u_soc_u_iccm_rdata4_15;
-   wire FE_OFN18898_u_soc_u_iccm_rdata3_4;
-   wire FE_OFN18897_u_soc_u_dccm_rdata2_19;
-   wire FE_OFN18893_u_soc_u_iccm_rdata4_4;
-   wire FE_OFN18891_u_soc_u_iccm_rdata3_3;
-   wire FE_OFN18888_FE_OFN17996_n;
-   wire FE_OFN18886_u_soc_u_iccm_rdata4_12;
-   wire FE_OFN18884_u_soc_u_iccm_rdata4_3;
-   wire FE_OFN18879_u_soc_u_dccm_rdata4_21;
-   wire FE_OFN18873_FE_OFN1125_u_soc_data_we;
-   wire FE_OFN18872_FE_OFN1125_u_soc_data_we;
-   wire FE_OFN18871_u_soc_u_dccm_rdata4_18;
-   wire FE_OFN18867_u_soc_u_iccm_rdata3_9;
-   wire FE_OFN18866_u_soc_u_dccm_rdata4_27;
-   wire FE_OFN18860_u_soc_u_iccm_rdata3_23;
-   wire FE_OFN18857_u_soc_u_dccm_rdata2_18;
-   wire FE_OFN18846_FE_OFN17994_n;
-   wire FE_OFN18844_FE_OFN17995_n;
-   wire FE_OFN18842_n_2041;
-   wire FE_OFN18841_u_soc_u_dccm_rdata2_15;
-   wire FE_OFN18838_u_soc_u_dccm_rdata4_2;
-   wire FE_OFN18836_u_soc_u_dccm_rdata2_20;
-   wire FE_OFN18830_FE_OFN18000_n;
-   wire FE_OFN18822_u_soc_u_dccm_rdata2_2;
-   wire FE_OFN18821_u_soc_u_tcam_rdata_5;
-   wire FE_OFN18816_u_soc_u_dccm_rdata2_21;
-   wire FE_OFN18811_FE_OFN546_u_soc_instr_wdata_11;
-   wire FE_OFN18807_FE_OFN453_u_soc_instr_wdata_25;
-   wire FE_OFN18806_FE_OFN827_logic_0_8_net;
-   wire FE_OFN18804_FE_OFN17997_n;
-   wire FE_OFN18799_FE_OFN1731_n;
-   wire FE_OFN18792_FE_OFN527_u_soc_instr_wdata_14;
-   wire FE_OFN18789_FE_OFN18004_n;
-   wire FE_OFN18785_FE_OFN260_u_soc_data_wdata_4;
-   wire FE_OFN18780_n_8757;
-   wire FE_OFN18779_FE_OFN868_logic_0_54_net;
-   wire FE_OFN18771_FE_OFN433_u_soc_instr_wdata_28;
-   wire FE_OFN18768_FE_OFN1126_u_soc_data_we;
-   wire FE_OFN18754_FE_OFN1702_n;
-   wire FE_OFN18748_FE_OFN244_u_soc_data_wdata_6;
-   wire FE_OFN18747_FE_OFN244_u_soc_data_wdata_6;
-   wire FE_OFN18739_FE_OFN568_u_soc_instr_wdata_7;
-   wire FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4;
-   wire FE_OFN18737_FE_OFN1279_u_soc_instr_wdata_4;
-   wire FE_OFN18736_FE_OFN1279_u_soc_instr_wdata_4;
-   wire FE_OFN18735_FE_OFN1279_u_soc_instr_wdata_4;
-   wire FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5;
-   wire FE_OFN18733_FE_OFN1276_u_soc_instr_wdata_5;
-   wire FE_OFN18732_FE_OFN1276_u_soc_instr_wdata_5;
-   wire FE_OFN18731_FE_OFN1276_u_soc_instr_wdata_5;
-   wire FE_OFN18729_FE_OFN1233_u_soc_data_wdata_26;
-   wire FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26;
-   wire FE_OFN18725_FE_OFN1233_u_soc_data_wdata_26;
-   wire FE_OFN18724_FE_OFN1241_u_soc_data_wdata_24;
-   wire FE_OFN18722_FE_OFN1241_u_soc_data_wdata_24;
-   wire FE_OFN18721_FE_OFN1241_u_soc_data_wdata_24;
-   wire FE_OFN18720_FE_OFN1289_u_soc_instr_wdata_0;
-   wire FE_OFN18719_FE_OFN1289_u_soc_instr_wdata_0;
-   wire FE_OFN18718_FE_OFN1289_u_soc_instr_wdata_0;
-   wire FE_OFN18717_FE_OFN1289_u_soc_instr_wdata_0;
-   wire FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27;
-   wire FE_OFN18715_FE_OFN1230_u_soc_data_wdata_27;
-   wire FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27;
-   wire FE_OFN18712_FE_OFN1230_u_soc_data_wdata_27;
-   wire FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25;
-   wire FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25;
-   wire FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25;
-   wire FE_OFN18707_FE_OFN1237_u_soc_data_wdata_25;
-   wire FE_OFN18704_FE_OFN1264_u_soc_data_wdata_9;
-   wire FE_OFN18703_FE_OFN1264_u_soc_data_wdata_9;
-   wire FE_OFN18702_FE_OFN1264_u_soc_data_wdata_9;
-   wire FE_OFN18700_FE_OFN1264_u_soc_data_wdata_9;
-   wire FE_OFN18699_FE_OFN1264_u_soc_data_wdata_9;
-   wire FE_OFN18696_u_soc_data_wdata_13;
-   wire FE_OFN18695_u_soc_data_wdata_13;
-   wire FE_OFN18694_u_soc_data_wdata_13;
-   wire FE_OFN18693_u_soc_data_wdata_13;
-   wire FE_OFN18692_u_soc_data_wdata_13;
-   wire FE_OFN18691_u_soc_data_wdata_13;
-   wire FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0;
-   wire FE_OFN18687_FE_OFN1317_u_soc_data_wmask_0;
-   wire FE_OFN18685_FE_OFN1317_u_soc_data_wmask_0;
-   wire FE_OFN18683_FE_OFN1317_u_soc_data_wmask_0;
-   wire FE_OFN18682_u_soc_data_wdata_12;
-   wire FE_OFN18681_u_soc_data_wdata_12;
-   wire FE_OFN18680_u_soc_data_wdata_12;
-   wire FE_OFN18678_u_soc_data_wdata_12;
-   wire FE_OFN18677_u_soc_data_wdata_12;
-   wire FE_OFN18676_u_soc_data_wdata_12;
-   wire FE_OFN18675_u_soc_data_wdata_11;
-   wire FE_OFN18674_u_soc_data_wdata_11;
-   wire FE_OFN18672_u_soc_data_wdata_11;
-   wire FE_OFN18671_u_soc_data_wdata_11;
-   wire FE_OFN18670_u_soc_data_wdata_11;
-   wire FE_OFN18667_u_soc_data_wdata_10;
-   wire FE_OFN18666_u_soc_data_wdata_10;
-   wire FE_OFN18665_u_soc_data_wdata_10;
-   wire FE_OFN18663_u_soc_data_wdata_10;
-   wire FE_OFN18662_u_soc_data_wdata_10;
-   wire FE_OFN18661_u_soc_data_wdata_10;
-   wire FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1;
-   wire FE_OFN18656_FE_OFN1312_u_soc_data_wmask_1;
-   wire FE_OFN18654_FE_OFN1312_u_soc_data_wmask_1;
-   wire FE_OFN18652_u_soc_data_wmask_3;
-   wire FE_OFN18650_u_soc_data_wmask_3;
-   wire FE_OFN18649_u_soc_data_wmask_3;
-   wire FE_OFN18646_u_soc_data_wmask_3;
-   wire FE_OFN18645_u_soc_u_top_u_core_instr_rdata_id_12;
-   wire FE_OFN18644_u_soc_u_top_u_core_instr_rdata_id_12;
-   wire FE_OFN18642_n_11516;
-   wire FE_OFN18641_n_11563;
-   wire FE_OFN18640_n_15996;
-   wire FE_OFN18639_n_12257;
-   wire FE_OFN18637_n_15;
-   wire FE_OFN18636_n_11393;
-   wire FE_OFN18635_n;
-   wire FE_OFN18631_n;
-   wire FE_OFN18627_n_495;
-   wire FE_OFN18625_n_11552;
-   wire FE_OFN18623_n_11748;
-   wire FE_OFN18622_n_11474;
+   wire FE_OFN18888_n;
+   wire FE_OFN3119_u_soc_u_tcam_rdata_5;
+   wire FE_OFN18881_n;
+   wire FE_OFN18880_n;
+   wire FE_OFN18876_n;
+   wire FE_OFN18873_n;
+   wire FE_OFN18872_n;
+   wire FE_OFN18870_n;
+   wire FE_OFN18868_n;
+   wire FE_OFN18859_n;
+   wire FE_OFN18856_n;
+   wire FE_OFN18851_n;
+   wire FE_OFN18848_n;
+   wire FE_OFN18847_n;
+   wire FE_OFN18846_n;
+   wire FE_OFN18845_n;
+   wire FE_OFN18844_n;
+   wire FE_OFN18843_n;
+   wire FE_OFN18842_n;
+   wire FE_OFN18841_n;
+   wire FE_OFN18838_n;
+   wire FE_OFN18826_FE_OFN27_io_out_37;
+   wire FE_OFN18825_FE_OFN1445_n_1407;
+   wire FE_OFN18823_FE_OFN126_io_out_37;
+   wire FE_OFN18821_FE_OFN96_io_out_37;
+   wire FE_OFN18820_FE_OFN75_io_out_37;
+   wire FE_OFN18819_FE_OFN77_io_out_37;
+   wire FE_OFN18816_FE_OFN30_io_out_37;
+   wire FE_OFN18815_FE_OFN426_u_soc_u_dccm_rdata1_13;
+   wire FE_OFN18813_n_12477;
+   wire FE_OFN18812_FE_OFN146_io_out_37;
+   wire FE_OFN18811_FE_OFN26_io_out_37;
+   wire FE_OFN3116_n_15;
+   wire FE_OFN18810_FE_OFN85_io_out_37;
+   wire FE_OFN18809_FE_OFN117_io_out_37;
+   wire FE_OFN18808_FE_OFN154_io_out_37;
+   wire FE_OFN18807_FE_OFN115_io_out_37;
+   wire FE_OFN18806_FE_OFN74_io_out_37;
+   wire FE_OFN18805_FE_OFN139_io_out_37;
+   wire FE_OFN18804_FE_OFN80_io_out_37;
+   wire FE_OFN18803_FE_OFN76_io_out_37;
+   wire FE_OFN18802_FE_OFN88_io_out_37;
+   wire FE_OFN18801_FE_OFN137_io_out_37;
+   wire FE_OFN18800_FE_OFN90_io_out_37;
+   wire FE_OFN18798_FE_OFN118_io_out_37;
+   wire FE_OFN18797_FE_OFN132_io_out_37;
+   wire FE_OFN18796_FE_OFN87_io_out_37;
+   wire FE_OFN18795_FE_OFN939_u_soc_data_wmask_0;
+   wire FE_OFN18794_FE_OFN25_io_out_37;
+   wire FE_OFN18793_FE_OFN341_u_soc_data_wdata_6;
+   wire FE_OFN18792_FE_OFN119_io_out_37;
+   wire FE_OFN18789_FE_OFN120_io_out_37;
+   wire FE_OFN18788_FE_OFN1717_u_soc_xbar_to_dccm_a_data__7;
+   wire FE_OFN18786_FE_OFN1898_n;
+   wire FE_OFN18785_FE_OFN1899_n;
+   wire FE_OFN18784_FE_OFN1901_n;
+   wire FE_OFN18782_FE_OFN18008_n;
+   wire FE_OFN18781_FE_OFN1905_n;
+   wire FE_OFN18780_FE_OFN17995_n;
+   wire FE_OFN18778_FE_OFN18010_n;
+   wire FE_OFN18777_FE_OFN18066_n;
+   wire FE_OFN18776_io_in_27;
+   wire FE_OFN18774_FE_OFN1721_u_soc_xbar_to_dccm_a_data__5;
+   wire FE_OFN18773_FE_OFN18011_n;
+   wire FE_OFN18771_FE_OFN18013_n;
+   wire FE_OFN18767_FE_OFN18069_n;
+   wire FE_OFN18765_FE_OFN17985_n;
+   wire FE_OFN18764_FE_OFN17987_n;
+   wire FE_OFN18755_FE_OFN18001_n;
+   wire FE_OFN18751_FE_OFN422_u_soc_u_dccm_rdata1_15;
+   wire FE_OFN18750_io_in_28;
+   wire FE_OFN18749_FE_OFN17988_n;
+   wire FE_OFN18747_logic_0_16_net;
+   wire FE_OFN18745_FE_OFN17991_n;
+   wire FE_OFN18744_FE_OFN17992_n;
+   wire FE_OFN18743_io_in_29;
+   wire FE_OFN18742_io_in_5;
+   wire FE_OFN18741_FE_OFN17997_n;
+   wire FE_OFN18740_FE_OFN18000_n;
+   wire FE_OFN18739_FE_OFN17999_n;
+   wire FE_OFN18738_FE_OFN1274_n_17982;
+   wire FE_OFN18737_FE_OFN17996_n;
+   wire FE_OFN18735_FE_OFN1723_u_soc_xbar_to_dccm_a_data__3;
+   wire FE_OFN18734_logic_0_18_net;
+   wire FE_OFN18732_FE_OFN408_u_soc_u_dccm_rdata1_22;
+   wire FE_OFN18730_u_soc_u_dccm_rdata4_29;
+   wire FE_OFN18726_u_soc_uart_to_xbar_d_data__9;
+   wire FE_OFN18725_n_5828;
+   wire FE_OFN18721_FE_OFN17983_n;
+   wire FE_OFN18720_FE_OFN18070_n;
+   wire FE_OFN18719_FE_OFN754_u_soc_u_iccm_rdata1_19;
+   wire FE_OFN18718_FE_OFN412_u_soc_u_dccm_rdata1_20;
+   wire FE_OFN18717_logic_0_47_net;
+   wire FE_OFN18715_FE_OFN414_u_soc_u_dccm_rdata1_19;
+   wire FE_OFN18714_n_7793;
+   wire FE_OFN18712_FE_OFN420_u_soc_u_dccm_rdata1_16;
+   wire FE_OFN18711_n_5846;
+   wire FE_OFN18708_u_soc_u_dccm_rdata4_24;
+   wire FE_OFN18695_n_5852;
+   wire FE_OFN18694_u_soc_u_tcam_rdata_4;
+   wire FE_OFN18692_FE_OFN386_u_soc_data_wdata_0;
+   wire FE_OFN18689_u_soc_u_tcam_rdata_1;
+   wire FE_OFN18686_FE_OFN720_u_soc_instr_wdata_2;
+   wire FE_OFN18683_FE_OFN1889_n;
+   wire FE_OFN18653_u_soc_u_tcam_rdata_3;
+   wire FE_OFN18652_u_soc_u_tcam_rdata_2;
+   wire FE_OFN18649_u_soc_u_tcam_rdata_0;
+   wire FE_OFN18645_FE_OFN18074_n;
+   wire FE_OFN18644_FE_OFN18074_n;
+   wire FE_OFN18643_FE_OFN18074_n;
+   wire FE_OFN18638_FE_OFN18062_n;
+   wire FE_OFN18636_FE_OFN18062_n;
+   wire FE_OFN18634_FE_OFN18058_n;
+   wire FE_OFN18632_FE_OFN18058_n;
+   wire FE_OFN18631_n_11763;
+   wire FE_OFN18630_u_soc_u_top_u_core_instr_rdata_id_17;
+   wire FE_OFN18629_n_11558;
+   wire FE_OFN18628_n_11472;
+   wire FE_OFN18626_n_15862;
+   wire FE_OFN18623_n;
+   wire FE_OFN18622_n;
+   wire FE_OFN18621_n;
+   wire FE_OFN18620_n;
+   wire FE_OFN18619_n;
    wire FE_OFN18615_n;
-   wire FE_OFN18614_n_11761;
-   wire FE_OFN18613_n;
-   wire FE_OFN18611_n_11472;
-   wire FE_OFN18606_n_13339;
-   wire FE_OFN18604_n_11479;
-   wire FE_OFN18603_n_15870;
-   wire FE_OFN18601_n_11564;
-   wire FE_OFN18600_n_15998;
-   wire FE_OFN18599_n_15998;
-   wire FE_OFN18597_n_11754;
-   wire FE_OFN18594_n;
-   wire FE_OFN18593_n_11626;
-   wire FE_OFN18592_n_11561;
-   wire FE_OFN18589_n_11757;
-   wire FE_OFN18588_n_11757;
-   wire FE_OFN18587_n_11762;
-   wire FE_OFN18586_n_11762;
-   wire FE_OFN18585_n_15872;
-   wire FE_OFN18584_n_15872;
-   wire FE_OFN18583_n_11552;
-   wire FE_OFN18579_n_15859;
-   wire FE_OFN18577_n_11054;
-   wire FE_OFN18575_n_15869;
-   wire FE_OFN18574_n_15869;
-   wire FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0;
-   wire FE_OFN18570_n_15858;
-   wire FE_OFN18567_n_11513;
-   wire FE_OFN18565_n;
-   wire FE_OFN18563_n_15867;
-   wire FE_OFN18562_n_15867;
-   wire FE_OFN18561_n_11517;
-   wire FE_OFN18560_n_11517;
-   wire FE_OFN18559_n_15861;
-   wire FE_OFN18558_n_15861;
-   wire FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0;
-   wire FE_OFN18554_n_15860;
-   wire FE_OFN18553_n_15856;
-   wire FE_OFN18552_n_15995;
-   wire FE_OFN18551_n_11518;
-   wire FE_OFN18550_n_11418;
-   wire FE_OFN18549_n_12256;
-   wire FE_OFN18547_n_11593;
-   wire FE_OFN18546_n_15866;
-   wire FE_OFN18545_u_soc_u_top_u_core_instr_rdata_id_14;
-   wire FE_OFN18543_n_11550;
-   wire FE_OFN18542_n_11550;
-   wire FE_OFN18537_n_15857;
-   wire FE_OFN18536_n_15862;
-   wire FE_OFN18535_n;
+   wire FE_OFN18612_n;
+   wire FE_OFN18609_n_12260;
+   wire FE_OFN18607_n_15997;
+   wire FE_OFN18604_n;
+   wire FE_OFN18603_n_11770;
+   wire FE_OFN18602_n;
+   wire FE_OFN18601_n;
+   wire FE_OFN18599_n;
+   wire FE_OFN18589_n_11625;
+   wire FE_OFN18587_n;
+   wire FE_OFN18585_n;
+   wire FE_OFN18583_n;
+   wire FE_OFN18580_n;
+   wire FE_OFN18577_n_15995;
+   wire FE_OFN18576_n_13358;
+   wire FE_OFN18575_n_13358;
+   wire FE_OFN18574_n_11748;
+   wire FE_OFN18573_n_11626;
+   wire FE_OFN18572_n_11555;
+   wire FE_OFN18571_n_15861;
+   wire FE_OFN18570_n_11554;
+   wire FE_OFN18569_n_15867;
+   wire FE_OFN18568_n_11415;
+   wire FE_OFN18565_n_15869;
+   wire FE_OFN18564_n;
+   wire FE_OFN18562_n_15866;
+   wire FE_OFN18561_n_11763;
+   wire FE_OFN18560_n_11756;
+   wire FE_OFN18559_n;
+   wire FE_OFN18558_u_soc_u_iccm_bank_sel_1;
+   wire FE_OFN18556_n_16001;
+   wire FE_OFN18555_n_15999;
+   wire FE_OFN18549_u_soc_u_top_u_core_multdiv_operator_ex_1;
+   wire FE_OFN18547_u_soc_u_top_u_core_instr_rdata_id_19;
+   wire FE_OFN18546_n_11443;
+   wire FE_OFN18544_n_11152;
+   wire FE_OFN18543_n_15998;
+   wire FE_OFN18538_n_15867;
+   wire FE_OFN18537_n_11418;
    wire FE_OFN18534_n;
-   wire FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22;
-   wire FE_OFN18526_n_11553;
-   wire FE_OFN18524_n_11393;
-   wire FE_OFN18523_u_soc_u_top_u_core_instr_rdata_id_15;
-   wire FE_OFN18521_n_11473;
-   wire FE_OFN18520_n_11473;
-   wire FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7;
-   wire FE_OFN18511_n_11423;
-   wire FE_OFN18510_n_11423;
-   wire FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10;
-   wire FE_OFN18507_n_13391;
-   wire FE_OFN18506_n_16001;
-   wire FE_OFN18505_n_16001;
-   wire FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5;
-   wire FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6;
-   wire FE_OFN18501_n;
-   wire FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2;
-   wire FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9;
-   wire FE_OFN18496_n;
-   wire FE_OFN18495_n_13389;
-   wire FE_OFN18494_n_11476;
-   wire FE_OFN18493_n_11476;
-   wire FE_OFN18492_n_13420;
-   wire FE_OFN18490_n_11428;
-   wire FE_OFN18488_n_15943;
-   wire FE_OFN18487_n_13386;
-   wire FE_OFN18485_n_11130;
-   wire FE_OFN18484_n_13416;
-   wire FE_OFN18483_n_11556;
-   wire FE_OFN18480_n_11558;
-   wire FE_OFN18479_u_soc_xbar_to_dccm_a_address__10;
-   wire FE_OFN18478_n_12260;
-   wire FE_OFN18476_n_12260;
-   wire FE_OFN18475_n_11756;
-   wire FE_OFN18473_n_11421;
-   wire FE_OFN18472_n_11421;
-   wire FE_OFN18471_n_15860;
-   wire FE_OFN18470_n_11259;
-   wire FE_OFN18469_n_11259;
-   wire FE_OFN18466_n_11471;
-   wire FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26;
-   wire FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0;
-   wire FE_OFN18456_n_16000;
-   wire FE_OFN18454_n_15997;
-   wire FE_OFN18453_n_11425;
-   wire FE_OFN18451_n_11355;
-   wire FE_OFN18450_n_11751;
-   wire FE_OFN18449_n_15945;
-   wire FE_OFN18446_u_soc_u_top_u_core_pc_set;
-   wire FE_OFN18445_n_15897;
-   wire FE_OFN18443_n_13363;
-   wire FE_OFN18442_n_112;
-   wire FE_OFN18440_n_11765;
-   wire FE_OFN18439_u_soc_u_top_u_core_instr_rdata_id_3;
-   wire FE_OFN18437_n_11563;
-   wire FE_OFN18434_n_11559;
-   wire FE_OFN18433_n_15870;
-   wire FE_OFN18432_n_11554;
-   wire FE_OFN18431_n_11474;
-   wire FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8;
-   wire FE_OFN18428_n_7770;
-   wire FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1;
-   wire FE_OFN18426_n_16003;
-   wire FE_OFN18425_n_15868;
-   wire FE_OFN18424_n_7771;
-   wire FE_OFN18423_n_11152;
-   wire FE_OFN18422_n_11555;
-   wire FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13;
-   wire FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_5;
-   wire FE_OFN18414_n;
-   wire FE_OFN18412_n;
-   wire FE_OFN18407_n_15871;
-   wire FE_OFN18401_u_soc_u_top_u_core_rf_wdata_fwd_wb_20;
-   wire FE_OFN18397_n_15865;
-   wire FE_OFN18396_n_15865;
-   wire FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0;
-   wire FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0;
-   wire FE_OFN18385_n_11514;
-   wire FE_OFN18384_n_11514;
-   wire FE_OFN18381_n_11769;
-   wire FE_OFN18379_n_11258;
-   wire FE_OFN18378_n_11176;
-   wire FE_OFN18377_n_16005;
-   wire FE_OFN18371_u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_1;
-   wire FE_OFN18367_n;
-   wire FE_OFN18366_n_11593;
-   wire FE_OFN18361_n;
+   wire FE_OFN18533_n_11475;
+   wire FE_OFN18532_n;
+   wire FE_OFN18531_n_15861;
+   wire FE_OFN18529_n;
+   wire FE_OFN18527_n;
+   wire FE_OFN18523_n_11479;
+   wire FE_OFN18522_n_11555;
+   wire FE_OFN18520_n;
+   wire FE_OFN18519_n_10344;
+   wire FE_OFN18518_n_11423;
+   wire FE_OFN18517_n_11423;
+   wire FE_OFN18516_n_15859;
+   wire FE_OFN18515_n_15859;
+   wire FE_OFN18512_n_11754;
+   wire FE_OFN18511_n;
+   wire FE_OFN18510_n;
+   wire FE_OFN18506_n_16003;
+   wire FE_OFN18505_n_16003;
+   wire FE_OFN18503_n_11517;
+   wire FE_OFN18502_n_15858;
+   wire FE_OFN18501_n_15870;
+   wire FE_OFN18500_n_15870;
+   wire FE_OFN18498_n_16000;
+   wire FE_OFN18497_n_16000;
+   wire FE_OFN18495_n_11154;
+   wire FE_OFN18493_n_15996;
+   wire FE_OFN18485_n_11428;
+   wire FE_OFN18484_n_11428;
+   wire FE_OFN18480_n_11415;
+   wire FE_OFN18477_n_11751;
+   wire FE_OFN18476_n_11476;
+   wire FE_OFN18475_n_11476;
+   wire FE_OFN18474_n_15995;
+   wire FE_OFN18472_u_soc_u_top_u_core_alu_operand_b_ex_11;
+   wire FE_OFN18467_n_11425;
+   wire FE_OFN18465_n_11563;
+   wire FE_OFN18464_n_11563;
+   wire FE_OFN18463_n_11517;
+   wire FE_OFN18460_n_11393;
+   wire FE_OFN18459_n;
+   wire FE_OFN18458_n_11557;
+   wire FE_OFN18457_n_10523;
+   wire FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7;
+   wire FE_OFN18451_u_soc_u_top_u_core_multdiv_operator_ex_0;
+   wire FE_OFN18450_n_13387;
+   wire FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5;
+   wire FE_OFN18448_n_11556;
+   wire FE_OFN18447_n_11515;
+   wire FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2;
+   wire FE_OFN18445_n_11561;
+   wire FE_OFN18444_n_15999;
+   wire FE_OFN18442_n_11513;
+   wire FE_OFN18441_u_soc_u_top_u_core_instr_rdata_id_20;
+   wire FE_OFN18439_n_13386;
+   wire FE_OFN18438_n_11480;
+   wire FE_OFN18437_n_11480;
+   wire FE_OFN18436_n_11130;
+   wire FE_OFN18435_n_15871;
+   wire FE_OFN18434_n_15871;
+   wire FE_OFN18433_n_13416;
+   wire FE_OFN18432_n_15943;
+   wire FE_OFN18431_n_13388;
+   wire FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0;
+   wire FE_OFN18429_n_16004;
+   wire FE_OFN18428_n;
+   wire FE_OFN18427_n_13390;
+   wire FE_OFN18426_n_13420;
+   wire FE_OFN18422_n_11156;
+   wire FE_OFN18419_n_15883;
+   wire FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_12;
+   wire FE_OFN18408_u_soc_lsu_to_xbar_a_address__17;
+   wire FE_OFN18407_n_669;
+   wire FE_OFN18406_u_soc_u_top_u_core_rf_waddr_wb_1;
+   wire FE_OFN18405_u_soc_u_top_u_core_rf_waddr_wb_1;
+   wire FE_OFN18404_n_15945;
+   wire FE_OFN18403_u_soc_u_top_u_core_rf_waddr_wb_2;
+   wire FE_OFN18402_u_soc_u_top_u_core_rf_waddr_wb_2;
+   wire FE_OFN18400_u_soc_u_top_u_core_alu_operator_ex_5;
+   wire FE_OFN18398_n_11421;
+   wire FE_OFN18397_n_11421;
+   wire FE_OFN18396_n_11421;
+   wire FE_OFN18393_n_10564;
+   wire FE_OFN18390_u_soc_xbar_to_dccm_a_address__7;
+   wire FE_OFN18387_n_15897;
+   wire FE_OFN18386_n_15897;
+   wire FE_OFN18385_n_15897;
+   wire FE_OFN18383_n_638;
+   wire FE_OFN18382_n_11593;
+   wire FE_OFN18379_u_soc_lsu_to_xbar_a_address__30;
+   wire FE_OFN18378_u_soc_lsu_to_xbar_a_address__30;
+   wire FE_OFN18376_n_13363;
+   wire FE_OFN18372_n_15997;
+   wire FE_OFN18371_n_116;
+   wire FE_OFN18370_n_112;
+   wire FE_OFN18369_n_15872;
+   wire FE_OFN18367_n_15858;
+   wire FE_OFN18366_n_15856;
+   wire FE_OFN18365_n_11516;
+   wire FE_OFN18364_n_11762;
+   wire FE_OFN18363_n_15857;
+   wire FE_OFN18362_u_soc_u_top_u_core_alu_adder_result_ex_1;
    wire FE_OFN18360_n;
-   wire FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18;
-   wire FE_OFN18356_n_11313;
-   wire FE_OFN18350_n_9277;
-   wire FE_OFN18335_n_11415;
-   wire FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0;
-   wire FE_OFN18328_n_7607;
-   wire FE_OFN18326_n_13495;
-   wire FE_OFN18324_n_3074;
-   wire FE_OFN18323_n_3074;
-   wire FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11;
-   wire FE_OFN18321_n_6708;
-   wire FE_OFN18320_n_6708;
-   wire FE_OFN18319_n_13103;
-   wire FE_OFN18318_n_15946;
-   wire FE_OFN18317_n_15999;
-   wire FE_OFN18316_n_15999;
-   wire FE_OFN18315_n_13425;
-   wire FE_OFN18313_n_1223;
-   wire FE_OFN18312_n_13393;
-   wire FE_OFN18310_n_11515;
-   wire FE_OFN18306_n_15887;
-   wire FE_OFN18302_n_6869;
-   wire FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1;
-   wire FE_OFN18299_n_15911;
-   wire FE_OFN18298_n_11208;
-   wire FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2;
-   wire FE_OFN18296_n_11421;
-   wire FE_OFN18295_u_soc_u_top_u_core_rf_waddr_wb_3;
-   wire FE_OFN18294_n_13073;
-   wire FE_OFN18292_n_15857;
-   wire FE_OFN18290_n_11557;
-   wire FE_OFN18287_n_15881;
-   wire FE_OFN18286_n_2984;
-   wire FE_OFN18285_n_2984;
-   wire FE_OFN18283_n_15869;
-   wire FE_OFN18282_n_8333;
-   wire FE_OFN18279_n_16012;
-   wire FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24;
-   wire FE_OFN18277_n_13339;
-   wire FE_OFN18276_u_soc_xbar_to_dccm_a_address__3;
-   wire FE_OFN18274_n_2418;
-   wire FE_OFN18273_u_soc_lsu_to_xbar_a_address__20;
-   wire FE_OFN18272_n_15882;
-   wire FE_OFN18271_n_11152;
-   wire FE_OFN18270_u_soc_xbar_to_dccm_a_address__2;
-   wire FE_OFN18268_n_11746;
-   wire FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23;
-   wire FE_OFN18264_n_7388;
-   wire FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19;
-   wire FE_OFN18262_u_soc_u_top_u_core_instr_rdata_id_4;
-   wire FE_OFN18261_u_soc_u_top_u_core_instr_rdata_id_4;
-   wire FE_OFN18260_n_13085;
-   wire FE_OFN18258_n_7382;
-   wire FE_OFN18257_n_619;
-   wire FE_OFN18252_n_625;
-   wire FE_OFN18250_n_183;
-   wire FE_OFN18249_n_183;
-   wire FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31;
-   wire FE_OFN18247_u_soc_u_top_u_core_instr_rdata_id_31;
-   wire FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1;
-   wire FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1;
-   wire FE_OFN18244_n_7619;
-   wire FE_OFN18243_n_11259;
-   wire FE_OFN18242_n_11626;
-   wire FE_OFN18240_n_2420;
-   wire FE_OFN18239_u_soc_lsu_to_xbar_a_address__29;
-   wire FE_OFN18238_n_13069;
-   wire FE_OFN18237_n_2678;
-   wire FE_OFN18236_n_2678;
-   wire FE_OFN18235_n_130;
-   wire FE_OFN18234_n_113;
-   wire FE_OFN18232_u_soc_dccm_adapter_data_weD;
-   wire FE_OFN18230_n_3080;
-   wire FE_OFN18227_n_1854;
-   wire FE_OFN18226_n_639;
-   wire FE_OFN18225_n_616;
-   wire FE_OFN18223_n_109;
-   wire FE_OFN18222_u_soc_lsu_to_xbar_a_address__28;
-   wire FE_OFN18221_n_2674;
-   wire FE_OFN18220_n_119;
-   wire FE_OFN18219_n_6709;
-   wire FE_OFN18215_n_116;
-   wire FE_OFN18214_n_135;
-   wire FE_OFN18211_n_620;
-   wire FE_OFN18208_n_2676;
-   wire FE_OFN18207_n_11218;
-   wire FE_OFN18206_n_638;
-   wire FE_OFN18204_n_2680;
-   wire FE_OFN18203_n_7609;
-   wire FE_OFN18202_n_7609;
-   wire FE_OFN18200_n_15897;
-   wire FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal;
-   wire FE_OFN18196_n_13380;
-   wire FE_OFN18195_u_soc_lsu_to_xbar_a_address__31;
-   wire FE_OFN18192_n;
-   wire FE_OFN18191_n;
-   wire FE_OFN18190_n;
-   wire FE_OFN18189_n;
-   wire FE_OFN18188_n;
-   wire FE_OFN18185_u_soc_u_tcam_rdata_0;
-   wire FE_OFN18183_u_soc_u_tcam_rdata_3;
-   wire FE_OFN18150_u_soc_u_iccm_rdata3_5;
-   wire FE_OFN18148_u_soc_u_iccm_rdata3_7;
-   wire FE_OFN18133_u_soc_u_iccm_rdata3_22;
-   wire FE_OFN18064_u_soc_u_dccm_rdata3_7;
-   wire FE_OFN18062_u_soc_u_dccm_rdata3_9;
-   wire FE_OFN18061_u_soc_u_dccm_rdata3_10;
-   wire FE_OFN18060_u_soc_u_dccm_rdata3_11;
-   wire FE_OFN18055_u_soc_u_dccm_rdata3_16;
-   wire FE_OFN18054_u_soc_u_dccm_rdata3_17;
-   wire FE_OFN18052_u_soc_u_dccm_rdata3_19;
-   wire FE_OFN18051_u_soc_u_dccm_rdata3_20;
-   wire FE_OFN18050_u_soc_u_dccm_rdata3_21;
-   wire FE_OFN18049_u_soc_u_dccm_rdata3_22;
-   wire FE_OFN18028_n;
-   wire FE_OFN18027_n;
-   wire FE_OFN18026_n;
-   wire FE_OFN18025_n;
-   wire FE_OFN18019_n;
-   wire FE_OFN18003_n;
-   wire FE_OFN18001_n;
-   wire FE_OFN1763_n;
-   wire FE_OFN1758_n;
-   wire FE_OFN1757_n;
-   wire FE_OFN1755_n;
-   wire FE_OFN1753_n;
-   wire FE_OFN1752_n;
-   wire FE_OFN1751_n;
-   wire FE_OFN1749_n;
-   wire FE_OFN1748_n;
-   wire FE_OFN1747_n;
-   wire FE_OFN1745_n;
-   wire FE_OFN1743_n;
-   wire FE_OFN1742_n;
-   wire FE_OFN1740_n;
-   wire FE_OFN1738_n;
-   wire FE_OFN1737_n;
-   wire FE_OFN1736_n;
-   wire FE_OFN1735_n;
-   wire FE_OFN1733_n;
-   wire FE_OFN1732_n;
-   wire FE_OFN1730_n;
-   wire FE_OFN1728_n;
-   wire FE_OFN1725_n;
-   wire FE_OFN1722_n;
-   wire FE_OFN1681_n_3075;
-   wire FE_OFN1680_n_3074;
-   wire FE_OFN1679_n_8544;
-   wire FE_OFN1678_n_8447;
-   wire FE_OFN1677_n_8287;
-   wire FE_OFN1676_n_8285;
-   wire FE_OFN1675_n_8283;
-   wire FE_OFN1674_n_8085;
-   wire FE_OFN1673_n_8083;
-   wire FE_OFN1672_n_8081;
-   wire FE_OFN1671_n_8079;
-   wire FE_OFN1670_n_8077;
-   wire FE_OFN1669_n_8075;
-   wire FE_OFN1668_n_7913;
-   wire FE_OFN1667_n_7911;
-   wire FE_OFN1666_n_7909;
-   wire FE_OFN1665_n_7795;
-   wire FE_OFN1664_n_7793;
-   wire FE_OFN1663_n_7791;
-   wire FE_OFN1662_n_7789;
-   wire FE_OFN1661_n_7787;
-   wire FE_OFN1660_n_7785;
-   wire FE_OFN1659_n_7783;
-   wire FE_OFN1658_n_7781;
-   wire FE_OFN1657_n_7779;
-   wire FE_OFN1656_n_7777;
-   wire FE_OFN1655_n_7775;
-   wire FE_OFN1654_n_7773;
-   wire FE_OFN1653_n_7617;
-   wire FE_OFN1652_n_7615;
-   wire FE_OFN1651_n_7613;
-   wire FE_OFN1650_n_7611;
-   wire FE_OFN1649_n_7557;
-   wire FE_OFN1648_n_7555;
-   wire FE_OFN1646_n_3077;
-   wire FE_OFN1645_n_2000;
-   wire FE_OFN1644_n_1999;
-   wire FE_OFN1643_n_1992;
-   wire FE_OFN1642_n_1843;
-   wire FE_OFN1641_n_1842;
-   wire FE_OFN1640_n_1407;
-   wire FE_OFN1639_n_16011;
-   wire FE_OFN1636_n_10944;
-   wire FE_OFN1635_n_10943;
-   wire FE_OFN1633_n_10864;
-   wire FE_OFN1632_n_10762;
-   wire FE_OFN1631_n_10756;
-   wire FE_OFN1630_n_10754;
-   wire FE_OFN1629_n_10748;
-   wire FE_OFN1628_n_10746;
-   wire FE_OFN1627_n_10744;
-   wire FE_OFN1626_n_10736;
-   wire FE_OFN1625_n_10155;
-   wire FE_OFN1624_n_10146;
-   wire FE_OFN1623_n_10145;
-   wire FE_OFN1622_n_10044;
-   wire FE_OFN1621_n_6733;
-   wire FE_OFN1620_n_5856;
-   wire FE_OFN1619_n_5852;
-   wire FE_OFN1618_n_5846;
-   wire FE_OFN1617_n_5836;
-   wire FE_OFN1616_n_5834;
-   wire FE_OFN1615_n_5830;
-   wire FE_OFN1614_n_5826;
-   wire FE_OFN1613_n_3011;
-   wire FE_OFN1612_n_3007;
-   wire FE_OFN1611_n_3001;
-   wire FE_OFN1610_n_2991;
-   wire FE_OFN1609_n_2989;
-   wire FE_OFN1608_n_2244;
-   wire FE_OFN1607_n_2241;
-   wire FE_OFN1606_n_1516;
-   wire FE_OFN1605_n_1513;
-   wire FE_OFN1600_u_soc_xbar_to_dccm_a_data__7;
-   wire FE_OFN1599_u_soc_xbar_to_dccm_a_data__11;
-   wire FE_OFN1594_u_soc_u_dccm_csb1;
-   wire FE_OFN1593_u_soc_u_dccm_csb1;
-   wire FE_OFN1590_n_15897;
-   wire FE_OFN1589_n_15882;
-   wire FE_OFN1588_n_15860;
-   wire FE_OFN1587_n_13428;
-   wire FE_OFN1581_n_11054;
-   wire FE_OFN1580_n_10953;
-   wire FE_OFN1576_n_10256;
-   wire FE_OFN1575_n_10253;
-   wire FE_OFN1574_n_10248;
-   wire FE_OFN1573_n_10229;
-   wire FE_OFN1572_n_10228;
-   wire FE_OFN1571_n_10225;
-   wire FE_OFN1570_n_10220;
-   wire FE_OFN1569_n_9296;
-   wire FE_OFN1566_n_9159;
-   wire FE_OFN1565_n_9006;
-   wire FE_OFN1564_n_9005;
-   wire FE_OFN1563_n_9004;
-   wire FE_OFN1562_n_8982;
-   wire FE_OFN1561_n_8981;
-   wire FE_OFN1560_n_8980;
-   wire FE_OFN1559_n_8979;
-   wire FE_OFN1558_n_8978;
-   wire FE_OFN1557_n_8977;
-   wire FE_OFN1556_n_8976;
-   wire FE_OFN1555_n_8975;
-   wire FE_OFN1554_n_8974;
-   wire FE_OFN1553_n_8973;
-   wire FE_OFN1552_n_8972;
-   wire FE_OFN1551_n_8971;
-   wire FE_OFN1550_n_8970;
-   wire FE_OFN1549_n_8968;
-   wire FE_OFN1548_n_8968;
-   wire FE_OFN1547_n_8967;
-   wire FE_OFN1546_n_8967;
-   wire FE_OFN1545_n_8966;
-   wire FE_OFN1544_n_8966;
-   wire FE_OFN1543_n_8965;
-   wire FE_OFN1542_n_8965;
-   wire FE_OFN1541_n_8964;
-   wire FE_OFN1540_n_8963;
-   wire FE_OFN1539_n_8963;
-   wire FE_OFN1538_n_8962;
-   wire FE_OFN1537_n_8962;
-   wire FE_OFN1536_n_8961;
-   wire FE_OFN1535_n_8961;
-   wire FE_OFN1534_n_8867;
-   wire FE_OFN1533_n_8862;
-   wire FE_OFN1532_n_8759;
-   wire FE_OFN1531_n_8758;
-   wire FE_OFN1530_n_8756;
-   wire FE_OFN1529_n_8755;
-   wire FE_OFN1528_n_8754;
-   wire FE_OFN1527_n_8753;
-   wire FE_OFN1526_n_8752;
-   wire FE_OFN1525_n_8751;
-   wire FE_OFN1524_n_8750;
-   wire FE_OFN1523_n_8749;
-   wire FE_OFN1522_n_8748;
-   wire FE_OFN1521_n_8747;
-   wire FE_OFN1520_n_8746;
-   wire FE_OFN1519_n_8745;
-   wire FE_OFN1518_n_8737;
-   wire FE_OFN1517_n_8736;
-   wire FE_OFN1516_n_8735;
-   wire FE_OFN1515_n_8734;
-   wire FE_OFN1514_n_8733;
-   wire FE_OFN1513_n_8732;
-   wire FE_OFN1512_n_8730;
-   wire FE_OFN1511_n_8612;
-   wire FE_OFN1510_n_8611;
-   wire FE_OFN1509_n_7907;
-   wire FE_OFN1508_n_7251;
-   wire FE_OFN1507_n_7250;
-   wire FE_OFN1506_n_7249;
-   wire FE_OFN1505_n_7248;
-   wire FE_OFN1504_n_7247;
-   wire FE_OFN1503_n_6915;
-   wire FE_OFN1502_n_6724;
-   wire FE_OFN1501_n_6722;
-   wire FE_OFN1499_n_6718;
-   wire FE_OFN1498_n_6714;
-   wire FE_OFN1497_n_6713;
-   wire FE_OFN1496_n_6712;
-   wire FE_OFN1495_n_6711;
-   wire FE_OFN1494_n_6710;
-   wire FE_OFN1493_n_6490;
-   wire FE_OFN1492_n_5662;
-   wire FE_OFN1491_n_5661;
-   wire FE_OFN1490_n_5660;
-   wire FE_OFN1489_n_5659;
-   wire FE_OFN1488_n_5658;
-   wire FE_OFN1487_n_5657;
-   wire FE_OFN1486_n_5656;
-   wire FE_OFN1485_n_5655;
-   wire FE_OFN1484_n_5654;
-   wire FE_OFN1483_n_5653;
-   wire FE_OFN1482_n_5651;
-   wire FE_OFN1481_n_5649;
-   wire FE_OFN1480_n_5647;
-   wire FE_OFN1479_n_5376;
-   wire FE_OFN1478_n_5374;
-   wire FE_OFN1477_n_2980;
-   wire FE_OFN1476_n_2542;
-   wire FE_OFN1475_n_2412;
-   wire FE_OFN1474_n_2280;
-   wire FE_OFN1473_n_2279;
-   wire FE_OFN1472_n_2278;
-   wire FE_OFN1471_n_2277;
-   wire FE_OFN1470_n_2276;
-   wire FE_OFN1469_n_2275;
-   wire FE_OFN1468_n_2272;
-   wire FE_OFN1467_n_2271;
-   wire FE_OFN1466_n_2270;
-   wire FE_OFN1465_n_2269;
-   wire FE_OFN1464_n_2268;
-   wire FE_OFN1463_n_2267;
-   wire FE_OFN1462_n_2266;
-   wire FE_OFN1461_n_2265;
-   wire FE_OFN1460_n_2263;
-   wire FE_OFN1459_n_2262;
-   wire FE_OFN1458_n_2260;
-   wire FE_OFN1457_n_2259;
-   wire FE_OFN1456_n_2258;
-   wire FE_OFN1455_n_2257;
-   wire FE_OFN1454_n_2145;
-   wire FE_OFN1453_n_2144;
-   wire FE_OFN1452_n_2143;
-   wire FE_OFN1451_n_2142;
-   wire FE_OFN1450_n_2141;
-   wire FE_OFN1449_n_2140;
-   wire FE_OFN1448_n_2139;
-   wire FE_OFN1447_n_2138;
-   wire FE_OFN1446_n_2134;
-   wire FE_OFN1445_n_2132;
-   wire FE_OFN1444_n_2128;
-   wire FE_OFN1443_n_2124;
-   wire FE_OFN1442_n_2122;
-   wire FE_OFN1441_n_2120;
-   wire FE_OFN1440_n_2112;
-   wire FE_OFN1439_n_2110;
-   wire FE_OFN1438_n_2107;
-   wire FE_OFN1437_n_2104;
-   wire FE_OFN1436_n_2102;
-   wire FE_OFN1435_n_2100;
-   wire FE_OFN1434_n_2099;
-   wire FE_OFN1433_n_2097;
-   wire FE_OFN1432_n_2095;
-   wire FE_OFN1431_n_2093;
-   wire FE_OFN1430_n_2089;
-   wire FE_OFN1429_n_2085;
-   wire FE_OFN1428_n_2083;
-   wire FE_OFN1427_n_2079;
-   wire FE_OFN1426_n_2077;
-   wire FE_OFN1425_n_2075;
-   wire FE_OFN1424_n_2073;
-   wire FE_OFN1423_n_2071;
-   wire FE_OFN1422_n_2070;
-   wire FE_OFN1421_n_2069;
-   wire FE_OFN1420_n_2067;
-   wire FE_OFN1419_n_2065;
-   wire FE_OFN1418_n_2063;
-   wire FE_OFN1417_n_2061;
-   wire FE_OFN1416_n_2057;
-   wire FE_OFN1415_n_2055;
-   wire FE_OFN1413_n_2049;
-   wire FE_OFN1412_n_2045;
-   wire FE_OFN1409_n_2039;
-   wire FE_OFN1408_n_2037;
-   wire FE_OFN1407_n_2017;
-   wire FE_OFN1406_n_2016;
-   wire FE_OFN1405_n_1850;
-   wire FE_OFN1404_n_1848;
-   wire FE_OFN1403_n_1412;
-   wire FE_OFN1402_n_1218;
-   wire FE_OFN1401_n_1217;
-   wire FE_OFN1400_n_577;
-   wire FE_OFN1399_n_142;
-   wire FE_OFN1398_n_141;
-   wire FE_OFN1340_u_soc_u_iccm_addr4_1;
-   wire FE_OFN1339_u_soc_u_iccm_addr4_2;
-   wire FE_OFN1338_u_soc_u_iccm_addr4_2;
-   wire FE_OFN1329_u_soc_u_iccm_addr4_6;
-   wire FE_OFN1322_u_soc_instr_wmask_0;
-   wire FE_OFN1321_u_soc_instr_wmask_0;
-   wire FE_OFN1320_u_soc_data_wmask_0;
-   wire FE_OFN1318_u_soc_data_wmask_0;
-   wire FE_OFN1317_u_soc_data_wmask_0;
-   wire FE_OFN1316_u_soc_data_wmask_0;
-   wire FE_OFN1315_u_soc_data_wmask_1;
-   wire FE_OFN1313_u_soc_data_wmask_1;
-   wire FE_OFN1312_u_soc_data_wmask_1;
-   wire FE_OFN1311_u_soc_data_wmask_1;
-   wire FE_OFN1309_u_soc_data_wmask_2;
-   wire FE_OFN1308_u_soc_data_wmask_2;
-   wire FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16;
-   wire FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20;
-   wire FE_OFN1291_u_soc_instr_wdata_0;
-   wire FE_OFN1289_u_soc_instr_wdata_0;
-   wire FE_OFN1288_u_soc_instr_wdata_0;
-   wire FE_OFN1287_u_soc_instr_wdata_1;
-   wire FE_OFN1285_u_soc_instr_wdata_1;
-   wire FE_OFN1284_u_soc_instr_wdata_1;
-   wire FE_OFN1283_u_soc_instr_wdata_2;
-   wire FE_OFN1281_u_soc_instr_wdata_2;
-   wire FE_OFN1280_u_soc_instr_wdata_2;
-   wire FE_OFN1279_u_soc_instr_wdata_4;
-   wire FE_OFN1277_u_soc_instr_wdata_4;
-   wire FE_OFN1276_u_soc_instr_wdata_5;
-   wire FE_OFN1274_u_soc_instr_wdata_5;
-   wire FE_OFN1266_u_soc_data_wdata_9;
-   wire FE_OFN1264_u_soc_data_wdata_9;
-   wire FE_OFN1263_u_soc_data_wdata_9;
-   wire FE_OFN1262_u_soc_data_wdata_16;
-   wire FE_OFN1260_u_soc_data_wdata_16;
-   wire FE_OFN1259_u_soc_data_wdata_16;
-   wire FE_OFN1258_u_soc_data_wdata_18;
-   wire FE_OFN1256_u_soc_data_wdata_18;
-   wire FE_OFN1255_u_soc_data_wdata_18;
-   wire FE_OFN1254_u_soc_data_wdata_19;
-   wire FE_OFN1253_u_soc_data_wdata_19;
-   wire FE_OFN1252_u_soc_data_wdata_19;
-   wire FE_OFN1251_u_soc_data_wdata_22;
-   wire FE_OFN1249_u_soc_data_wdata_22;
-   wire FE_OFN1248_u_soc_data_wdata_22;
-   wire FE_OFN1247_u_soc_data_wdata_23;
-   wire FE_OFN1245_u_soc_data_wdata_23;
-   wire FE_OFN1244_u_soc_data_wdata_23;
-   wire FE_OFN1243_u_soc_data_wdata_24;
-   wire FE_OFN1241_u_soc_data_wdata_24;
-   wire FE_OFN1240_u_soc_data_wdata_24;
-   wire FE_OFN1239_u_soc_data_wdata_25;
-   wire FE_OFN1237_u_soc_data_wdata_25;
-   wire FE_OFN1236_u_soc_data_wdata_25;
-   wire FE_OFN1235_u_soc_data_wdata_26;
-   wire FE_OFN1233_u_soc_data_wdata_26;
-   wire FE_OFN1232_u_soc_data_wdata_26;
-   wire FE_OFN1231_u_soc_data_wdata_27;
-   wire FE_OFN1230_u_soc_data_wdata_27;
-   wire FE_OFN1229_u_soc_data_wdata_27;
-   wire FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear;
-   wire FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr;
-   wire FE_OFN1142_u_soc_u_top_data_we;
-   wire FE_OFN1141_u_soc_u_iccm_csb4;
-   wire FE_OFN1139_u_soc_u_iccm_csb2;
-   wire FE_OFN1138_u_soc_u_iccm_csb1;
-   wire FE_OFN1137_u_soc_u_dccm_csb4;
-   wire FE_OFN1136_u_soc_u_dccm_csb3;
-   wire FE_OFN1135_u_soc_u_dccm_csb2;
-   wire FE_OFN1134_u_soc_u_dccm_csb2;
-   wire FE_OFN1133_u_soc_instr_we;
-   wire FE_OFN1132_u_soc_instr_we;
-   wire FE_OFN1131_u_soc_instr_we;
-   wire FE_OFN1130_u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst;
-   wire FE_OFN1127_u_soc_data_we;
-   wire FE_OFN1126_u_soc_data_we;
-   wire FE_OFN1125_u_soc_data_we;
-   wire FE_OFN1124_u_soc_data_we;
-   wire FE_OFN1123_n_17982;
-   wire FE_OFN1120_n_15995;
-   wire FE_OFN1119_n_15930;
-   wire FE_OFN1118_n_15887;
-   wire FE_OFN1117_n_15871;
-   wire FE_OFN1116_n_15870;
-   wire FE_OFN1115_n_15869;
-   wire FE_OFN1114_n_15868;
-   wire FE_OFN1111_n_15859;
-   wire FE_OFN1110_n_15858;
-   wire FE_OFN1108_n_15856;
-   wire FE_OFN1107_n_13557;
-   wire FE_OFN1106_n_13489;
-   wire FE_OFN1105_n_13380;
-   wire FE_OFN1103_n_13102;
-   wire FE_OFN1102_n_13101;
-   wire FE_OFN1101_n_13100;
-   wire FE_OFN1100_n_13099;
-   wire FE_OFN1099_n_13098;
-   wire FE_OFN1098_n_13097;
-   wire FE_OFN1097_n_13094;
-   wire FE_OFN1096_n_13093;
-   wire FE_OFN1095_n_13092;
-   wire FE_OFN1094_n_13091;
-   wire FE_OFN1093_n_13090;
-   wire FE_OFN1092_n_13089;
-   wire FE_OFN1090_n_13087;
-   wire FE_OFN1089_n_13086;
-   wire FE_OFN1088_n_13084;
-   wire FE_OFN1087_n_13083;
-   wire FE_OFN1086_n_13082;
-   wire FE_OFN1085_n_13078;
-   wire FE_OFN1084_n_13077;
-   wire FE_OFN1083_n_13076;
-   wire FE_OFN1082_n_13075;
-   wire FE_OFN1081_n_13074;
-   wire FE_OFN1080_n_13070;
-   wire FE_OFN1079_n_12256;
-   wire FE_OFN1078_n_11763;
-   wire FE_OFN1077_n_11756;
-   wire FE_OFN1076_n_11754;
-   wire FE_OFN1075_n_11751;
-   wire FE_OFN1074_n_11749;
-   wire FE_OFN1073_n_11564;
-   wire FE_OFN1071_n_11559;
-   wire FE_OFN1070_n_11558;
-   wire FE_OFN1069_n_11557;
-   wire FE_OFN1068_n_11556;
-   wire FE_OFN1067_n_11555;
-   wire FE_OFN1066_n_11554;
-   wire FE_OFN1064_n_11550;
-   wire FE_OFN1063_n_11518;
-   wire FE_OFN1062_n_11516;
-   wire FE_OFN1059_n_11480;
-   wire FE_OFN1058_n_11479;
-   wire FE_OFN1057_n_11478;
-   wire FE_OFN1056_n_11475;
-   wire FE_OFN1053_n_11471;
-   wire FE_OFN1052_n_11425;
-   wire FE_OFN1051_n_11418;
-   wire FE_OFN1050_n_11415;
-   wire FE_OFN1049_n_9003;
-   wire FE_OFN1048_n_9002;
-   wire FE_OFN1047_n_9000;
-   wire FE_OFN1046_n_8999;
-   wire FE_OFN1045_n_8983;
-   wire FE_OFN1044_n_8932;
-   wire FE_OFN1043_n_8921;
-   wire FE_OFN1042_n_8921;
-   wire FE_OFN1041_n_8921;
-   wire FE_OFN1040_n_8921;
-   wire FE_OFN1039_n_8921;
-   wire FE_OFN1038_n_8921;
-   wire FE_OFN1037_n_8921;
-   wire FE_OFN1036_n_8911;
-   wire FE_OFN1035_n_8911;
-   wire FE_OFN1034_n_8911;
-   wire FE_OFN1033_n_8911;
-   wire FE_OFN1032_n_8911;
-   wire FE_OFN1031_n_8910;
-   wire FE_OFN1030_n_8905;
-   wire FE_OFN1029_n_8904;
-   wire FE_OFN1028_n_8899;
-   wire FE_OFN1027_n_8894;
-   wire FE_OFN1026_n_8886;
-   wire FE_OFN1025_n_8860;
-   wire FE_OFN1024_n_8857;
-   wire FE_OFN1023_n_8856;
-   wire FE_OFN1022_n_8855;
-   wire FE_OFN1021_n_8855;
-   wire FE_OFN1020_n_8853;
-   wire FE_OFN1019_n_8853;
-   wire FE_OFN1018_n_8844;
-   wire FE_OFN1017_n_8844;
-   wire FE_OFN1016_n_8844;
-   wire FE_OFN1015_n_8844;
-   wire FE_OFN1014_n_8844;
-   wire FE_OFN1013_n_8835;
-   wire FE_OFN1012_n_8835;
-   wire FE_OFN1011_n_8835;
-   wire FE_OFN1010_n_8835;
-   wire FE_OFN1009_n_8835;
-   wire FE_OFN1008_n_8835;
-   wire FE_OFN1007_n_8835;
-   wire FE_OFN1006_n_7965;
-   wire FE_OFN1005_n_6918;
-   wire FE_OFN1004_n_6917;
-   wire FE_OFN1003_n_6916;
-   wire FE_OFN1002_n_6865;
-   wire FE_OFN1001_n_6859;
-   wire FE_OFN1000_n_6857;
-   wire FE_OFN999_n_6709;
-   wire FE_OFN998_n_6709;
-   wire FE_OFN997_n_6493;
-   wire FE_OFN996_n_6492;
-   wire FE_OFN995_n_6491;
-   wire FE_OFN994_n_6488;
-   wire FE_OFN993_n_6487;
-   wire FE_OFN992_n_5974;
-   wire FE_OFN991_n_5961;
-   wire FE_OFN989_n_5950;
-   wire FE_OFN988_n_5934;
-   wire FE_OFN987_n_5663;
-   wire FE_OFN986_n_5399;
-   wire FE_OFN984_n_5382;
-   wire FE_OFN983_n_5372;
-   wire FE_OFN982_n_5371;
-   wire FE_OFN981_n_5370;
-   wire FE_OFN980_n_5369;
-   wire FE_OFN979_n_5368;
-   wire FE_OFN978_n_5367;
-   wire FE_OFN977_n_5366;
-   wire FE_OFN976_n_5364;
-   wire FE_OFN975_n_5362;
-   wire FE_OFN974_n_5360;
-   wire FE_OFN973_n_5358;
-   wire FE_OFN972_n_5356;
-   wire FE_OFN971_n_5356;
-   wire FE_OFN970_n_5355;
-   wire FE_OFN969_n_5354;
-   wire FE_OFN968_n_5353;
-   wire FE_OFN967_n_5352;
-   wire FE_OFN966_n_5351;
-   wire FE_OFN965_n_5350;
-   wire FE_OFN964_n_5349;
-   wire FE_OFN963_n_5349;
-   wire FE_OFN962_n_5348;
-   wire FE_OFN961_n_5348;
-   wire FE_OFN960_n_5347;
-   wire FE_OFN959_n_5346;
-   wire FE_OFN958_n_5345;
-   wire FE_OFN957_n_5344;
-   wire FE_OFN956_n_5343;
-   wire FE_OFN955_n_5342;
-   wire FE_OFN954_n_5342;
-   wire FE_OFN953_n_5341;
-   wire FE_OFN952_n_5341;
-   wire FE_OFN951_n_5340;
-   wire FE_OFN950_n_5340;
-   wire FE_OFN949_n_5339;
-   wire FE_OFN948_n_5338;
-   wire FE_OFN947_n_5338;
-   wire FE_OFN946_n_5337;
-   wire FE_OFN945_n_5336;
-   wire FE_OFN944_n_5335;
-   wire FE_OFN943_n_5335;
-   wire FE_OFN942_n_5334;
-   wire FE_OFN941_n_5334;
-   wire FE_OFN940_n_5333;
-   wire FE_OFN939_n_5331;
-   wire FE_OFN938_n_5331;
-   wire FE_OFN937_n_5330;
-   wire FE_OFN936_n_5330;
-   wire FE_OFN935_n_5329;
-   wire FE_OFN934_n_5329;
-   wire FE_OFN933_n_5328;
-   wire FE_OFN932_n_5327;
-   wire FE_OFN931_n_5326;
-   wire FE_OFN930_n_2987;
-   wire FE_OFN929_n_2985;
-   wire FE_OFN928_n_2681;
-   wire FE_OFN927_n_2421;
-   wire FE_OFN926_n_2274;
-   wire FE_OFN925_n_2273;
-   wire FE_OFN924_n_2261;
-   wire FE_OFN923_n_2252;
-   wire FE_OFN922_n_2250;
-   wire FE_OFN921_n_2243;
-   wire FE_OFN920_n_2242;
-   wire FE_OFN919_n_2240;
-   wire FE_OFN918_n_2240;
-   wire FE_OFN917_n_2238;
-   wire FE_OFN916_n_2237;
-   wire FE_OFN915_n_2235;
-   wire FE_OFN914_n_2235;
-   wire FE_OFN913_n_2233;
-   wire FE_OFN912_n_2231;
-   wire FE_OFN911_n_2231;
-   wire FE_OFN910_n_2230;
-   wire FE_OFN909_n_2229;
-   wire FE_OFN908_n_2227;
-   wire FE_OFN907_n_2226;
-   wire FE_OFN906_n_2224;
-   wire FE_OFN905_n_2223;
-   wire FE_OFN904_n_2222;
-   wire FE_OFN903_n_2220;
-   wire FE_OFN902_n_2219;
-   wire FE_OFN901_n_2218;
-   wire FE_OFN900_n_2217;
-   wire FE_OFN899_n_2217;
-   wire FE_OFN898_n_2216;
-   wire FE_OFN897_n_2216;
-   wire FE_OFN896_n_2215;
-   wire FE_OFN895_n_2012;
-   wire FE_OFN894_n_2011;
-   wire FE_OFN893_n_2007;
-   wire FE_OFN892_n_2004;
-   wire FE_OFN891_n_1998;
-   wire FE_OFN890_n_1996;
-   wire FE_OFN889_n_1994;
-   wire FE_OFN888_n_1852;
-   wire FE_OFN887_n_1753;
-   wire FE_OFN886_n_1742;
-   wire FE_OFN885_n_1518;
-   wire FE_OFN884_n_1413;
-   wire FE_OFN883_n_1219;
-   wire FE_OFN882_n_1214;
-   wire FE_OFN881_n_831;
-   wire FE_OFN880_n_831;
-   wire FE_OFN879_n_690;
-   wire FE_OFN878_n_641;
-   wire FE_OFN877_n_496;
-   wire FE_OFN876_n_471;
-   wire FE_OFN875_n_470;
-   wire FE_OFN874_n_470;
-   wire FE_OFN873_n_470;
-   wire FE_OFN872_n_470;
-   wire FE_OFN867_logic_0_36_net;
-   wire FE_OFN866_logic_0_36_net;
-   wire FE_OFN865_logic_0_35_net;
-   wire FE_OFN864_logic_0_35_net;
-   wire FE_OFN863_logic_0_34_net;
-   wire FE_OFN862_logic_0_34_net;
-   wire FE_OFN861_logic_0_33_net;
-   wire FE_OFN860_logic_0_33_net;
-   wire FE_OFN857_logic_0_30_net;
-   wire FE_OFN850_logic_0_23_net;
-   wire FE_OFN843_logic_0_18_net;
-   wire FE_OFN842_logic_0_18_net;
-   wire FE_OFN841_logic_0_17_net;
-   wire FE_OFN840_logic_0_17_net;
-   wire FE_OFN839_logic_0_16_net;
-   wire FE_OFN838_logic_0_16_net;
-   wire FE_OFN837_logic_0_15_net;
-   wire FE_OFN836_logic_0_15_net;
-   wire FE_OFN835_logic_0_14_net;
-   wire FE_OFN833_logic_0_13_net;
-   wire FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1;
-   wire FE_OFN784_u_soc_u_top_u_core_rf_wdata_fwd_wb_17;
-   wire FE_OFN783_u_soc_u_top_u_core_rf_wdata_fwd_wb_18;
-   wire FE_OFN780_u_soc_u_top_u_core_rf_wdata_fwd_wb_22;
-   wire FE_OFN779_u_soc_u_top_u_core_rf_wdata_fwd_wb_24;
-   wire FE_OFN778_u_soc_u_top_u_core_rf_wdata_fwd_wb_27;
-   wire FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0;
-   wire FE_OFN773_u_soc_xbar_to_dccm_a_address__4;
-   wire FE_OFN772_u_soc_xbar_to_dccm_a_address__5;
-   wire FE_OFN771_u_soc_xbar_to_dccm_a_address__6;
-   wire FE_OFN770_u_soc_xbar_to_dccm_a_address__7;
-   wire FE_OFN769_u_soc_xbar_to_dccm_a_address__8;
-   wire FE_OFN768_u_soc_xbar_to_dccm_a_address__9;
-   wire FE_OFN766_u_soc_xbar_to_dccm_a_address__11;
-   wire FE_OFN765_u_soc_xbar_to_dccm_a_address__12;
-   wire FE_OFN763_u_soc_lsu_to_xbar_a_address__14;
-   wire FE_OFN762_u_soc_lsu_to_xbar_a_address__15;
-   wire FE_OFN759_u_soc_lsu_to_xbar_a_address__18;
-   wire FE_OFN758_u_soc_lsu_to_xbar_a_address__19;
-   wire FE_OFN756_u_soc_lsu_to_xbar_a_address__21;
-   wire FE_OFN755_u_soc_lsu_to_xbar_a_address__22;
-   wire FE_OFN754_u_soc_lsu_to_xbar_a_address__23;
-   wire FE_OFN753_u_soc_lsu_to_xbar_a_address__24;
-   wire FE_OFN752_u_soc_lsu_to_xbar_a_address__25;
-   wire FE_OFN751_u_soc_lsu_to_xbar_a_address__26;
-   wire FE_OFN750_u_soc_lsu_to_xbar_a_address__27;
-   wire FE_OFN745_u_soc_u_uart_u_uart_core_rx_0;
-   wire FE_OFN744_u_soc_u_uart_u_uart_core_rx_0;
-   wire FE_OFN743_u_soc_u_uart_u_uart_core_rx_0;
-   wire FE_OFN742_u_soc_u_uart_u_uart_core_rx_1;
-   wire FE_OFN741_u_soc_u_uart_u_uart_core_rx_1;
-   wire FE_OFN740_u_soc_u_uart_u_uart_core_rx_2;
-   wire FE_OFN739_u_soc_u_uart_u_uart_core_rx_2;
-   wire FE_OFN737_u_soc_u_uart_u_uart_core_rx_3;
-   wire FE_OFN736_u_soc_u_uart_u_uart_core_rx_3;
-   wire FE_OFN735_u_soc_u_uart_u_uart_core_rx_3;
-   wire FE_OFN734_u_soc_u_uart_u_uart_core_rx_4;
-   wire FE_OFN733_u_soc_u_uart_u_uart_core_rx_4;
-   wire FE_OFN732_u_soc_u_uart_u_uart_core_rx_4;
-   wire FE_OFN731_u_soc_u_uart_u_uart_core_rx_5;
-   wire FE_OFN730_u_soc_u_uart_u_uart_core_rx_5;
-   wire FE_OFN729_u_soc_u_uart_u_uart_core_rx_6;
-   wire FE_OFN728_u_soc_u_uart_u_uart_core_rx_6;
-   wire FE_OFN727_u_soc_u_uart_u_uart_core_rx_6;
-   wire FE_OFN726_u_soc_u_uart_u_uart_core_rx_7;
-   wire FE_OFN725_u_soc_u_uart_u_uart_core_rx_7;
-   wire FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12;
-   wire FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14;
-   wire FE_OFN721_u_soc_u_top_u_core_instr_rdata_id_21;
-   wire FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27;
-   wire FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28;
-   wire FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29;
-   wire FE_OFN711_u_soc_u_tcam_rdata_0;
-   wire FE_OFN710_u_soc_u_tcam_rdata_0;
-   wire FE_OFN709_u_soc_u_tcam_rdata_1;
-   wire FE_OFN708_u_soc_u_tcam_rdata_1;
-   wire FE_OFN707_u_soc_u_tcam_rdata_2;
-   wire FE_OFN706_u_soc_u_tcam_rdata_2;
-   wire FE_OFN705_u_soc_u_tcam_rdata_3;
-   wire FE_OFN704_u_soc_u_tcam_rdata_3;
-   wire FE_OFN703_u_soc_u_tcam_rdata_4;
-   wire FE_OFN702_u_soc_u_tcam_rdata_4;
-   wire FE_OFN701_u_soc_u_tcam_rdata_5;
-   wire FE_OFN700_u_soc_u_iccm_rdata4_0;
-   wire FE_OFN667_u_soc_u_iccm_rdata3_2;
-   wire FE_OFN618_u_soc_u_iccm_rdata1_0;
-   wire FE_OFN617_u_soc_u_iccm_rdata1_1;
-   wire FE_OFN616_u_soc_u_iccm_rdata1_2;
-   wire FE_OFN615_u_soc_u_iccm_rdata1_2;
-   wire FE_OFN614_u_soc_u_iccm_rdata1_3;
-   wire FE_OFN613_u_soc_u_iccm_rdata1_4;
-   wire FE_OFN612_u_soc_u_iccm_rdata1_5;
-   wire FE_OFN611_u_soc_u_iccm_rdata1_6;
-   wire FE_OFN610_u_soc_u_iccm_rdata1_7;
-   wire FE_OFN609_u_soc_u_iccm_rdata1_8;
-   wire FE_OFN608_u_soc_u_iccm_rdata1_9;
-   wire FE_OFN607_u_soc_u_iccm_rdata1_10;
-   wire FE_OFN606_u_soc_u_iccm_rdata1_11;
-   wire FE_OFN605_u_soc_u_iccm_rdata1_12;
-   wire FE_OFN604_u_soc_u_iccm_rdata1_13;
-   wire FE_OFN603_u_soc_u_iccm_rdata1_14;
-   wire FE_OFN602_u_soc_u_iccm_rdata1_15;
-   wire FE_OFN601_u_soc_u_iccm_rdata1_16;
-   wire FE_OFN600_u_soc_u_iccm_rdata1_17;
-   wire FE_OFN599_u_soc_u_iccm_rdata1_18;
-   wire FE_OFN598_u_soc_u_iccm_rdata1_19;
-   wire FE_OFN597_u_soc_u_iccm_rdata1_20;
-   wire FE_OFN596_u_soc_u_iccm_rdata1_21;
-   wire FE_OFN595_u_soc_u_iccm_rdata1_22;
-   wire FE_OFN594_u_soc_u_iccm_rdata1_23;
-   wire FE_OFN593_u_soc_u_iccm_rdata1_24;
-   wire FE_OFN592_u_soc_u_iccm_rdata1_25;
-   wire FE_OFN591_u_soc_u_iccm_rdata1_26;
-   wire FE_OFN590_u_soc_u_iccm_rdata1_27;
-   wire FE_OFN589_u_soc_u_iccm_rdata1_28;
-   wire FE_OFN588_u_soc_u_iccm_rdata1_29;
-   wire FE_OFN587_u_soc_u_iccm_rdata1_30;
-   wire FE_OFN586_u_soc_u_iccm_rdata1_31;
-   wire FE_OFN585_u_soc_instr_wdata_3;
-   wire FE_OFN584_u_soc_instr_wdata_3;
-   wire FE_OFN583_u_soc_instr_wdata_3;
-   wire FE_OFN581_u_soc_instr_wdata_3;
-   wire FE_OFN580_u_soc_instr_wdata_3;
-   wire FE_OFN579_u_soc_instr_wdata_6;
-   wire FE_OFN578_u_soc_instr_wdata_6;
-   wire FE_OFN577_u_soc_instr_wdata_6;
-   wire FE_OFN576_u_soc_instr_wdata_6;
-   wire FE_OFN575_u_soc_instr_wdata_6;
-   wire FE_OFN574_u_soc_instr_wdata_6;
-   wire FE_OFN573_u_soc_instr_wdata_7;
-   wire FE_OFN572_u_soc_instr_wdata_7;
-   wire FE_OFN571_u_soc_instr_wdata_7;
-   wire FE_OFN570_u_soc_instr_wdata_7;
-   wire FE_OFN569_u_soc_instr_wdata_7;
-   wire FE_OFN567_u_soc_instr_wdata_8;
-   wire FE_OFN566_u_soc_instr_wdata_8;
-   wire FE_OFN565_u_soc_instr_wdata_8;
-   wire FE_OFN564_u_soc_instr_wdata_8;
-   wire FE_OFN563_u_soc_instr_wdata_8;
-   wire FE_OFN562_u_soc_instr_wdata_8;
-   wire FE_OFN561_u_soc_instr_wdata_9;
-   wire FE_OFN560_u_soc_instr_wdata_9;
-   wire FE_OFN559_u_soc_instr_wdata_9;
-   wire FE_OFN558_u_soc_instr_wdata_9;
-   wire FE_OFN557_u_soc_instr_wdata_9;
-   wire FE_OFN556_u_soc_instr_wdata_9;
-   wire FE_OFN555_u_soc_instr_wdata_10;
-   wire FE_OFN554_u_soc_instr_wdata_10;
-   wire FE_OFN553_u_soc_instr_wdata_10;
-   wire FE_OFN552_u_soc_instr_wdata_10;
-   wire FE_OFN551_u_soc_instr_wdata_10;
-   wire FE_OFN549_u_soc_instr_wdata_11;
-   wire FE_OFN548_u_soc_instr_wdata_11;
-   wire FE_OFN547_u_soc_instr_wdata_11;
-   wire FE_OFN546_u_soc_instr_wdata_11;
-   wire FE_OFN544_u_soc_instr_wdata_11;
-   wire FE_OFN543_u_soc_instr_wdata_12;
-   wire FE_OFN542_u_soc_instr_wdata_12;
-   wire FE_OFN541_u_soc_instr_wdata_12;
-   wire FE_OFN540_u_soc_instr_wdata_12;
-   wire FE_OFN539_u_soc_instr_wdata_12;
-   wire FE_OFN537_u_soc_instr_wdata_12;
-   wire FE_OFN536_u_soc_instr_wdata_13;
-   wire FE_OFN535_u_soc_instr_wdata_13;
-   wire FE_OFN534_u_soc_instr_wdata_13;
-   wire FE_OFN533_u_soc_instr_wdata_13;
-   wire FE_OFN532_u_soc_instr_wdata_13;
-   wire FE_OFN531_u_soc_instr_wdata_13;
-   wire FE_OFN530_u_soc_instr_wdata_13;
-   wire FE_OFN529_u_soc_instr_wdata_14;
-   wire FE_OFN528_u_soc_instr_wdata_14;
-   wire FE_OFN526_u_soc_instr_wdata_14;
-   wire FE_OFN525_u_soc_instr_wdata_14;
-   wire FE_OFN524_u_soc_instr_wdata_14;
-   wire FE_OFN523_u_soc_instr_wdata_14;
-   wire FE_OFN522_u_soc_instr_wdata_15;
-   wire FE_OFN521_u_soc_instr_wdata_15;
-   wire FE_OFN520_u_soc_instr_wdata_15;
-   wire FE_OFN519_u_soc_instr_wdata_15;
-   wire FE_OFN518_u_soc_instr_wdata_15;
-   wire FE_OFN516_u_soc_instr_wdata_15;
-   wire FE_OFN515_u_soc_instr_wdata_16;
-   wire FE_OFN514_u_soc_instr_wdata_16;
-   wire FE_OFN513_u_soc_instr_wdata_16;
-   wire FE_OFN512_u_soc_instr_wdata_16;
-   wire FE_OFN510_u_soc_instr_wdata_16;
-   wire FE_OFN509_u_soc_instr_wdata_16;
-   wire FE_OFN508_u_soc_instr_wdata_17;
-   wire FE_OFN507_u_soc_instr_wdata_17;
-   wire FE_OFN506_u_soc_instr_wdata_17;
-   wire FE_OFN505_u_soc_instr_wdata_17;
-   wire FE_OFN504_u_soc_instr_wdata_17;
-   wire FE_OFN503_u_soc_instr_wdata_17;
-   wire FE_OFN502_u_soc_instr_wdata_18;
-   wire FE_OFN501_u_soc_instr_wdata_18;
-   wire FE_OFN500_u_soc_instr_wdata_18;
-   wire FE_OFN499_u_soc_instr_wdata_18;
-   wire FE_OFN497_u_soc_instr_wdata_18;
-   wire FE_OFN495_u_soc_instr_wdata_19;
-   wire FE_OFN494_u_soc_instr_wdata_19;
-   wire FE_OFN493_u_soc_instr_wdata_19;
-   wire FE_OFN492_u_soc_instr_wdata_19;
-   wire FE_OFN491_u_soc_instr_wdata_19;
-   wire FE_OFN490_u_soc_instr_wdata_19;
-   wire FE_OFN489_u_soc_instr_wdata_19;
-   wire FE_OFN488_u_soc_instr_wdata_20;
-   wire FE_OFN487_u_soc_instr_wdata_20;
-   wire FE_OFN486_u_soc_instr_wdata_20;
-   wire FE_OFN485_u_soc_instr_wdata_20;
-   wire FE_OFN484_u_soc_instr_wdata_20;
-   wire FE_OFN483_u_soc_instr_wdata_20;
-   wire FE_OFN482_u_soc_instr_wdata_20;
-   wire FE_OFN481_u_soc_instr_wdata_21;
-   wire FE_OFN480_u_soc_instr_wdata_21;
-   wire FE_OFN479_u_soc_instr_wdata_21;
-   wire FE_OFN478_u_soc_instr_wdata_21;
-   wire FE_OFN477_u_soc_instr_wdata_21;
-   wire FE_OFN476_u_soc_instr_wdata_21;
-   wire FE_OFN475_u_soc_instr_wdata_21;
-   wire FE_OFN474_u_soc_instr_wdata_22;
-   wire FE_OFN473_u_soc_instr_wdata_22;
-   wire FE_OFN472_u_soc_instr_wdata_22;
-   wire FE_OFN471_u_soc_instr_wdata_22;
-   wire FE_OFN469_u_soc_instr_wdata_22;
-   wire FE_OFN468_u_soc_instr_wdata_23;
-   wire FE_OFN467_u_soc_instr_wdata_23;
-   wire FE_OFN466_u_soc_instr_wdata_23;
-   wire FE_OFN465_u_soc_instr_wdata_23;
-   wire FE_OFN463_u_soc_instr_wdata_23;
-   wire FE_OFN462_u_soc_instr_wdata_23;
-   wire FE_OFN461_u_soc_instr_wdata_24;
-   wire FE_OFN460_u_soc_instr_wdata_24;
-   wire FE_OFN459_u_soc_instr_wdata_24;
-   wire FE_OFN458_u_soc_instr_wdata_24;
-   wire FE_OFN457_u_soc_instr_wdata_24;
-   wire FE_OFN456_u_soc_instr_wdata_24;
-   wire FE_OFN454_u_soc_instr_wdata_25;
-   wire FE_OFN453_u_soc_instr_wdata_25;
-   wire FE_OFN452_u_soc_instr_wdata_25;
-   wire FE_OFN451_u_soc_instr_wdata_25;
-   wire FE_OFN450_u_soc_instr_wdata_25;
-   wire FE_OFN449_u_soc_instr_wdata_26;
-   wire FE_OFN448_u_soc_instr_wdata_26;
-   wire FE_OFN447_u_soc_instr_wdata_26;
-   wire FE_OFN446_u_soc_instr_wdata_26;
-   wire FE_OFN445_u_soc_instr_wdata_26;
-   wire FE_OFN444_u_soc_instr_wdata_26;
-   wire FE_OFN443_u_soc_instr_wdata_26;
-   wire FE_OFN442_u_soc_instr_wdata_27;
-   wire FE_OFN441_u_soc_instr_wdata_27;
-   wire FE_OFN440_u_soc_instr_wdata_27;
-   wire FE_OFN439_u_soc_instr_wdata_27;
-   wire FE_OFN438_u_soc_instr_wdata_27;
-   wire FE_OFN437_u_soc_instr_wdata_27;
-   wire FE_OFN436_u_soc_instr_wdata_27;
-   wire FE_OFN435_u_soc_instr_wdata_28;
-   wire FE_OFN434_u_soc_instr_wdata_28;
-   wire FE_OFN433_u_soc_instr_wdata_28;
-   wire FE_OFN432_u_soc_instr_wdata_28;
-   wire FE_OFN431_u_soc_instr_wdata_28;
-   wire FE_OFN430_u_soc_instr_wdata_28;
-   wire FE_OFN429_u_soc_instr_wdata_28;
-   wire FE_OFN428_u_soc_instr_wdata_29;
-   wire FE_OFN427_u_soc_instr_wdata_29;
-   wire FE_OFN426_u_soc_instr_wdata_29;
-   wire FE_OFN425_u_soc_instr_wdata_29;
-   wire FE_OFN424_u_soc_instr_wdata_29;
-   wire FE_OFN422_u_soc_instr_wdata_30;
-   wire FE_OFN421_u_soc_instr_wdata_30;
-   wire FE_OFN420_u_soc_instr_wdata_30;
-   wire FE_OFN419_u_soc_instr_wdata_30;
-   wire FE_OFN418_u_soc_instr_wdata_30;
-   wire FE_OFN417_u_soc_instr_wdata_30;
-   wire FE_OFN416_u_soc_instr_wdata_30;
-   wire FE_OFN415_u_soc_instr_wdata_31;
-   wire FE_OFN414_u_soc_instr_wdata_31;
-   wire FE_OFN413_u_soc_instr_wdata_31;
-   wire FE_OFN412_u_soc_instr_wdata_31;
-   wire FE_OFN411_u_soc_instr_wdata_31;
-   wire FE_OFN355_u_soc_u_dccm_rdata3_23;
-   wire FE_OFN330_u_soc_u_dccm_rdata2_22;
-   wire FE_OFN326_u_soc_u_dccm_rdata2_26;
-   wire FE_OFN324_u_soc_u_dccm_rdata2_28;
-   wire FE_OFN320_u_soc_u_dccm_rdata1_0;
-   wire FE_OFN319_u_soc_u_dccm_rdata1_1;
-   wire FE_OFN318_u_soc_u_dccm_rdata1_2;
-   wire FE_OFN317_u_soc_u_dccm_rdata1_3;
-   wire FE_OFN316_u_soc_u_dccm_rdata1_4;
-   wire FE_OFN315_u_soc_u_dccm_rdata1_5;
-   wire FE_OFN314_u_soc_u_dccm_rdata1_6;
-   wire FE_OFN313_u_soc_u_dccm_rdata1_7;
-   wire FE_OFN312_u_soc_u_dccm_rdata1_8;
-   wire FE_OFN311_u_soc_u_dccm_rdata1_9;
-   wire FE_OFN310_u_soc_u_dccm_rdata1_10;
-   wire FE_OFN309_u_soc_u_dccm_rdata1_11;
-   wire FE_OFN308_u_soc_u_dccm_rdata1_12;
-   wire FE_OFN307_u_soc_u_dccm_rdata1_13;
-   wire FE_OFN306_u_soc_u_dccm_rdata1_14;
-   wire FE_OFN305_u_soc_u_dccm_rdata1_15;
-   wire FE_OFN304_u_soc_u_dccm_rdata1_16;
-   wire FE_OFN303_u_soc_u_dccm_rdata1_17;
-   wire FE_OFN302_u_soc_u_dccm_rdata1_18;
-   wire FE_OFN301_u_soc_u_dccm_rdata1_19;
-   wire FE_OFN300_u_soc_u_dccm_rdata1_20;
-   wire FE_OFN299_u_soc_u_dccm_rdata1_21;
-   wire FE_OFN298_u_soc_u_dccm_rdata1_22;
-   wire FE_OFN297_u_soc_u_dccm_rdata1_23;
-   wire FE_OFN296_u_soc_u_dccm_rdata1_24;
-   wire FE_OFN295_u_soc_u_dccm_rdata1_25;
-   wire FE_OFN294_u_soc_u_dccm_rdata1_26;
-   wire FE_OFN293_u_soc_u_dccm_rdata1_27;
-   wire FE_OFN292_u_soc_u_dccm_rdata1_28;
-   wire FE_OFN291_u_soc_u_dccm_rdata1_29;
-   wire FE_OFN290_u_soc_u_dccm_rdata1_30;
-   wire FE_OFN289_u_soc_u_dccm_rdata1_31;
-   wire FE_OFN288_u_soc_data_wdata_0;
-   wire FE_OFN287_u_soc_data_wdata_0;
-   wire FE_OFN286_u_soc_data_wdata_0;
-   wire FE_OFN285_u_soc_data_wdata_0;
-   wire FE_OFN284_u_soc_data_wdata_0;
-   wire FE_OFN283_u_soc_data_wdata_0;
-   wire FE_OFN282_u_soc_data_wdata_0;
-   wire FE_OFN281_u_soc_data_wdata_1;
-   wire FE_OFN280_u_soc_data_wdata_1;
-   wire FE_OFN279_u_soc_data_wdata_1;
-   wire FE_OFN278_u_soc_data_wdata_1;
-   wire FE_OFN277_u_soc_data_wdata_1;
-   wire FE_OFN276_u_soc_data_wdata_1;
-   wire FE_OFN275_u_soc_data_wdata_2;
-   wire FE_OFN274_u_soc_data_wdata_2;
-   wire FE_OFN273_u_soc_data_wdata_2;
-   wire FE_OFN272_u_soc_data_wdata_2;
-   wire FE_OFN271_u_soc_data_wdata_2;
-   wire FE_OFN270_u_soc_data_wdata_2;
-   wire FE_OFN269_u_soc_data_wdata_3;
-   wire FE_OFN268_u_soc_data_wdata_3;
-   wire FE_OFN267_u_soc_data_wdata_3;
-   wire FE_OFN266_u_soc_data_wdata_3;
-   wire FE_OFN265_u_soc_data_wdata_3;
-   wire FE_OFN264_u_soc_data_wdata_3;
-   wire FE_OFN263_u_soc_data_wdata_3;
-   wire FE_OFN262_u_soc_data_wdata_4;
-   wire FE_OFN261_u_soc_data_wdata_4;
-   wire FE_OFN260_u_soc_data_wdata_4;
-   wire FE_OFN259_u_soc_data_wdata_4;
-   wire FE_OFN258_u_soc_data_wdata_4;
-   wire FE_OFN257_u_soc_data_wdata_4;
-   wire FE_OFN255_u_soc_data_wdata_5;
-   wire FE_OFN254_u_soc_data_wdata_5;
-   wire FE_OFN253_u_soc_data_wdata_5;
-   wire FE_OFN251_u_soc_data_wdata_5;
-   wire FE_OFN250_u_soc_data_wdata_5;
-   wire FE_OFN249_u_soc_data_wdata_5;
-   wire FE_OFN248_u_soc_data_wdata_6;
-   wire FE_OFN247_u_soc_data_wdata_6;
-   wire FE_OFN244_u_soc_data_wdata_6;
-   wire FE_OFN243_u_soc_data_wdata_6;
-   wire FE_OFN242_u_soc_data_wdata_7;
-   wire FE_OFN241_u_soc_data_wdata_7;
-   wire FE_OFN238_u_soc_data_wdata_7;
-   wire FE_OFN237_u_soc_data_wdata_7;
-   wire FE_OFN236_u_soc_data_wdata_7;
-   wire FE_OFN235_u_soc_data_wdata_8;
-   wire FE_OFN234_u_soc_data_wdata_8;
-   wire FE_OFN233_u_soc_data_wdata_8;
-   wire FE_OFN232_u_soc_data_wdata_8;
-   wire FE_OFN231_u_soc_data_wdata_8;
-   wire FE_OFN230_u_soc_data_wdata_8;
-   wire FE_OFN229_u_soc_data_wdata_14;
-   wire FE_OFN228_u_soc_data_wdata_14;
-   wire FE_OFN227_u_soc_data_wdata_14;
-   wire FE_OFN226_u_soc_data_wdata_14;
-   wire FE_OFN225_u_soc_data_wdata_14;
-   wire FE_OFN223_u_soc_data_wdata_14;
-   wire FE_OFN222_u_soc_data_wdata_15;
-   wire FE_OFN221_u_soc_data_wdata_15;
-   wire FE_OFN220_u_soc_data_wdata_15;
-   wire FE_OFN219_u_soc_data_wdata_15;
-   wire FE_OFN218_u_soc_data_wdata_15;
-   wire FE_OFN216_u_soc_data_wdata_15;
-   wire FE_OFN215_u_soc_data_wdata_17;
-   wire FE_OFN214_u_soc_data_wdata_17;
-   wire FE_OFN213_u_soc_data_wdata_17;
-   wire FE_OFN212_u_soc_data_wdata_17;
-   wire FE_OFN211_u_soc_data_wdata_17;
-   wire FE_OFN209_u_soc_data_wdata_17;
-   wire FE_OFN207_u_soc_data_wdata_20;
-   wire FE_OFN206_u_soc_data_wdata_20;
-   wire FE_OFN205_u_soc_data_wdata_20;
-   wire FE_OFN204_u_soc_data_wdata_20;
-   wire FE_OFN203_u_soc_data_wdata_20;
-   wire FE_OFN202_u_soc_data_wdata_20;
-   wire FE_OFN201_u_soc_data_wdata_21;
-   wire FE_OFN199_u_soc_data_wdata_21;
-   wire FE_OFN198_u_soc_data_wdata_21;
-   wire FE_OFN197_u_soc_data_wdata_21;
-   wire FE_OFN196_u_soc_data_wdata_21;
-   wire FE_OFN195_u_soc_data_wdata_21;
+   wire FE_OFN18358_n_15887;
+   wire FE_OFN18356_n_11472;
+   wire FE_OFN18354_n_11474;
+   wire FE_OFN18352_n_11553;
+   wire FE_OFN18348_n_15869;
+   wire FE_OFN18347_n_11152;
+   wire FE_OFN18346_n_15865;
+   wire FE_OFN18344_n_11478;
+   wire FE_OFN18342_n_11514;
+   wire FE_OFN18341_u_soc_u_top_u_core_instr_rdata_id_28;
+   wire FE_OFN18335_u_soc_u_top_u_core_rf_waddr_wb_3;
+   wire FE_OFN18334_n_11749;
+   wire FE_OFN18332_n_11550;
+   wire FE_OFN18328_n_11769;
+   wire FE_OFN18327_u_soc_u_top_u_core_alu_operand_b_ex_8;
+   wire FE_OFN18322_n_15868;
+   wire FE_OFN18321_n_7770;
+   wire FE_OFN18311_n_11034;
+   wire FE_OFN18309_n_11258;
+   wire FE_OFN18308_n_11471;
+   wire FE_OFN18307_n_11471;
+   wire FE_OFN18305_n_11473;
+   wire FE_OFN18303_n_7771;
+   wire FE_OFN18301_n_11032;
+   wire FE_OFN18300_u_soc_u_top_u_core_instr_rdata_id_16;
+   wire FE_OFN18298_n_11259;
+   wire FE_OFN18297_n_16005;
+   wire FE_OFN18294_n_10531;
+   wire FE_OFN18291_n_10530;
+   wire FE_OFN18290_n;
+   wire FE_OFN18289_n;
+   wire FE_OFN18286_n_12256;
+   wire FE_OFN18285_u_soc_u_top_u_core_instr_rdata_id_24;
+   wire FE_OFN18284_n_13323;
+   wire FE_OFN18283_n_13323;
+   wire FE_OFN18279_n_11593;
+   wire FE_OFN18276_u_soc_u_top_u_core_alu_operand_b_ex_6;
+   wire FE_OFN18274_u_soc_u_top_u_core_instr_rdata_id_18;
+   wire FE_OFN18271_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0;
+   wire FE_OFN18264_n;
+   wire FE_OFN18263_n_6919;
+   wire FE_OFN18262_n_10344;
+   wire FE_OFN18255_n;
+   wire FE_OFN18253_u_soc_iccm_adapter_inst_mem_wmask_int_0__30;
+   wire FE_OFN18252_u_soc_u_top_u_core_alu_operand_b_ex_9;
+   wire FE_OFN18249_n;
+   wire FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10;
+   wire FE_OFN18245_n_13424;
+   wire FE_OFN18244_n_13394;
+   wire FE_OFN18243_n_6709;
+   wire FE_OFN18242_n_6709;
+   wire FE_OFN18241_n_13393;
+   wire FE_OFN18240_n_15946;
+   wire FE_OFN18239_n;
+   wire FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0;
+   wire FE_OFN18234_n;
+   wire FE_OFN18230_n;
+   wire FE_OFN18228_n;
+   wire FE_OFN18227_n;
+   wire FE_OFN18224_n_15897;
+   wire FE_OFN18223_u_soc_u_top_u_core_instr_rdata_id_5;
+   wire FE_OFN18217_n_11518;
+   wire FE_OFN18214_u_soc_xbar_to_dccm_a_address__2;
+   wire FE_OFN18213_u_soc_xbar_to_dccm_a_address__3;
+   wire FE_OFN18212_u_soc_xbar_to_dccm_a_address__3;
+   wire FE_OFN18210_u_soc_xbar_to_dccm_a_address__11;
+   wire FE_OFN18209_u_soc_xbar_to_dccm_a_address__11;
+   wire FE_OFN18207_n_8335;
+   wire FE_OFN18205_n_11093;
+   wire FE_OFN18204_u_soc_u_top_u_core_instr_rdata_id_6;
+   wire FE_OFN18203_u_soc_u_top_u_core_instr_rdata_id_6;
+   wire FE_OFN18198_n_15911;
+   wire FE_OFN18196_n_15998;
+   wire FE_OFN18195_u_soc_lsu_to_xbar_a_address__16;
+   wire FE_OFN18193_u_soc_u_top_u_core_rf_waddr_wb_1;
+   wire FE_OFN18192_u_soc_u_top_u_core_alu_operator_ex_1;
+   wire FE_OFN18191_n_11626;
+   wire FE_OFN18190_n_2676;
+   wire FE_OFN18189_n_2676;
+   wire FE_OFN18188_u_soc_u_top_u_core_instr_rdata_id_4;
+   wire FE_OFN18187_u_soc_xbar_to_dccm_a_address__10;
+   wire FE_OFN18184_n_15997;
+   wire FE_OFN18177_n_6859;
+   wire FE_OFN18176_n_8333;
+   wire FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1;
+   wire FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1;
+   wire FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1;
+   wire FE_OFN18170_u_soc_xbar_to_dccm_a_data__13;
+   wire FE_OFN18167_n_6869;
+   wire FE_OFN18166_u_soc_xbar_to_dccm_a_data__4;
+   wire FE_OFN18165_u_soc_xbar_to_dccm_a_data__23;
+   wire FE_OFN18164_n_7382;
+   wire FE_OFN18163_u_soc_u_top_u_core_instr_rdata_id_31;
+   wire FE_OFN18162_u_soc_u_top_u_core_instr_rdata_id_31;
+   wire FE_OFN18161_u_soc_xbar_to_dccm_a_data__3;
+   wire FE_OFN18160_u_soc_xbar_to_dccm_a_data__5;
+   wire FE_OFN18159_u_soc_xbar_to_dccm_a_data__1;
+   wire FE_OFN18157_n_15881;
+   wire FE_OFN18156_u_soc_u_top_u_core_alu_operator_ex_0;
+   wire FE_OFN18155_u_soc_xbar_to_dccm_a_data__2;
+   wire FE_OFN18154_n_11746;
+   wire FE_OFN18153_n_6863;
+   wire FE_OFN18152_n_2683;
+   wire FE_OFN18151_n_15;
+   wire FE_OFN18148_n_113;
+   wire FE_OFN18146_n_13339;
+   wire FE_OFN18144_n_11355;
+   wire FE_OFN18143_u_soc_u_top_u_core_instr_rdata_id_12;
+   wire FE_OFN18142_u_soc_u_top_u_core_instr_rdata_id_25;
+   wire FE_OFN18141_n_2285;
+   wire FE_OFN18139_n_625;
+   wire FE_OFN18137_n_639;
+   wire FE_OFN18136_n_7253;
+   wire FE_OFN18132_n_130;
+   wire FE_OFN18131_n_11259;
+   wire FE_OFN18129_u_soc_u_top_u_core_instr_rdata_id_30;
+   wire FE_OFN18127_n_616;
+   wire FE_OFN18126_n_119;
+   wire FE_OFN18124_n_11054;
+   wire FE_OFN18122_n_619;
+   wire FE_OFN18119_n_2678;
+   wire FE_OFN18118_n_2678;
+   wire FE_OFN18117_n_1854;
+   wire FE_OFN18116_n_11176;
+   wire FE_OFN18115_n_2420;
+   wire FE_OFN18114_n_2420;
+   wire FE_OFN18113_n_142;
+   wire FE_OFN18112_n_142;
+   wire FE_OFN18111_n_6921;
+   wire FE_OFN18108_n_109;
+   wire FE_OFN18105_u_soc_dccm_adapter_data_weD;
+   wire FE_OFN18104_n_620;
+   wire FE_OFN18103_n_135;
+   wire FE_OFN18101_n_577;
+   wire FE_OFN18100_n_1999;
+   wire FE_OFN18099_n_2000;
+   wire FE_OFN18098_n_7609;
+   wire FE_OFN18097_n_7609;
+   wire FE_OFN18096_n_2681;
+   wire FE_OFN18095_n_7607;
+   wire FE_OFN18094_n_7607;
+   wire FE_OFN18093_n_13091;
+   wire FE_OFN18092_n_3075;
+   wire FE_OFN18091_n_3074;
+   wire FE_OFN18090_n_2674;
+   wire FE_OFN18089_n_301;
+   wire FE_OFN18088_n_2680;
+   wire FE_OFN18087_n_1227;
+   wire FE_OFN18086_n_7797;
+   wire FE_OFN18085_n_11213;
+   wire FE_OFN18084_n_13380;
+   wire FE_OFN18083_n_13380;
+   wire FE_OFN18064_n;
+   wire FE_OFN18061_n;
+   wire FE_OFN18053_n;
+   wire FE_OFN18012_n;
+   wire FE_OFN18006_n;
+   wire FE_OFN18005_n;
+   wire FE_OFN17998_n;
+   wire FE_OFN17990_n;
+   wire FE_OFN17989_n;
+   wire FE_OFN17984_n;
+   wire FE_OFN1910_n;
+   wire FE_OFN1909_n;
+   wire FE_OFN1908_n;
+   wire FE_OFN1907_n;
+   wire FE_OFN1906_n;
+   wire FE_OFN1904_n;
+   wire FE_OFN1902_n;
+   wire FE_OFN1900_n;
+   wire FE_OFN1883_n_8447;
+   wire FE_OFN1882_n_8085;
+   wire FE_OFN1881_n_3075;
+   wire FE_OFN1880_n_3074;
+   wire FE_OFN1878_n_13425;
+   wire FE_OFN1877_n_10568;
+   wire FE_OFN1876_n_8759;
+   wire FE_OFN1875_n_8758;
+   wire FE_OFN1874_n_8757;
+   wire FE_OFN1873_n_8756;
+   wire FE_OFN1872_n_8755;
+   wire FE_OFN1871_n_8754;
+   wire FE_OFN1870_n_8753;
+   wire FE_OFN1869_n_8752;
+   wire FE_OFN1866_n_8749;
+   wire FE_OFN1864_n_8747;
+   wire FE_OFN1863_n_8746;
+   wire FE_OFN1862_n_8745;
+   wire FE_OFN1861_n_8737;
+   wire FE_OFN1860_n_8736;
+   wire FE_OFN1859_n_8735;
+   wire FE_OFN1858_n_8734;
+   wire FE_OFN1857_n_8733;
+   wire FE_OFN1856_n_8732;
+   wire FE_OFN1855_n_8612;
+   wire FE_OFN1854_n_8611;
+   wire FE_OFN1853_n_8544;
+   wire FE_OFN1852_n_8287;
+   wire FE_OFN1851_n_8285;
+   wire FE_OFN1850_n_8283;
+   wire FE_OFN1849_n_8079;
+   wire FE_OFN1848_n_8077;
+   wire FE_OFN1846_n_7913;
+   wire FE_OFN1845_n_7911;
+   wire FE_OFN1844_n_7909;
+   wire FE_OFN1843_n_7795;
+   wire FE_OFN1841_n_7791;
+   wire FE_OFN1840_n_7789;
+   wire FE_OFN1839_n_7783;
+   wire FE_OFN1838_n_7781;
+   wire FE_OFN1837_n_7779;
+   wire FE_OFN1836_n_7777;
+   wire FE_OFN1835_n_7773;
+   wire FE_OFN1834_n_7617;
+   wire FE_OFN1833_n_7615;
+   wire FE_OFN1832_n_7613;
+   wire FE_OFN1831_n_7557;
+   wire FE_OFN1830_n_7555;
+   wire FE_OFN1829_n_5836;
+   wire FE_OFN1828_n_5834;
+   wire FE_OFN1827_n_5830;
+   wire FE_OFN1826_n_5826;
+   wire FE_OFN1825_n_5080;
+   wire FE_OFN1824_n_3135;
+   wire FE_OFN1823_n_3133;
+   wire FE_OFN1822_n_3131;
+   wire FE_OFN1821_n_3128;
+   wire FE_OFN1820_n_3077;
+   wire FE_OFN1819_n_3024;
+   wire FE_OFN1818_n_3016;
+   wire FE_OFN1817_n_2678;
+   wire FE_OFN1816_n_2676;
+   wire FE_OFN1815_n_1999;
+   wire FE_OFN1813_n_1843;
+   wire FE_OFN1811_n_1842;
+   wire FE_OFN1808_u_soc_u_tcam_n_27;
+   wire FE_OFN1807_n_16011;
+   wire FE_OFN1806_n_11176;
+   wire FE_OFN1805_n_10931;
+   wire FE_OFN1804_n_10864;
+   wire FE_OFN1803_n_10863;
+   wire FE_OFN1802_n_10862;
+   wire FE_OFN1801_n_10718;
+   wire FE_OFN1800_n_10539;
+   wire FE_OFN1799_n_10538;
+   wire FE_OFN1798_n_10537;
+   wire FE_OFN1797_n_10536;
+   wire FE_OFN1796_n_10535;
+   wire FE_OFN1795_n_10534;
+   wire FE_OFN1794_n_10533;
+   wire FE_OFN1793_n_10532;
+   wire FE_OFN1792_n_10516;
+   wire FE_OFN1791_n_10481;
+   wire FE_OFN1790_n_10478;
+   wire FE_OFN1789_n_10474;
+   wire FE_OFN1788_n_10409;
+   wire FE_OFN1787_n_10155;
+   wire FE_OFN1786_n_10146;
+   wire FE_OFN1785_n_10145;
+   wire FE_OFN1784_n_8730;
+   wire FE_OFN1783_n_7807;
+   wire FE_OFN1782_n_7806;
+   wire FE_OFN1781_n_7804;
+   wire FE_OFN1780_n_7804;
+   wire FE_OFN1779_n_7802;
+   wire FE_OFN1778_n_7801;
+   wire FE_OFN1777_n_7800;
+   wire FE_OFN1776_n_7800;
+   wire FE_OFN1775_n_7797;
+   wire FE_OFN1774_n_7272;
+   wire FE_OFN1773_n_7269;
+   wire FE_OFN1772_n_7268;
+   wire FE_OFN1771_n_7267;
+   wire FE_OFN1770_n_7266;
+   wire FE_OFN1769_n_7264;
+   wire FE_OFN1768_n_7263;
+   wire FE_OFN1767_n_7262;
+   wire FE_OFN1766_n_7262;
+   wire FE_OFN1765_n_7261;
+   wire FE_OFN1764_n_7260;
+   wire FE_OFN1763_n_7259;
+   wire FE_OFN1762_n_7258;
+   wire FE_OFN1761_n_6733;
+   wire FE_OFN1760_n_6724;
+   wire FE_OFN1759_n_6722;
+   wire FE_OFN1758_n_5953;
+   wire FE_OFN1757_n_3140;
+   wire FE_OFN1756_n_3122;
+   wire FE_OFN1755_n_3120;
+   wire FE_OFN1754_n_3032;
+   wire FE_OFN1753_n_3030;
+   wire FE_OFN1752_n_3028;
+   wire FE_OFN1751_n_3026;
+   wire FE_OFN1750_n_3022;
+   wire FE_OFN1749_n_3020;
+   wire FE_OFN1748_n_3018;
+   wire FE_OFN1747_n_2244;
+   wire FE_OFN1746_n_2241;
+   wire FE_OFN1745_n_2136;
+   wire FE_OFN1744_n_2122;
+   wire FE_OFN1743_n_2116;
+   wire FE_OFN1742_n_2097;
+   wire FE_OFN1741_n_2077;
+   wire FE_OFN1740_n_2049;
+   wire FE_OFN1739_n_2045;
+   wire FE_OFN1738_n_2033;
+   wire FE_OFN1737_n_2031;
+   wire FE_OFN1736_n_2029;
+   wire FE_OFN1735_n_2027;
+   wire FE_OFN1734_n_2025;
+   wire FE_OFN1733_n_2023;
+   wire FE_OFN1732_n_2021;
+   wire FE_OFN1731_n_2019;
+   wire FE_OFN1730_n_1516;
+   wire FE_OFN1729_n_1513;
+   wire FE_OFN1728_n_1431;
+   wire FE_OFN1727_n_1233;
+   wire FE_OFN1726_u_soc_xbar_to_dccm_a_data__0;
+   wire FE_OFN1724_u_soc_xbar_to_dccm_a_data__2;
+   wire FE_OFN1723_u_soc_xbar_to_dccm_a_data__3;
+   wire FE_OFN1722_u_soc_xbar_to_dccm_a_data__4;
+   wire FE_OFN1721_u_soc_xbar_to_dccm_a_data__5;
+   wire FE_OFN1720_u_soc_xbar_to_dccm_a_data__6;
+   wire FE_OFN1719_u_soc_xbar_to_dccm_a_data__6;
+   wire FE_OFN1718_u_soc_xbar_to_dccm_a_data__7;
+   wire FE_OFN1717_u_soc_xbar_to_dccm_a_data__7;
+   wire FE_OFN1716_u_soc_xbar_to_dccm_a_data__8;
+   wire FE_OFN1715_u_soc_xbar_to_dccm_a_data__9;
+   wire FE_OFN1714_u_soc_xbar_to_dccm_a_data__10;
+   wire FE_OFN1713_u_soc_xbar_to_dccm_a_data__11;
+   wire FE_OFN1712_u_soc_xbar_to_dccm_a_data__12;
+   wire FE_OFN1711_u_soc_xbar_to_dccm_a_data__13;
+   wire FE_OFN1710_u_soc_xbar_to_dccm_a_data__14;
+   wire FE_OFN1709_u_soc_xbar_to_dccm_a_data__15;
+   wire FE_OFN1708_u_soc_xbar_to_dccm_a_data__16;
+   wire FE_OFN1707_u_soc_xbar_to_dccm_a_data__17;
+   wire FE_OFN1706_u_soc_xbar_to_dccm_a_data__18;
+   wire FE_OFN1705_u_soc_xbar_to_dccm_a_data__19;
+   wire FE_OFN1704_u_soc_xbar_to_dccm_a_data__20;
+   wire FE_OFN1703_u_soc_xbar_to_dccm_a_data__21;
+   wire FE_OFN1702_u_soc_xbar_to_dccm_a_data__22;
+   wire FE_OFN1701_u_soc_xbar_to_dccm_a_data__23;
+   wire FE_OFN1700_u_soc_xbar_to_dccm_a_data__24;
+   wire FE_OFN1699_u_soc_xbar_to_dccm_a_data__25;
+   wire FE_OFN1698_u_soc_xbar_to_dccm_a_data__26;
+   wire FE_OFN1697_u_soc_xbar_to_dccm_a_data__27;
+   wire FE_OFN1696_u_soc_xbar_to_dccm_a_data__28;
+   wire FE_OFN1695_u_soc_xbar_to_dccm_a_data__29;
+   wire FE_OFN1694_u_soc_xbar_to_dccm_a_data__30;
+   wire FE_OFN1693_u_soc_xbar_to_dccm_a_data__31;
+   wire FE_OFN1665_u_soc_u_dccm_csb4;
+   wire FE_OFN1664_u_soc_u_dccm_csb1;
+   wire FE_OFN1663_u_soc_dccm_adapter_data_mem_error_internal;
+   wire FE_OFN1662_n_15945;
+   wire FE_OFN1661_n_15897;
+   wire FE_OFN1660_n_15897;
+   wire FE_OFN1658_n_15860;
+   wire FE_OFN1657_n_13558;
+   wire FE_OFN1656_n_13428;
+   wire FE_OFN1654_n_13422;
+   wire FE_OFN1652_n_13391;
+   wire FE_OFN1651_n_13389;
+   wire FE_OFN1650_n_12719;
+   wire FE_OFN1649_n_11054;
+   wire FE_OFN1647_n_10296;
+   wire FE_OFN1644_n_10270;
+   wire FE_OFN1643_n_10248;
+   wire FE_OFN1642_n_10247;
+   wire FE_OFN1641_n_10238;
+   wire FE_OFN1637_n_10196;
+   wire FE_OFN1636_n_10044;
+   wire FE_OFN1635_n_9296;
+   wire FE_OFN1634_n_9277;
+   wire FE_OFN1633_n_9163;
+   wire FE_OFN1632_n_9159;
+   wire FE_OFN1631_n_9006;
+   wire FE_OFN1630_n_9005;
+   wire FE_OFN1629_n_9004;
+   wire FE_OFN1628_n_8982;
+   wire FE_OFN1627_n_8981;
+   wire FE_OFN1626_n_8980;
+   wire FE_OFN1625_n_8979;
+   wire FE_OFN1624_n_8978;
+   wire FE_OFN1623_n_8977;
+   wire FE_OFN1622_n_8976;
+   wire FE_OFN1621_n_8975;
+   wire FE_OFN1620_n_8974;
+   wire FE_OFN1619_n_8973;
+   wire FE_OFN1618_n_8971;
+   wire FE_OFN1617_n_8970;
+   wire FE_OFN1616_n_8968;
+   wire FE_OFN1615_n_8968;
+   wire FE_OFN1614_n_8967;
+   wire FE_OFN1613_n_8966;
+   wire FE_OFN1612_n_8966;
+   wire FE_OFN1611_n_8965;
+   wire FE_OFN1610_n_8965;
+   wire FE_OFN1609_n_8964;
+   wire FE_OFN1608_n_8963;
+   wire FE_OFN1607_n_8963;
+   wire FE_OFN1606_n_8962;
+   wire FE_OFN1605_n_8962;
+   wire FE_OFN1604_n_8961;
+   wire FE_OFN1603_n_8961;
+   wire FE_OFN1602_n_8867;
+   wire FE_OFN1601_n_8862;
+   wire FE_OFN1600_n_8549;
+   wire FE_OFN1599_n_8548;
+   wire FE_OFN1597_n_8546;
+   wire FE_OFN1596_n_8545;
+   wire FE_OFN1595_n_7979;
+   wire FE_OFN1594_n_7978;
+   wire FE_OFN1593_n_7976;
+   wire FE_OFN1592_n_7975;
+   wire FE_OFN1591_n_7974;
+   wire FE_OFN1590_n_7974;
+   wire FE_OFN1589_n_7973;
+   wire FE_OFN1588_n_7969;
+   wire FE_OFN1587_n_7969;
+   wire FE_OFN1586_n_7907;
+   wire FE_OFN1585_n_7251;
+   wire FE_OFN1584_n_7250;
+   wire FE_OFN1583_n_7249;
+   wire FE_OFN1582_n_7248;
+   wire FE_OFN1581_n_7247;
+   wire FE_OFN1580_n_6915;
+   wire FE_OFN1579_n_6731;
+   wire FE_OFN1578_n_6729;
+   wire FE_OFN1577_n_6726;
+   wire FE_OFN1576_n_6720;
+   wire FE_OFN1575_n_6718;
+   wire FE_OFN1574_n_6714;
+   wire FE_OFN1573_n_6713;
+   wire FE_OFN1572_n_6712;
+   wire FE_OFN1571_n_6711;
+   wire FE_OFN1570_n_6710;
+   wire FE_OFN1569_n_6490;
+   wire FE_OFN1567_n_5686;
+   wire FE_OFN1566_n_5684;
+   wire FE_OFN1564_n_5664;
+   wire FE_OFN1563_n_5662;
+   wire FE_OFN1562_n_5661;
+   wire FE_OFN1561_n_5660;
+   wire FE_OFN1560_n_5659;
+   wire FE_OFN1559_n_5658;
+   wire FE_OFN1558_n_5657;
+   wire FE_OFN1557_n_5656;
+   wire FE_OFN1556_n_5655;
+   wire FE_OFN1555_n_5654;
+   wire FE_OFN1554_n_5653;
+   wire FE_OFN1553_n_5651;
+   wire FE_OFN1552_n_5649;
+   wire FE_OFN1551_n_5647;
+   wire FE_OFN1550_n_5645;
+   wire FE_OFN1549_n_3013;
+   wire FE_OFN1548_n_3011;
+   wire FE_OFN1547_n_3009;
+   wire FE_OFN1546_n_3007;
+   wire FE_OFN1545_n_3004;
+   wire FE_OFN1544_n_3001;
+   wire FE_OFN1543_n_2999;
+   wire FE_OFN1542_n_2997;
+   wire FE_OFN1541_n_2994;
+   wire FE_OFN1540_n_2992;
+   wire FE_OFN1539_n_2991;
+   wire FE_OFN1538_n_2989;
+   wire FE_OFN1537_n_2980;
+   wire FE_OFN1536_n_2680;
+   wire FE_OFN1535_n_2674;
+   wire FE_OFN1534_n_2421;
+   wire FE_OFN1533_n_2412;
+   wire FE_OFN1531_n_2388;
+   wire FE_OFN1530_n_2374;
+   wire FE_OFN1529_n_2354;
+   wire FE_OFN1528_n_2349;
+   wire FE_OFN1526_n_2280;
+   wire FE_OFN1525_n_2279;
+   wire FE_OFN1524_n_2278;
+   wire FE_OFN1523_n_2277;
+   wire FE_OFN1522_n_2276;
+   wire FE_OFN1521_n_2275;
+   wire FE_OFN1520_n_2272;
+   wire FE_OFN1519_n_2271;
+   wire FE_OFN1518_n_2270;
+   wire FE_OFN1517_n_2269;
+   wire FE_OFN1516_n_2268;
+   wire FE_OFN1515_n_2267;
+   wire FE_OFN1514_n_2266;
+   wire FE_OFN1513_n_2265;
+   wire FE_OFN1512_n_2263;
+   wire FE_OFN1511_n_2262;
+   wire FE_OFN1510_n_2260;
+   wire FE_OFN1509_n_2259;
+   wire FE_OFN1508_n_2258;
+   wire FE_OFN1507_n_2257;
+   wire FE_OFN1506_n_2238;
+   wire FE_OFN1505_n_2237;
+   wire FE_OFN1504_n_2230;
+   wire FE_OFN1503_n_2226;
+   wire FE_OFN1502_n_2218;
+   wire FE_OFN1501_n_2217;
+   wire FE_OFN1500_n_2216;
+   wire FE_OFN1499_n_2160;
+   wire FE_OFN1498_n_2145;
+   wire FE_OFN1497_n_2144;
+   wire FE_OFN1496_n_2143;
+   wire FE_OFN1495_n_2142;
+   wire FE_OFN1494_n_2141;
+   wire FE_OFN1493_n_2140;
+   wire FE_OFN1492_n_2139;
+   wire FE_OFN1491_n_2138;
+   wire FE_OFN1490_n_2134;
+   wire FE_OFN1489_n_2132;
+   wire FE_OFN1488_n_2128;
+   wire FE_OFN1487_n_2124;
+   wire FE_OFN1486_n_2120;
+   wire FE_OFN1485_n_2112;
+   wire FE_OFN1484_n_2110;
+   wire FE_OFN1483_n_2108;
+   wire FE_OFN1482_n_2107;
+   wire FE_OFN1481_n_2106;
+   wire FE_OFN1480_n_2104;
+   wire FE_OFN1479_n_2102;
+   wire FE_OFN1478_n_2100;
+   wire FE_OFN1477_n_2099;
+   wire FE_OFN1476_n_2095;
+   wire FE_OFN1475_n_2093;
+   wire FE_OFN1474_n_2091;
+   wire FE_OFN1473_n_2085;
+   wire FE_OFN1472_n_2083;
+   wire FE_OFN1471_n_2081;
+   wire FE_OFN1470_n_2079;
+   wire FE_OFN1469_n_2075;
+   wire FE_OFN1468_n_2073;
+   wire FE_OFN1467_n_2071;
+   wire FE_OFN1466_n_2070;
+   wire FE_OFN1465_n_2069;
+   wire FE_OFN1464_n_2065;
+   wire FE_OFN1463_n_2063;
+   wire FE_OFN1462_n_2061;
+   wire FE_OFN1461_n_2059;
+   wire FE_OFN1460_n_2057;
+   wire FE_OFN1459_n_2037;
+   wire FE_OFN1458_n_2035;
+   wire FE_OFN1457_n_2017;
+   wire FE_OFN1456_n_2016;
+   wire FE_OFN1455_n_2007;
+   wire FE_OFN1453_n_1992;
+   wire FE_OFN1452_n_1879;
+   wire FE_OFN1451_n_1875;
+   wire FE_OFN1450_n_1850;
+   wire FE_OFN1449_n_1848;
+   wire FE_OFN1448_n_1844;
+   wire FE_OFN1447_n_1751;
+   wire FE_OFN1446_n_1412;
+   wire FE_OFN1445_n_1407;
+   wire FE_OFN1444_n_1218;
+   wire FE_OFN1443_n_1217;
+   wire FE_OFN1442_n_1049;
+   wire FE_OFN1441_n_577;
+   wire FE_OFN1439_n_141;
+   wire FE_OFN1387_u_soc_u_top_u_core_alu_operand_b_ex_20;
+   wire FE_OFN1295_u_soc_uart_to_xbar_d_valid;
+   wire FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear;
+   wire FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr;
+   wire FE_OFN1290_u_soc_u_top_data_we;
+   wire FE_OFN1289_u_soc_u_iccm_csb4;
+   wire FE_OFN1286_u_soc_u_iccm_csb1;
+   wire FE_OFN1284_u_soc_prog_rst_ni;
+   wire FE_OFN1283_u_soc_instr_we;
+   wire FE_OFN1282_u_soc_instr_we;
+   wire FE_OFN1281_u_soc_instr_we;
+   wire FE_OFN1280_u_soc_data_we;
+   wire FE_OFN1279_u_soc_data_we;
+   wire FE_OFN1278_u_soc_data_we;
+   wire FE_OFN1277_u_soc_data_we;
+   wire FE_OFN1276_u_soc_data_we;
+   wire FE_OFN1275_u_soc_data_csb;
+   wire FE_OFN1274_n_17982;
+   wire FE_OFN1273_n_17395;
+   wire FE_OFN1272_n_16012;
+   wire FE_OFN1271_n_15930;
+   wire FE_OFN1270_n_13557;
+   wire FE_OFN1269_n_13494;
+   wire FE_OFN1268_n_13493;
+   wire FE_OFN1267_n_13489;
+   wire FE_OFN1266_n_13380;
+   wire FE_OFN1264_n_13101;
+   wire FE_OFN1263_n_13097;
+   wire FE_OFN1262_n_13093;
+   wire FE_OFN1261_n_13092;
+   wire FE_OFN1260_n_13090;
+   wire FE_OFN1259_n_13089;
+   wire FE_OFN1258_n_13087;
+   wire FE_OFN1257_n_13086;
+   wire FE_OFN1256_n_13085;
+   wire FE_OFN1255_n_13084;
+   wire FE_OFN1254_n_13083;
+   wire FE_OFN1253_n_13082;
+   wire FE_OFN1252_n_13078;
+   wire FE_OFN1251_n_13077;
+   wire FE_OFN1250_n_13076;
+   wire FE_OFN1249_n_13075;
+   wire FE_OFN1248_n_13074;
+   wire FE_OFN1247_n_13073;
+   wire FE_OFN1246_n_13070;
+   wire FE_OFN1245_n_13069;
+   wire FE_OFN1244_n_12857;
+   wire FE_OFN1242_n_11564;
+   wire FE_OFN1239_n_10999;
+   wire FE_OFN1238_n_10692;
+   wire FE_OFN1237_n_9003;
+   wire FE_OFN1236_n_9002;
+   wire FE_OFN1235_n_9000;
+   wire FE_OFN1234_n_8999;
+   wire FE_OFN1233_n_8999;
+   wire FE_OFN1232_n_8983;
+   wire FE_OFN1231_n_8933;
+   wire FE_OFN1230_n_8932;
+   wire FE_OFN1229_n_8921;
+   wire FE_OFN1228_n_8921;
+   wire FE_OFN1227_n_8921;
+   wire FE_OFN1226_n_8921;
+   wire FE_OFN1225_n_8921;
+   wire FE_OFN1224_n_8921;
+   wire FE_OFN1223_n_8911;
+   wire FE_OFN1222_n_8911;
+   wire FE_OFN1221_n_8911;
+   wire FE_OFN1220_n_8911;
+   wire FE_OFN1219_n_8911;
+   wire FE_OFN1218_n_8860;
+   wire FE_OFN1217_n_8857;
+   wire FE_OFN1216_n_8856;
+   wire FE_OFN1215_n_8855;
+   wire FE_OFN1214_n_8855;
+   wire FE_OFN1213_n_8853;
+   wire FE_OFN1212_n_8853;
+   wire FE_OFN1211_n_8844;
+   wire FE_OFN1210_n_8844;
+   wire FE_OFN1209_n_8844;
+   wire FE_OFN1208_n_8844;
+   wire FE_OFN1207_n_8844;
+   wire FE_OFN1206_n_8844;
+   wire FE_OFN1205_n_8835;
+   wire FE_OFN1204_n_8835;
+   wire FE_OFN1203_n_8835;
+   wire FE_OFN1202_n_8835;
+   wire FE_OFN1201_n_8835;
+   wire FE_OFN1200_n_8448;
+   wire FE_OFN1199_n_7965;
+   wire FE_OFN1198_n_6918;
+   wire FE_OFN1197_n_6917;
+   wire FE_OFN1196_n_6916;
+   wire FE_OFN1195_n_6865;
+   wire FE_OFN1194_n_6859;
+   wire FE_OFN1193_n_6857;
+   wire FE_OFN1192_n_6709;
+   wire FE_OFN1191_n_6709;
+   wire FE_OFN1190_n_6493;
+   wire FE_OFN1189_n_6492;
+   wire FE_OFN1188_n_6491;
+   wire FE_OFN1187_n_6488;
+   wire FE_OFN1186_n_6487;
+   wire FE_OFN1185_n_5961;
+   wire FE_OFN1184_n_5950;
+   wire FE_OFN1183_n_5934;
+   wire FE_OFN1182_n_5663;
+   wire FE_OFN1181_n_5404;
+   wire FE_OFN1180_n_5399;
+   wire FE_OFN1179_n_5397;
+   wire FE_OFN1178_n_5394;
+   wire FE_OFN1177_n_5382;
+   wire FE_OFN1176_n_5376;
+   wire FE_OFN1175_n_5374;
+   wire FE_OFN1174_n_5372;
+   wire FE_OFN1173_n_5371;
+   wire FE_OFN1172_n_5370;
+   wire FE_OFN1171_n_5369;
+   wire FE_OFN1170_n_5368;
+   wire FE_OFN1169_n_5367;
+   wire FE_OFN1168_n_5366;
+   wire FE_OFN1167_n_5364;
+   wire FE_OFN1166_n_5362;
+   wire FE_OFN1165_n_5360;
+   wire FE_OFN1164_n_5358;
+   wire FE_OFN1163_n_5356;
+   wire FE_OFN1162_n_5356;
+   wire FE_OFN1161_n_5355;
+   wire FE_OFN1160_n_5354;
+   wire FE_OFN1159_n_5353;
+   wire FE_OFN1158_n_5352;
+   wire FE_OFN1157_n_5351;
+   wire FE_OFN1156_n_5350;
+   wire FE_OFN1155_n_5349;
+   wire FE_OFN1154_n_5348;
+   wire FE_OFN1153_n_5347;
+   wire FE_OFN1152_n_5346;
+   wire FE_OFN1151_n_5345;
+   wire FE_OFN1150_n_5344;
+   wire FE_OFN1149_n_5343;
+   wire FE_OFN1148_n_5342;
+   wire FE_OFN1147_n_5342;
+   wire FE_OFN1146_n_5341;
+   wire FE_OFN1145_n_5341;
+   wire FE_OFN1144_n_5340;
+   wire FE_OFN1143_n_5340;
+   wire FE_OFN1142_n_5339;
+   wire FE_OFN1141_n_5338;
+   wire FE_OFN1140_n_5338;
+   wire FE_OFN1139_n_5337;
+   wire FE_OFN1138_n_5336;
+   wire FE_OFN1137_n_5335;
+   wire FE_OFN1136_n_5335;
+   wire FE_OFN1135_n_5334;
+   wire FE_OFN1134_n_5334;
+   wire FE_OFN1133_n_5333;
+   wire FE_OFN1132_n_5331;
+   wire FE_OFN1131_n_5331;
+   wire FE_OFN1130_n_5330;
+   wire FE_OFN1129_n_5329;
+   wire FE_OFN1128_n_5329;
+   wire FE_OFN1127_n_5328;
+   wire FE_OFN1126_n_5327;
+   wire FE_OFN1125_n_5326;
+   wire FE_OFN1124_n_2987;
+   wire FE_OFN1123_n_2985;
+   wire FE_OFN1122_n_2981;
+   wire FE_OFN1121_n_2681;
+   wire FE_OFN1120_n_2542;
+   wire FE_OFN1119_n_2274;
+   wire FE_OFN1118_n_2273;
+   wire FE_OFN1117_n_2261;
+   wire FE_OFN1116_n_2252;
+   wire FE_OFN1115_n_2250;
+   wire FE_OFN1114_n_2245;
+   wire FE_OFN1113_n_2243;
+   wire FE_OFN1112_n_2242;
+   wire FE_OFN1111_n_2240;
+   wire FE_OFN1110_n_2235;
+   wire FE_OFN1109_n_2233;
+   wire FE_OFN1108_n_2231;
+   wire FE_OFN1107_n_2229;
+   wire FE_OFN1106_n_2227;
+   wire FE_OFN1105_n_2224;
+   wire FE_OFN1104_n_2223;
+   wire FE_OFN1103_n_2222;
+   wire FE_OFN1102_n_2220;
+   wire FE_OFN1101_n_2219;
+   wire FE_OFN1100_n_2215;
+   wire FE_OFN1099_n_2006;
+   wire FE_OFN1098_n_2004;
+   wire FE_OFN1097_n_1998;
+   wire FE_OFN1096_n_1996;
+   wire FE_OFN1095_n_1994;
+   wire FE_OFN1094_n_1852;
+   wire FE_OFN1092_n_1753;
+   wire FE_OFN1091_n_1742;
+   wire FE_OFN1090_n_1518;
+   wire FE_OFN1089_n_1438;
+   wire FE_OFN1088_n_1433;
+   wire FE_OFN1087_n_1413;
+   wire FE_OFN1086_n_1219;
+   wire FE_OFN1085_n_1214;
+   wire FE_OFN1084_n_1213;
+   wire FE_OFN1083_n_831;
+   wire FE_OFN1082_n_831;
+   wire FE_OFN1081_n_690;
+   wire FE_OFN1080_n_496;
+   wire FE_OFN1079_n_496;
+   wire FE_OFN1078_n_471;
+   wire FE_OFN1077_n_470;
+   wire FE_OFN1076_n_470;
+   wire FE_OFN1075_n_470;
+   wire FE_OFN1074_n_470;
+   wire FE_OFN1073_n_15;
+   wire FE_OFN1072_n_14;
+   wire FE_OFN1060_logic_0_22_net;
+   wire FE_OFN1059_logic_0_22_net;
+   wire FE_OFN1055_logic_0_12_net;
+   wire FE_OFN1054_logic_0_12_net;
+   wire FE_OFN1044_logic_0_5_net;
+   wire FE_OFN1043_logic_0_5_net;
+   wire FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1;
+   wire FE_OFN1038_u_soc_uart_to_xbar_d_data__0;
+   wire FE_OFN1037_u_soc_uart_to_xbar_d_data__1;
+   wire FE_OFN1036_u_soc_uart_to_xbar_d_data__2;
+   wire FE_OFN1035_u_soc_uart_to_xbar_d_data__3;
+   wire FE_OFN1034_u_soc_uart_to_xbar_d_data__4;
+   wire FE_OFN1033_u_soc_uart_to_xbar_d_data__5;
+   wire FE_OFN1032_u_soc_uart_to_xbar_d_data__6;
+   wire FE_OFN1031_u_soc_uart_to_xbar_d_data__7;
+   wire FE_OFN1030_u_soc_uart_to_xbar_d_data__8;
+   wire FE_OFN1028_u_soc_uart_to_xbar_d_data__10;
+   wire FE_OFN1027_u_soc_uart_to_xbar_d_data__11;
+   wire FE_OFN1026_u_soc_uart_to_xbar_d_data__13;
+   wire FE_OFN1024_u_soc_uart_to_xbar_d_data__16;
+   wire FE_OFN1023_u_soc_uart_to_xbar_d_data__17;
+   wire FE_OFN1022_u_soc_uart_to_xbar_d_data__18;
+   wire FE_OFN1021_u_soc_uart_to_xbar_d_data__19;
+   wire FE_OFN1020_u_soc_uart_to_xbar_d_data__20;
+   wire FE_OFN1019_u_soc_uart_to_xbar_d_data__21;
+   wire FE_OFN1018_u_soc_uart_to_xbar_d_data__22;
+   wire FE_OFN1017_u_soc_uart_to_xbar_d_data__23;
+   wire FE_OFN1016_u_soc_uart_to_xbar_d_data__24;
+   wire FE_OFN1015_u_soc_uart_to_xbar_d_data__25;
+   wire FE_OFN1014_u_soc_uart_to_xbar_d_data__26;
+   wire FE_OFN1013_u_soc_uart_to_xbar_d_data__27;
+   wire FE_OFN1012_u_soc_uart_to_xbar_d_data__28;
+   wire FE_OFN1011_u_soc_uart_to_xbar_d_data__29;
+   wire FE_OFN1010_u_soc_uart_to_xbar_d_data__30;
+   wire FE_OFN1009_u_soc_uart_to_xbar_d_data__31;
+   wire FE_OFN949_u_soc_instr_wmask_0;
+   wire FE_OFN947_u_soc_instr_wmask_0;
+   wire FE_OFN945_u_soc_instr_wmask_0;
+   wire FE_OFN944_u_soc_data_wmask_0;
+   wire FE_OFN942_u_soc_data_wmask_0;
+   wire FE_OFN941_u_soc_data_wmask_0;
+   wire FE_OFN940_u_soc_data_wmask_0;
+   wire FE_OFN939_u_soc_data_wmask_0;
+   wire FE_OFN938_u_soc_data_wmask_0;
+   wire FE_OFN937_u_soc_data_wmask_1;
+   wire FE_OFN935_u_soc_data_wmask_1;
+   wire FE_OFN934_u_soc_data_wmask_1;
+   wire FE_OFN933_u_soc_data_wmask_1;
+   wire FE_OFN931_u_soc_data_wmask_2;
+   wire FE_OFN929_u_soc_data_wmask_2;
+   wire FE_OFN928_u_soc_data_wmask_2;
+   wire FE_OFN927_u_soc_data_wmask_2;
+   wire FE_OFN926_u_soc_data_wmask_2;
+   wire FE_OFN924_u_soc_data_wmask_2;
+   wire FE_OFN921_u_soc_data_wmask_3;
+   wire FE_OFN919_u_soc_data_wmask_3;
+   wire FE_OFN918_u_soc_data_wmask_3;
+   wire FE_OFN916_u_soc_data_wmask_3;
+   wire FE_OFN914_u_soc_data_addr_1;
+   wire FE_OFN913_u_soc_data_addr_2;
+   wire FE_OFN912_u_soc_data_addr_4;
+   wire FE_OFN910_u_soc_data_addr_7;
+   wire FE_OFN908_u_soc_main_swith_host_lsu_dev_select_outstanding_1;
+   wire FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0;
+   wire FE_OFN904_u_soc_xbar_to_dccm_a_address__4;
+   wire FE_OFN903_u_soc_xbar_to_dccm_a_address__5;
+   wire FE_OFN902_u_soc_xbar_to_dccm_a_address__6;
+   wire FE_OFN896_u_soc_xbar_to_dccm_a_address__12;
+   wire FE_OFN895_u_soc_xbar_to_dccm_a_address__13;
+   wire FE_OFN894_u_soc_lsu_to_xbar_a_address__14;
+   wire FE_OFN893_u_soc_lsu_to_xbar_a_address__15;
+   wire FE_OFN890_u_soc_lsu_to_xbar_a_address__18;
+   wire FE_OFN889_u_soc_lsu_to_xbar_a_address__19;
+   wire FE_OFN888_u_soc_lsu_to_xbar_a_address__20;
+   wire FE_OFN887_u_soc_lsu_to_xbar_a_address__21;
+   wire FE_OFN886_u_soc_lsu_to_xbar_a_address__22;
+   wire FE_OFN885_u_soc_lsu_to_xbar_a_address__23;
+   wire FE_OFN884_u_soc_lsu_to_xbar_a_address__24;
+   wire FE_OFN883_u_soc_lsu_to_xbar_a_address__25;
+   wire FE_OFN882_u_soc_lsu_to_xbar_a_address__26;
+   wire FE_OFN881_u_soc_lsu_to_xbar_a_address__27;
+   wire FE_OFN880_u_soc_lsu_to_xbar_a_address__28;
+   wire FE_OFN879_u_soc_lsu_to_xbar_a_address__29;
+   wire FE_OFN875_u_soc_u_uart_u_uart_core_rx_0;
+   wire FE_OFN874_u_soc_u_uart_u_uart_core_rx_0;
+   wire FE_OFN873_u_soc_u_uart_u_uart_core_rx_1;
+   wire FE_OFN872_u_soc_u_uart_u_uart_core_rx_1;
+   wire FE_OFN871_u_soc_u_uart_u_uart_core_rx_1;
+   wire FE_OFN870_u_soc_u_uart_u_uart_core_rx_2;
+   wire FE_OFN869_u_soc_u_uart_u_uart_core_rx_2;
+   wire FE_OFN868_u_soc_u_uart_u_uart_core_rx_2;
+   wire FE_OFN867_u_soc_u_uart_u_uart_core_rx_3;
+   wire FE_OFN866_u_soc_u_uart_u_uart_core_rx_3;
+   wire FE_OFN865_u_soc_u_uart_u_uart_core_rx_3;
+   wire FE_OFN864_u_soc_u_uart_u_uart_core_rx_4;
+   wire FE_OFN863_u_soc_u_uart_u_uart_core_rx_4;
+   wire FE_OFN862_u_soc_u_uart_u_uart_core_rx_4;
+   wire FE_OFN861_u_soc_u_uart_u_uart_core_rx_5;
+   wire FE_OFN860_u_soc_u_uart_u_uart_core_rx_5;
+   wire FE_OFN859_u_soc_u_uart_u_uart_core_rx_5;
+   wire FE_OFN858_u_soc_u_uart_u_uart_core_rx_6;
+   wire FE_OFN857_u_soc_u_uart_u_uart_core_rx_6;
+   wire FE_OFN855_u_soc_u_uart_u_uart_core_rx_7;
+   wire FE_OFN854_u_soc_u_uart_u_uart_core_rx_7;
+   wire FE_OFN851_u_soc_u_top_u_core_instr_rdata_id_13;
+   wire FE_OFN850_u_soc_u_top_u_core_instr_rdata_id_14;
+   wire FE_OFN849_u_soc_u_top_u_core_instr_rdata_id_15;
+   wire FE_OFN845_u_soc_u_top_u_core_instr_rdata_id_19;
+   wire FE_OFN843_u_soc_u_top_u_core_instr_rdata_id_21;
+   wire FE_OFN837_u_soc_u_top_u_core_instr_rdata_id_27;
+   wire FE_OFN836_u_soc_u_top_u_core_instr_rdata_id_28;
+   wire FE_OFN835_u_soc_u_top_u_core_instr_rdata_id_29;
+   wire FE_OFN834_u_soc_u_top_u_core_instr_rdata_id_30;
+   wire FE_OFN833_u_soc_u_tcam_rdata_0;
+   wire FE_OFN832_u_soc_u_tcam_rdata_0;
+   wire FE_OFN831_u_soc_u_tcam_rdata_1;
+   wire FE_OFN830_u_soc_u_tcam_rdata_1;
+   wire FE_OFN829_u_soc_u_tcam_rdata_2;
+   wire FE_OFN828_u_soc_u_tcam_rdata_2;
+   wire FE_OFN827_u_soc_u_tcam_rdata_3;
+   wire FE_OFN826_u_soc_u_tcam_rdata_3;
+   wire FE_OFN825_u_soc_u_tcam_rdata_4;
+   wire FE_OFN824_u_soc_u_tcam_rdata_4;
+   wire FE_OFN823_u_soc_u_tcam_rdata_5;
+   wire FE_OFN822_u_soc_u_tcam_rdata_5;
+   wire FE_OFN821_u_soc_u_iccm_rdata4_0;
+   wire FE_OFN820_u_soc_u_iccm_rdata4_1;
+   wire FE_OFN819_u_soc_u_iccm_rdata4_2;
+   wire FE_OFN818_u_soc_u_iccm_rdata4_3;
+   wire FE_OFN817_u_soc_u_iccm_rdata4_4;
+   wire FE_OFN816_u_soc_u_iccm_rdata4_5;
+   wire FE_OFN815_u_soc_u_iccm_rdata4_5;
+   wire FE_OFN814_u_soc_u_iccm_rdata4_6;
+   wire FE_OFN813_u_soc_u_iccm_rdata4_7;
+   wire FE_OFN812_u_soc_u_iccm_rdata4_8;
+   wire FE_OFN811_u_soc_u_iccm_rdata4_9;
+   wire FE_OFN810_u_soc_u_iccm_rdata4_10;
+   wire FE_OFN809_u_soc_u_iccm_rdata4_11;
+   wire FE_OFN808_u_soc_u_iccm_rdata4_12;
+   wire FE_OFN807_u_soc_u_iccm_rdata4_13;
+   wire FE_OFN806_u_soc_u_iccm_rdata4_14;
+   wire FE_OFN805_u_soc_u_iccm_rdata4_15;
+   wire FE_OFN804_u_soc_u_iccm_rdata4_16;
+   wire FE_OFN803_u_soc_u_iccm_rdata4_17;
+   wire FE_OFN802_u_soc_u_iccm_rdata4_18;
+   wire FE_OFN801_u_soc_u_iccm_rdata4_19;
+   wire FE_OFN800_u_soc_u_iccm_rdata4_20;
+   wire FE_OFN799_u_soc_u_iccm_rdata4_21;
+   wire FE_OFN798_u_soc_u_iccm_rdata4_22;
+   wire FE_OFN797_u_soc_u_iccm_rdata4_23;
+   wire FE_OFN796_u_soc_u_iccm_rdata4_24;
+   wire FE_OFN795_u_soc_u_iccm_rdata4_25;
+   wire FE_OFN794_u_soc_u_iccm_rdata4_26;
+   wire FE_OFN793_u_soc_u_iccm_rdata4_27;
+   wire FE_OFN792_u_soc_u_iccm_rdata4_28;
+   wire FE_OFN791_u_soc_u_iccm_rdata4_29;
+   wire FE_OFN790_u_soc_u_iccm_rdata4_30;
+   wire FE_OFN789_u_soc_u_iccm_rdata4_31;
+   wire FE_OFN788_u_soc_u_iccm_rdata2_2;
+   wire FE_OFN787_u_soc_u_iccm_rdata2_4;
+   wire FE_OFN786_u_soc_u_iccm_rdata2_5;
+   wire FE_OFN785_u_soc_u_iccm_rdata2_6;
+   wire FE_OFN784_u_soc_u_iccm_rdata2_7;
+   wire FE_OFN783_u_soc_u_iccm_rdata2_19;
+   wire FE_OFN782_u_soc_u_iccm_rdata2_20;
+   wire FE_OFN781_u_soc_u_iccm_rdata2_22;
+   wire FE_OFN780_u_soc_u_iccm_rdata2_25;
+   wire FE_OFN779_u_soc_u_iccm_rdata2_27;
+   wire FE_OFN778_u_soc_u_iccm_rdata1_0;
+   wire FE_OFN777_u_soc_u_iccm_rdata1_1;
+   wire FE_OFN776_u_soc_u_iccm_rdata1_2;
+   wire FE_OFN775_u_soc_u_iccm_rdata1_2;
+   wire FE_OFN774_u_soc_u_iccm_rdata1_3;
+   wire FE_OFN773_u_soc_u_iccm_rdata1_4;
+   wire FE_OFN772_u_soc_u_iccm_rdata1_4;
+   wire FE_OFN771_u_soc_u_iccm_rdata1_5;
+   wire FE_OFN770_u_soc_u_iccm_rdata1_5;
+   wire FE_OFN769_u_soc_u_iccm_rdata1_6;
+   wire FE_OFN768_u_soc_u_iccm_rdata1_6;
+   wire FE_OFN767_u_soc_u_iccm_rdata1_7;
+   wire FE_OFN766_u_soc_u_iccm_rdata1_7;
+   wire FE_OFN765_u_soc_u_iccm_rdata1_8;
+   wire FE_OFN764_u_soc_u_iccm_rdata1_9;
+   wire FE_OFN763_u_soc_u_iccm_rdata1_10;
+   wire FE_OFN762_u_soc_u_iccm_rdata1_11;
+   wire FE_OFN761_u_soc_u_iccm_rdata1_12;
+   wire FE_OFN760_u_soc_u_iccm_rdata1_13;
+   wire FE_OFN759_u_soc_u_iccm_rdata1_14;
+   wire FE_OFN758_u_soc_u_iccm_rdata1_15;
+   wire FE_OFN757_u_soc_u_iccm_rdata1_16;
+   wire FE_OFN756_u_soc_u_iccm_rdata1_17;
+   wire FE_OFN755_u_soc_u_iccm_rdata1_18;
+   wire FE_OFN754_u_soc_u_iccm_rdata1_19;
+   wire FE_OFN753_u_soc_u_iccm_rdata1_19;
+   wire FE_OFN752_u_soc_u_iccm_rdata1_20;
+   wire FE_OFN751_u_soc_u_iccm_rdata1_20;
+   wire FE_OFN750_u_soc_u_iccm_rdata1_21;
+   wire FE_OFN749_u_soc_u_iccm_rdata1_22;
+   wire FE_OFN748_u_soc_u_iccm_rdata1_22;
+   wire FE_OFN747_u_soc_u_iccm_rdata1_23;
+   wire FE_OFN746_u_soc_u_iccm_rdata1_24;
+   wire FE_OFN745_u_soc_u_iccm_rdata1_25;
+   wire FE_OFN744_u_soc_u_iccm_rdata1_25;
+   wire FE_OFN743_u_soc_u_iccm_rdata1_26;
+   wire FE_OFN742_u_soc_u_iccm_rdata1_27;
+   wire FE_OFN741_u_soc_u_iccm_rdata1_28;
+   wire FE_OFN740_u_soc_u_iccm_rdata1_29;
+   wire FE_OFN739_u_soc_u_iccm_rdata1_30;
+   wire FE_OFN738_u_soc_u_iccm_rdata1_31;
+   wire FE_OFN737_u_soc_instr_wdata_0;
+   wire FE_OFN736_u_soc_instr_wdata_0;
+   wire FE_OFN735_u_soc_instr_wdata_0;
+   wire FE_OFN734_u_soc_instr_wdata_0;
+   wire FE_OFN733_u_soc_instr_wdata_0;
+   wire FE_OFN732_u_soc_instr_wdata_0;
+   wire FE_OFN731_u_soc_instr_wdata_0;
+   wire FE_OFN730_u_soc_instr_wdata_1;
+   wire FE_OFN729_u_soc_instr_wdata_1;
+   wire FE_OFN728_u_soc_instr_wdata_1;
+   wire FE_OFN727_u_soc_instr_wdata_1;
+   wire FE_OFN726_u_soc_instr_wdata_1;
+   wire FE_OFN725_u_soc_instr_wdata_1;
+   wire FE_OFN724_u_soc_instr_wdata_1;
+   wire FE_OFN723_u_soc_instr_wdata_2;
+   wire FE_OFN722_u_soc_instr_wdata_2;
+   wire FE_OFN721_u_soc_instr_wdata_2;
+   wire FE_OFN720_u_soc_instr_wdata_2;
+   wire FE_OFN719_u_soc_instr_wdata_2;
+   wire FE_OFN718_u_soc_instr_wdata_2;
+   wire FE_OFN717_u_soc_instr_wdata_3;
+   wire FE_OFN716_u_soc_instr_wdata_3;
+   wire FE_OFN715_u_soc_instr_wdata_3;
+   wire FE_OFN714_u_soc_instr_wdata_3;
+   wire FE_OFN713_u_soc_instr_wdata_3;
+   wire FE_OFN712_u_soc_instr_wdata_3;
+   wire FE_OFN711_u_soc_instr_wdata_3;
+   wire FE_OFN710_u_soc_instr_wdata_4;
+   wire FE_OFN709_u_soc_instr_wdata_4;
+   wire FE_OFN708_u_soc_instr_wdata_4;
+   wire FE_OFN707_u_soc_instr_wdata_4;
+   wire FE_OFN706_u_soc_instr_wdata_4;
+   wire FE_OFN705_u_soc_instr_wdata_4;
+   wire FE_OFN704_u_soc_instr_wdata_4;
+   wire FE_OFN703_u_soc_instr_wdata_5;
+   wire FE_OFN702_u_soc_instr_wdata_5;
+   wire FE_OFN701_u_soc_instr_wdata_5;
+   wire FE_OFN700_u_soc_instr_wdata_5;
+   wire FE_OFN699_u_soc_instr_wdata_5;
+   wire FE_OFN698_u_soc_instr_wdata_5;
+   wire FE_OFN697_u_soc_instr_wdata_5;
+   wire FE_OFN696_u_soc_instr_wdata_6;
+   wire FE_OFN695_u_soc_instr_wdata_6;
+   wire FE_OFN694_u_soc_instr_wdata_6;
+   wire FE_OFN693_u_soc_instr_wdata_6;
+   wire FE_OFN692_u_soc_instr_wdata_6;
+   wire FE_OFN691_u_soc_instr_wdata_6;
+   wire FE_OFN690_u_soc_instr_wdata_6;
+   wire FE_OFN689_u_soc_instr_wdata_7;
+   wire FE_OFN688_u_soc_instr_wdata_7;
+   wire FE_OFN687_u_soc_instr_wdata_7;
+   wire FE_OFN686_u_soc_instr_wdata_7;
+   wire FE_OFN685_u_soc_instr_wdata_7;
+   wire FE_OFN684_u_soc_instr_wdata_7;
+   wire FE_OFN683_u_soc_instr_wdata_7;
+   wire FE_OFN682_u_soc_instr_wdata_8;
+   wire FE_OFN681_u_soc_instr_wdata_8;
+   wire FE_OFN680_u_soc_instr_wdata_8;
+   wire FE_OFN679_u_soc_instr_wdata_8;
+   wire FE_OFN678_u_soc_instr_wdata_8;
+   wire FE_OFN677_u_soc_instr_wdata_8;
+   wire FE_OFN676_u_soc_instr_wdata_8;
+   wire FE_OFN675_u_soc_instr_wdata_9;
+   wire FE_OFN674_u_soc_instr_wdata_9;
+   wire FE_OFN673_u_soc_instr_wdata_9;
+   wire FE_OFN672_u_soc_instr_wdata_9;
+   wire FE_OFN671_u_soc_instr_wdata_9;
+   wire FE_OFN670_u_soc_instr_wdata_9;
+   wire FE_OFN669_u_soc_instr_wdata_9;
+   wire FE_OFN668_u_soc_instr_wdata_10;
+   wire FE_OFN667_u_soc_instr_wdata_10;
+   wire FE_OFN666_u_soc_instr_wdata_10;
+   wire FE_OFN665_u_soc_instr_wdata_10;
+   wire FE_OFN664_u_soc_instr_wdata_10;
+   wire FE_OFN663_u_soc_instr_wdata_10;
+   wire FE_OFN662_u_soc_instr_wdata_10;
+   wire FE_OFN661_u_soc_instr_wdata_11;
+   wire FE_OFN660_u_soc_instr_wdata_11;
+   wire FE_OFN659_u_soc_instr_wdata_11;
+   wire FE_OFN658_u_soc_instr_wdata_11;
+   wire FE_OFN657_u_soc_instr_wdata_11;
+   wire FE_OFN656_u_soc_instr_wdata_11;
+   wire FE_OFN655_u_soc_instr_wdata_11;
+   wire FE_OFN654_u_soc_instr_wdata_12;
+   wire FE_OFN653_u_soc_instr_wdata_12;
+   wire FE_OFN652_u_soc_instr_wdata_12;
+   wire FE_OFN651_u_soc_instr_wdata_12;
+   wire FE_OFN650_u_soc_instr_wdata_12;
+   wire FE_OFN649_u_soc_instr_wdata_12;
+   wire FE_OFN648_u_soc_instr_wdata_12;
+   wire FE_OFN647_u_soc_instr_wdata_13;
+   wire FE_OFN646_u_soc_instr_wdata_13;
+   wire FE_OFN645_u_soc_instr_wdata_13;
+   wire FE_OFN644_u_soc_instr_wdata_13;
+   wire FE_OFN643_u_soc_instr_wdata_13;
+   wire FE_OFN642_u_soc_instr_wdata_13;
+   wire FE_OFN641_u_soc_instr_wdata_13;
+   wire FE_OFN640_u_soc_instr_wdata_14;
+   wire FE_OFN639_u_soc_instr_wdata_14;
+   wire FE_OFN638_u_soc_instr_wdata_14;
+   wire FE_OFN637_u_soc_instr_wdata_14;
+   wire FE_OFN636_u_soc_instr_wdata_14;
+   wire FE_OFN635_u_soc_instr_wdata_14;
+   wire FE_OFN634_u_soc_instr_wdata_14;
+   wire FE_OFN633_u_soc_instr_wdata_15;
+   wire FE_OFN632_u_soc_instr_wdata_15;
+   wire FE_OFN631_u_soc_instr_wdata_15;
+   wire FE_OFN630_u_soc_instr_wdata_15;
+   wire FE_OFN629_u_soc_instr_wdata_15;
+   wire FE_OFN628_u_soc_instr_wdata_15;
+   wire FE_OFN627_u_soc_instr_wdata_15;
+   wire FE_OFN626_u_soc_instr_wdata_16;
+   wire FE_OFN625_u_soc_instr_wdata_16;
+   wire FE_OFN624_u_soc_instr_wdata_16;
+   wire FE_OFN623_u_soc_instr_wdata_16;
+   wire FE_OFN622_u_soc_instr_wdata_16;
+   wire FE_OFN621_u_soc_instr_wdata_16;
+   wire FE_OFN620_u_soc_instr_wdata_16;
+   wire FE_OFN619_u_soc_instr_wdata_17;
+   wire FE_OFN618_u_soc_instr_wdata_17;
+   wire FE_OFN617_u_soc_instr_wdata_17;
+   wire FE_OFN616_u_soc_instr_wdata_17;
+   wire FE_OFN615_u_soc_instr_wdata_17;
+   wire FE_OFN614_u_soc_instr_wdata_17;
+   wire FE_OFN613_u_soc_instr_wdata_17;
+   wire FE_OFN612_u_soc_instr_wdata_18;
+   wire FE_OFN611_u_soc_instr_wdata_18;
+   wire FE_OFN610_u_soc_instr_wdata_18;
+   wire FE_OFN609_u_soc_instr_wdata_18;
+   wire FE_OFN608_u_soc_instr_wdata_18;
+   wire FE_OFN607_u_soc_instr_wdata_18;
+   wire FE_OFN606_u_soc_instr_wdata_18;
+   wire FE_OFN605_u_soc_instr_wdata_19;
+   wire FE_OFN604_u_soc_instr_wdata_19;
+   wire FE_OFN603_u_soc_instr_wdata_19;
+   wire FE_OFN602_u_soc_instr_wdata_19;
+   wire FE_OFN601_u_soc_instr_wdata_19;
+   wire FE_OFN600_u_soc_instr_wdata_19;
+   wire FE_OFN599_u_soc_instr_wdata_19;
+   wire FE_OFN598_u_soc_instr_wdata_20;
+   wire FE_OFN597_u_soc_instr_wdata_20;
+   wire FE_OFN596_u_soc_instr_wdata_20;
+   wire FE_OFN595_u_soc_instr_wdata_20;
+   wire FE_OFN594_u_soc_instr_wdata_20;
+   wire FE_OFN593_u_soc_instr_wdata_20;
+   wire FE_OFN592_u_soc_instr_wdata_20;
+   wire FE_OFN591_u_soc_instr_wdata_21;
+   wire FE_OFN590_u_soc_instr_wdata_21;
+   wire FE_OFN589_u_soc_instr_wdata_21;
+   wire FE_OFN588_u_soc_instr_wdata_21;
+   wire FE_OFN587_u_soc_instr_wdata_21;
+   wire FE_OFN586_u_soc_instr_wdata_21;
+   wire FE_OFN585_u_soc_instr_wdata_21;
+   wire FE_OFN584_u_soc_instr_wdata_22;
+   wire FE_OFN583_u_soc_instr_wdata_22;
+   wire FE_OFN582_u_soc_instr_wdata_22;
+   wire FE_OFN581_u_soc_instr_wdata_22;
+   wire FE_OFN580_u_soc_instr_wdata_22;
+   wire FE_OFN579_u_soc_instr_wdata_22;
+   wire FE_OFN578_u_soc_instr_wdata_22;
+   wire FE_OFN577_u_soc_instr_wdata_23;
+   wire FE_OFN576_u_soc_instr_wdata_23;
+   wire FE_OFN575_u_soc_instr_wdata_23;
+   wire FE_OFN574_u_soc_instr_wdata_23;
+   wire FE_OFN573_u_soc_instr_wdata_23;
+   wire FE_OFN572_u_soc_instr_wdata_23;
+   wire FE_OFN571_u_soc_instr_wdata_23;
+   wire FE_OFN570_u_soc_instr_wdata_24;
+   wire FE_OFN569_u_soc_instr_wdata_24;
+   wire FE_OFN568_u_soc_instr_wdata_24;
+   wire FE_OFN567_u_soc_instr_wdata_24;
+   wire FE_OFN566_u_soc_instr_wdata_24;
+   wire FE_OFN565_u_soc_instr_wdata_24;
+   wire FE_OFN564_u_soc_instr_wdata_24;
+   wire FE_OFN563_u_soc_instr_wdata_25;
+   wire FE_OFN562_u_soc_instr_wdata_25;
+   wire FE_OFN561_u_soc_instr_wdata_25;
+   wire FE_OFN560_u_soc_instr_wdata_25;
+   wire FE_OFN559_u_soc_instr_wdata_25;
+   wire FE_OFN558_u_soc_instr_wdata_25;
+   wire FE_OFN557_u_soc_instr_wdata_25;
+   wire FE_OFN556_u_soc_instr_wdata_26;
+   wire FE_OFN555_u_soc_instr_wdata_26;
+   wire FE_OFN554_u_soc_instr_wdata_26;
+   wire FE_OFN553_u_soc_instr_wdata_26;
+   wire FE_OFN552_u_soc_instr_wdata_26;
+   wire FE_OFN551_u_soc_instr_wdata_26;
+   wire FE_OFN550_u_soc_instr_wdata_26;
+   wire FE_OFN549_u_soc_instr_wdata_27;
+   wire FE_OFN548_u_soc_instr_wdata_27;
+   wire FE_OFN547_u_soc_instr_wdata_27;
+   wire FE_OFN546_u_soc_instr_wdata_27;
+   wire FE_OFN545_u_soc_instr_wdata_27;
+   wire FE_OFN544_u_soc_instr_wdata_27;
+   wire FE_OFN543_u_soc_instr_wdata_27;
+   wire FE_OFN542_u_soc_instr_wdata_28;
+   wire FE_OFN541_u_soc_instr_wdata_28;
+   wire FE_OFN540_u_soc_instr_wdata_28;
+   wire FE_OFN539_u_soc_instr_wdata_28;
+   wire FE_OFN538_u_soc_instr_wdata_28;
+   wire FE_OFN537_u_soc_instr_wdata_28;
+   wire FE_OFN536_u_soc_instr_wdata_28;
+   wire FE_OFN535_u_soc_instr_wdata_29;
+   wire FE_OFN534_u_soc_instr_wdata_29;
+   wire FE_OFN533_u_soc_instr_wdata_29;
+   wire FE_OFN532_u_soc_instr_wdata_29;
+   wire FE_OFN531_u_soc_instr_wdata_29;
+   wire FE_OFN530_u_soc_instr_wdata_29;
+   wire FE_OFN529_u_soc_instr_wdata_29;
+   wire FE_OFN528_u_soc_instr_wdata_30;
+   wire FE_OFN527_u_soc_instr_wdata_30;
+   wire FE_OFN526_u_soc_instr_wdata_30;
+   wire FE_OFN525_u_soc_instr_wdata_30;
+   wire FE_OFN524_u_soc_instr_wdata_30;
+   wire FE_OFN523_u_soc_instr_wdata_30;
+   wire FE_OFN522_u_soc_instr_wdata_31;
+   wire FE_OFN521_u_soc_instr_wdata_31;
+   wire FE_OFN520_u_soc_instr_wdata_31;
+   wire FE_OFN519_u_soc_instr_wdata_31;
+   wire FE_OFN518_u_soc_instr_wdata_31;
+   wire FE_OFN517_u_soc_instr_wdata_31;
+   wire FE_OFN516_u_soc_instr_wdata_31;
+   wire FE_OFN513_u_soc_u_dccm_rdata4_0;
+   wire FE_OFN512_u_soc_u_dccm_rdata4_1;
+   wire FE_OFN511_u_soc_u_dccm_rdata4_2;
+   wire FE_OFN510_u_soc_u_dccm_rdata4_3;
+   wire FE_OFN509_u_soc_u_dccm_rdata4_4;
+   wire FE_OFN508_u_soc_u_dccm_rdata4_5;
+   wire FE_OFN507_u_soc_u_dccm_rdata4_6;
+   wire FE_OFN506_u_soc_u_dccm_rdata4_7;
+   wire FE_OFN505_u_soc_u_dccm_rdata4_8;
+   wire FE_OFN504_u_soc_u_dccm_rdata4_9;
+   wire FE_OFN503_u_soc_u_dccm_rdata4_10;
+   wire FE_OFN502_u_soc_u_dccm_rdata4_11;
+   wire FE_OFN501_u_soc_u_dccm_rdata4_12;
+   wire FE_OFN500_u_soc_u_dccm_rdata4_13;
+   wire FE_OFN499_u_soc_u_dccm_rdata4_14;
+   wire FE_OFN498_u_soc_u_dccm_rdata4_15;
+   wire FE_OFN497_u_soc_u_dccm_rdata4_16;
+   wire FE_OFN496_u_soc_u_dccm_rdata4_17;
+   wire FE_OFN495_u_soc_u_dccm_rdata4_18;
+   wire FE_OFN494_u_soc_u_dccm_rdata4_19;
+   wire FE_OFN493_u_soc_u_dccm_rdata4_20;
+   wire FE_OFN492_u_soc_u_dccm_rdata4_21;
+   wire FE_OFN491_u_soc_u_dccm_rdata4_22;
+   wire FE_OFN490_u_soc_u_dccm_rdata4_23;
+   wire FE_OFN489_u_soc_u_dccm_rdata4_24;
+   wire FE_OFN488_u_soc_u_dccm_rdata4_25;
+   wire FE_OFN487_u_soc_u_dccm_rdata4_26;
+   wire FE_OFN486_u_soc_u_dccm_rdata4_27;
+   wire FE_OFN485_u_soc_u_dccm_rdata4_28;
+   wire FE_OFN484_u_soc_u_dccm_rdata4_29;
+   wire FE_OFN482_u_soc_u_dccm_rdata4_31;
+   wire FE_OFN481_u_soc_u_dccm_rdata3_24;
+   wire FE_OFN480_u_soc_u_dccm_rdata3_25;
+   wire FE_OFN479_u_soc_u_dccm_rdata3_26;
+   wire FE_OFN478_u_soc_u_dccm_rdata3_28;
+   wire FE_OFN477_u_soc_u_dccm_rdata3_29;
+   wire FE_OFN476_u_soc_u_dccm_rdata3_30;
+   wire FE_OFN475_u_soc_u_dccm_rdata3_31;
+   wire FE_OFN474_u_soc_u_dccm_rdata2_0;
+   wire FE_OFN473_u_soc_u_dccm_rdata2_6;
+   wire FE_OFN472_u_soc_u_dccm_rdata2_7;
+   wire FE_OFN471_u_soc_u_dccm_rdata2_8;
+   wire FE_OFN470_u_soc_u_dccm_rdata2_9;
+   wire FE_OFN469_u_soc_u_dccm_rdata2_10;
+   wire FE_OFN468_u_soc_u_dccm_rdata2_11;
+   wire FE_OFN467_u_soc_u_dccm_rdata2_12;
+   wire FE_OFN466_u_soc_u_dccm_rdata2_13;
+   wire FE_OFN465_u_soc_u_dccm_rdata2_14;
+   wire FE_OFN464_u_soc_u_dccm_rdata2_15;
+   wire FE_OFN463_u_soc_u_dccm_rdata2_16;
+   wire FE_OFN462_u_soc_u_dccm_rdata2_17;
+   wire FE_OFN461_u_soc_u_dccm_rdata2_18;
+   wire FE_OFN460_u_soc_u_dccm_rdata2_19;
+   wire FE_OFN459_u_soc_u_dccm_rdata2_20;
+   wire FE_OFN458_u_soc_u_dccm_rdata2_21;
+   wire FE_OFN457_u_soc_u_dccm_rdata2_22;
+   wire FE_OFN456_u_soc_u_dccm_rdata2_23;
+   wire FE_OFN455_u_soc_u_dccm_rdata2_24;
+   wire FE_OFN454_u_soc_u_dccm_rdata2_25;
+   wire FE_OFN453_u_soc_u_dccm_rdata2_26;
+   wire FE_OFN452_u_soc_u_dccm_rdata2_27;
+   wire FE_OFN451_u_soc_u_dccm_rdata2_28;
+   wire FE_OFN450_u_soc_u_dccm_rdata2_29;
+   wire FE_OFN449_u_soc_u_dccm_rdata2_30;
+   wire FE_OFN448_u_soc_u_dccm_rdata2_31;
+   wire FE_OFN447_u_soc_u_dccm_rdata1_0;
+   wire FE_OFN446_u_soc_u_dccm_rdata1_0;
+   wire FE_OFN445_u_soc_u_dccm_rdata1_1;
+   wire FE_OFN444_u_soc_u_dccm_rdata1_2;
+   wire FE_OFN443_u_soc_u_dccm_rdata1_3;
+   wire FE_OFN442_u_soc_u_dccm_rdata1_4;
+   wire FE_OFN441_u_soc_u_dccm_rdata1_5;
+   wire FE_OFN440_u_soc_u_dccm_rdata1_6;
+   wire FE_OFN439_u_soc_u_dccm_rdata1_6;
+   wire FE_OFN438_u_soc_u_dccm_rdata1_7;
+   wire FE_OFN437_u_soc_u_dccm_rdata1_7;
+   wire FE_OFN436_u_soc_u_dccm_rdata1_8;
+   wire FE_OFN435_u_soc_u_dccm_rdata1_8;
+   wire FE_OFN434_u_soc_u_dccm_rdata1_9;
+   wire FE_OFN433_u_soc_u_dccm_rdata1_9;
+   wire FE_OFN432_u_soc_u_dccm_rdata1_10;
+   wire FE_OFN431_u_soc_u_dccm_rdata1_10;
+   wire FE_OFN430_u_soc_u_dccm_rdata1_11;
+   wire FE_OFN429_u_soc_u_dccm_rdata1_11;
+   wire FE_OFN428_u_soc_u_dccm_rdata1_12;
+   wire FE_OFN427_u_soc_u_dccm_rdata1_12;
+   wire FE_OFN426_u_soc_u_dccm_rdata1_13;
+   wire FE_OFN425_u_soc_u_dccm_rdata1_13;
+   wire FE_OFN424_u_soc_u_dccm_rdata1_14;
+   wire FE_OFN423_u_soc_u_dccm_rdata1_14;
+   wire FE_OFN422_u_soc_u_dccm_rdata1_15;
+   wire FE_OFN421_u_soc_u_dccm_rdata1_15;
+   wire FE_OFN420_u_soc_u_dccm_rdata1_16;
+   wire FE_OFN419_u_soc_u_dccm_rdata1_16;
+   wire FE_OFN418_u_soc_u_dccm_rdata1_17;
+   wire FE_OFN417_u_soc_u_dccm_rdata1_17;
+   wire FE_OFN416_u_soc_u_dccm_rdata1_18;
+   wire FE_OFN415_u_soc_u_dccm_rdata1_18;
+   wire FE_OFN414_u_soc_u_dccm_rdata1_19;
+   wire FE_OFN413_u_soc_u_dccm_rdata1_19;
+   wire FE_OFN412_u_soc_u_dccm_rdata1_20;
+   wire FE_OFN411_u_soc_u_dccm_rdata1_20;
+   wire FE_OFN410_u_soc_u_dccm_rdata1_21;
+   wire FE_OFN409_u_soc_u_dccm_rdata1_21;
+   wire FE_OFN408_u_soc_u_dccm_rdata1_22;
+   wire FE_OFN407_u_soc_u_dccm_rdata1_22;
+   wire FE_OFN406_u_soc_u_dccm_rdata1_23;
+   wire FE_OFN405_u_soc_u_dccm_rdata1_23;
+   wire FE_OFN404_u_soc_u_dccm_rdata1_24;
+   wire FE_OFN403_u_soc_u_dccm_rdata1_24;
+   wire FE_OFN402_u_soc_u_dccm_rdata1_25;
+   wire FE_OFN401_u_soc_u_dccm_rdata1_25;
+   wire FE_OFN400_u_soc_u_dccm_rdata1_26;
+   wire FE_OFN399_u_soc_u_dccm_rdata1_26;
+   wire FE_OFN398_u_soc_u_dccm_rdata1_27;
+   wire FE_OFN397_u_soc_u_dccm_rdata1_27;
+   wire FE_OFN396_u_soc_u_dccm_rdata1_28;
+   wire FE_OFN395_u_soc_u_dccm_rdata1_28;
+   wire FE_OFN394_u_soc_u_dccm_rdata1_29;
+   wire FE_OFN393_u_soc_u_dccm_rdata1_29;
+   wire FE_OFN392_u_soc_u_dccm_rdata1_30;
+   wire FE_OFN391_u_soc_u_dccm_rdata1_30;
+   wire FE_OFN390_u_soc_u_dccm_rdata1_31;
+   wire FE_OFN389_u_soc_u_dccm_rdata1_31;
+   wire FE_OFN388_u_soc_data_wdata_0;
+   wire FE_OFN387_u_soc_data_wdata_0;
+   wire FE_OFN386_u_soc_data_wdata_0;
+   wire FE_OFN385_u_soc_data_wdata_0;
+   wire FE_OFN384_u_soc_data_wdata_0;
+   wire FE_OFN383_u_soc_data_wdata_0;
+   wire FE_OFN382_u_soc_data_wdata_1;
+   wire FE_OFN381_u_soc_data_wdata_1;
+   wire FE_OFN380_u_soc_data_wdata_1;
+   wire FE_OFN379_u_soc_data_wdata_1;
+   wire FE_OFN378_u_soc_data_wdata_1;
+   wire FE_OFN377_u_soc_data_wdata_1;
+   wire FE_OFN376_u_soc_data_wdata_1;
+   wire FE_OFN375_u_soc_data_wdata_2;
+   wire FE_OFN374_u_soc_data_wdata_2;
+   wire FE_OFN373_u_soc_data_wdata_2;
+   wire FE_OFN372_u_soc_data_wdata_2;
+   wire FE_OFN371_u_soc_data_wdata_2;
+   wire FE_OFN370_u_soc_data_wdata_2;
+   wire FE_OFN369_u_soc_data_wdata_2;
+   wire FE_OFN368_u_soc_data_wdata_3;
+   wire FE_OFN367_u_soc_data_wdata_3;
+   wire FE_OFN366_u_soc_data_wdata_3;
+   wire FE_OFN365_u_soc_data_wdata_3;
+   wire FE_OFN364_u_soc_data_wdata_3;
+   wire FE_OFN363_u_soc_data_wdata_3;
+   wire FE_OFN362_u_soc_data_wdata_3;
+   wire FE_OFN361_u_soc_data_wdata_4;
+   wire FE_OFN360_u_soc_data_wdata_4;
+   wire FE_OFN359_u_soc_data_wdata_4;
+   wire FE_OFN358_u_soc_data_wdata_4;
+   wire FE_OFN357_u_soc_data_wdata_4;
+   wire FE_OFN356_u_soc_data_wdata_4;
+   wire FE_OFN355_u_soc_data_wdata_4;
+   wire FE_OFN354_u_soc_data_wdata_5;
+   wire FE_OFN353_u_soc_data_wdata_5;
+   wire FE_OFN352_u_soc_data_wdata_5;
+   wire FE_OFN351_u_soc_data_wdata_5;
+   wire FE_OFN350_u_soc_data_wdata_5;
+   wire FE_OFN349_u_soc_data_wdata_5;
+   wire FE_OFN348_u_soc_data_wdata_5;
+   wire FE_OFN347_u_soc_data_wdata_6;
+   wire FE_OFN346_u_soc_data_wdata_6;
+   wire FE_OFN345_u_soc_data_wdata_6;
+   wire FE_OFN344_u_soc_data_wdata_6;
+   wire FE_OFN343_u_soc_data_wdata_6;
+   wire FE_OFN342_u_soc_data_wdata_6;
+   wire FE_OFN340_u_soc_data_wdata_7;
+   wire FE_OFN339_u_soc_data_wdata_7;
+   wire FE_OFN338_u_soc_data_wdata_7;
+   wire FE_OFN337_u_soc_data_wdata_7;
+   wire FE_OFN336_u_soc_data_wdata_7;
+   wire FE_OFN335_u_soc_data_wdata_7;
+   wire FE_OFN334_u_soc_data_wdata_7;
+   wire FE_OFN333_u_soc_data_wdata_8;
+   wire FE_OFN332_u_soc_data_wdata_8;
+   wire FE_OFN331_u_soc_data_wdata_8;
+   wire FE_OFN330_u_soc_data_wdata_8;
+   wire FE_OFN329_u_soc_data_wdata_8;
+   wire FE_OFN328_u_soc_data_wdata_8;
+   wire FE_OFN327_u_soc_data_wdata_8;
+   wire FE_OFN326_u_soc_data_wdata_9;
+   wire FE_OFN325_u_soc_data_wdata_9;
+   wire FE_OFN324_u_soc_data_wdata_9;
+   wire FE_OFN323_u_soc_data_wdata_9;
+   wire FE_OFN322_u_soc_data_wdata_9;
+   wire FE_OFN321_u_soc_data_wdata_9;
+   wire FE_OFN320_u_soc_data_wdata_9;
+   wire FE_OFN319_u_soc_data_wdata_10;
+   wire FE_OFN318_u_soc_data_wdata_10;
+   wire FE_OFN317_u_soc_data_wdata_10;
+   wire FE_OFN316_u_soc_data_wdata_10;
+   wire FE_OFN315_u_soc_data_wdata_10;
+   wire FE_OFN314_u_soc_data_wdata_10;
+   wire FE_OFN313_u_soc_data_wdata_10;
+   wire FE_OFN312_u_soc_data_wdata_11;
+   wire FE_OFN311_u_soc_data_wdata_11;
+   wire FE_OFN310_u_soc_data_wdata_11;
+   wire FE_OFN309_u_soc_data_wdata_11;
+   wire FE_OFN308_u_soc_data_wdata_11;
+   wire FE_OFN307_u_soc_data_wdata_11;
+   wire FE_OFN306_u_soc_data_wdata_11;
+   wire FE_OFN305_u_soc_data_wdata_12;
+   wire FE_OFN304_u_soc_data_wdata_12;
+   wire FE_OFN303_u_soc_data_wdata_12;
+   wire FE_OFN302_u_soc_data_wdata_12;
+   wire FE_OFN301_u_soc_data_wdata_12;
+   wire FE_OFN300_u_soc_data_wdata_12;
+   wire FE_OFN299_u_soc_data_wdata_12;
+   wire FE_OFN298_u_soc_data_wdata_13;
+   wire FE_OFN297_u_soc_data_wdata_13;
+   wire FE_OFN296_u_soc_data_wdata_13;
+   wire FE_OFN295_u_soc_data_wdata_13;
+   wire FE_OFN294_u_soc_data_wdata_13;
+   wire FE_OFN293_u_soc_data_wdata_13;
+   wire FE_OFN292_u_soc_data_wdata_13;
+   wire FE_OFN291_u_soc_data_wdata_14;
+   wire FE_OFN290_u_soc_data_wdata_14;
+   wire FE_OFN289_u_soc_data_wdata_14;
+   wire FE_OFN288_u_soc_data_wdata_14;
+   wire FE_OFN287_u_soc_data_wdata_14;
+   wire FE_OFN286_u_soc_data_wdata_14;
+   wire FE_OFN285_u_soc_data_wdata_14;
+   wire FE_OFN284_u_soc_data_wdata_15;
+   wire FE_OFN283_u_soc_data_wdata_15;
+   wire FE_OFN282_u_soc_data_wdata_15;
+   wire FE_OFN281_u_soc_data_wdata_15;
+   wire FE_OFN280_u_soc_data_wdata_15;
+   wire FE_OFN279_u_soc_data_wdata_15;
+   wire FE_OFN278_u_soc_data_wdata_15;
+   wire FE_OFN277_u_soc_data_wdata_16;
+   wire FE_OFN276_u_soc_data_wdata_16;
+   wire FE_OFN275_u_soc_data_wdata_16;
+   wire FE_OFN274_u_soc_data_wdata_16;
+   wire FE_OFN273_u_soc_data_wdata_16;
+   wire FE_OFN272_u_soc_data_wdata_16;
+   wire FE_OFN271_u_soc_data_wdata_16;
+   wire FE_OFN270_u_soc_data_wdata_17;
+   wire FE_OFN269_u_soc_data_wdata_17;
+   wire FE_OFN268_u_soc_data_wdata_17;
+   wire FE_OFN267_u_soc_data_wdata_17;
+   wire FE_OFN266_u_soc_data_wdata_17;
+   wire FE_OFN265_u_soc_data_wdata_17;
+   wire FE_OFN264_u_soc_data_wdata_17;
+   wire FE_OFN263_u_soc_data_wdata_18;
+   wire FE_OFN262_u_soc_data_wdata_18;
+   wire FE_OFN261_u_soc_data_wdata_18;
+   wire FE_OFN260_u_soc_data_wdata_18;
+   wire FE_OFN259_u_soc_data_wdata_18;
+   wire FE_OFN258_u_soc_data_wdata_18;
+   wire FE_OFN257_u_soc_data_wdata_18;
+   wire FE_OFN256_u_soc_data_wdata_19;
+   wire FE_OFN255_u_soc_data_wdata_19;
+   wire FE_OFN254_u_soc_data_wdata_19;
+   wire FE_OFN253_u_soc_data_wdata_19;
+   wire FE_OFN252_u_soc_data_wdata_19;
+   wire FE_OFN251_u_soc_data_wdata_19;
+   wire FE_OFN250_u_soc_data_wdata_19;
+   wire FE_OFN249_u_soc_data_wdata_20;
+   wire FE_OFN248_u_soc_data_wdata_20;
+   wire FE_OFN247_u_soc_data_wdata_20;
+   wire FE_OFN246_u_soc_data_wdata_20;
+   wire FE_OFN245_u_soc_data_wdata_20;
+   wire FE_OFN244_u_soc_data_wdata_20;
+   wire FE_OFN243_u_soc_data_wdata_20;
+   wire FE_OFN242_u_soc_data_wdata_21;
+   wire FE_OFN241_u_soc_data_wdata_21;
+   wire FE_OFN240_u_soc_data_wdata_21;
+   wire FE_OFN239_u_soc_data_wdata_21;
+   wire FE_OFN238_u_soc_data_wdata_21;
+   wire FE_OFN237_u_soc_data_wdata_21;
+   wire FE_OFN236_u_soc_data_wdata_21;
+   wire FE_OFN235_u_soc_data_wdata_22;
+   wire FE_OFN234_u_soc_data_wdata_22;
+   wire FE_OFN233_u_soc_data_wdata_22;
+   wire FE_OFN232_u_soc_data_wdata_22;
+   wire FE_OFN231_u_soc_data_wdata_22;
+   wire FE_OFN230_u_soc_data_wdata_22;
+   wire FE_OFN229_u_soc_data_wdata_22;
+   wire FE_OFN228_u_soc_data_wdata_23;
+   wire FE_OFN227_u_soc_data_wdata_23;
+   wire FE_OFN226_u_soc_data_wdata_23;
+   wire FE_OFN225_u_soc_data_wdata_23;
+   wire FE_OFN224_u_soc_data_wdata_23;
+   wire FE_OFN223_u_soc_data_wdata_23;
+   wire FE_OFN222_u_soc_data_wdata_23;
+   wire FE_OFN221_u_soc_data_wdata_24;
+   wire FE_OFN220_u_soc_data_wdata_24;
+   wire FE_OFN219_u_soc_data_wdata_24;
+   wire FE_OFN218_u_soc_data_wdata_24;
+   wire FE_OFN217_u_soc_data_wdata_24;
+   wire FE_OFN216_u_soc_data_wdata_24;
+   wire FE_OFN215_u_soc_data_wdata_25;
+   wire FE_OFN214_u_soc_data_wdata_25;
+   wire FE_OFN213_u_soc_data_wdata_25;
+   wire FE_OFN212_u_soc_data_wdata_25;
+   wire FE_OFN211_u_soc_data_wdata_25;
+   wire FE_OFN210_u_soc_data_wdata_25;
+   wire FE_OFN209_u_soc_data_wdata_26;
+   wire FE_OFN208_u_soc_data_wdata_26;
+   wire FE_OFN207_u_soc_data_wdata_26;
+   wire FE_OFN206_u_soc_data_wdata_26;
+   wire FE_OFN205_u_soc_data_wdata_26;
+   wire FE_OFN204_u_soc_data_wdata_26;
+   wire FE_OFN203_u_soc_data_wdata_27;
+   wire FE_OFN202_u_soc_data_wdata_27;
+   wire FE_OFN201_u_soc_data_wdata_27;
+   wire FE_OFN200_u_soc_data_wdata_27;
+   wire FE_OFN199_u_soc_data_wdata_27;
+   wire FE_OFN198_u_soc_data_wdata_27;
+   wire FE_OFN197_u_soc_data_wdata_28;
+   wire FE_OFN196_u_soc_data_wdata_28;
+   wire FE_OFN195_u_soc_data_wdata_28;
    wire FE_OFN194_u_soc_data_wdata_28;
    wire FE_OFN193_u_soc_data_wdata_28;
    wire FE_OFN192_u_soc_data_wdata_28;
-   wire FE_OFN190_u_soc_data_wdata_28;
-   wire FE_OFN189_u_soc_data_wdata_28;
+   wire FE_OFN191_u_soc_data_wdata_29;
+   wire FE_OFN190_u_soc_data_wdata_29;
+   wire FE_OFN189_u_soc_data_wdata_29;
+   wire FE_OFN188_u_soc_data_wdata_29;
    wire FE_OFN187_u_soc_data_wdata_29;
    wire FE_OFN186_u_soc_data_wdata_29;
-   wire FE_OFN184_u_soc_data_wdata_29;
-   wire FE_OFN183_u_soc_data_wdata_29;
-   wire FE_OFN182_u_soc_data_wdata_29;
+   wire FE_OFN185_u_soc_data_wdata_30;
+   wire FE_OFN184_u_soc_data_wdata_30;
+   wire FE_OFN183_u_soc_data_wdata_30;
+   wire FE_OFN182_u_soc_data_wdata_30;
+   wire FE_OFN181_u_soc_data_wdata_30;
    wire FE_OFN180_u_soc_data_wdata_30;
-   wire FE_OFN179_u_soc_data_wdata_30;
-   wire FE_OFN177_u_soc_data_wdata_30;
-   wire FE_OFN176_u_soc_data_wdata_30;
-   wire FE_OFN175_u_soc_data_wdata_30;
+   wire FE_OFN179_u_soc_data_wdata_31;
+   wire FE_OFN178_u_soc_data_wdata_31;
+   wire FE_OFN177_u_soc_data_wdata_31;
+   wire FE_OFN176_u_soc_data_wdata_31;
+   wire FE_OFN175_u_soc_data_wdata_31;
    wire FE_OFN174_u_soc_data_wdata_31;
-   wire FE_OFN172_u_soc_data_wdata_31;
-   wire FE_OFN171_u_soc_data_wdata_31;
-   wire FE_OFN170_u_soc_data_wdata_31;
-   wire FE_OFN169_u_soc_data_wdata_31;
-   wire FE_OFN168_u_soc_data_wdata_31;
-   wire FE_OFN167_io_oeb_24;
-   wire FE_OFN166_io_oeb_24;
-   wire FE_OFN164_io_out_34;
-   wire FE_OFN163_io_out_34;
-   wire FE_OFN162_io_in_5;
-   wire FE_OFN160_io_in_24;
-   wire FE_OFN159_io_in_24;
-   wire FE_OFN158_io_in_25;
-   wire FE_OFN157_io_in_26;
-   wire FE_OFN156_io_in_27;
-   wire FE_OFN154_io_in_29;
+   wire FE_OFN172_io_out_17;
+   wire FE_RN_1;
+   wire FE_OFN170_io_out_34;
+   wire FE_OFN169_io_out_34;
+   wire FE_OFN166_io_in_24;
+   wire FE_OFN165_io_in_25;
+   wire FE_OFN164_io_in_26;
+   wire FE_OFN163_io_in_27;
+   wire FE_OFN159_io_in_32;
+   wire FE_OFN157_FE_OFN83_io_out_37;
+   wire FE_OFN156_FE_OFN94_io_out_37;
+   wire FE_OFN155_FE_OFN98_io_out_37;
+   wire FE_OFN154_io_out_37;
    wire FE_OFN153_io_out_37;
    wire FE_OFN152_io_out_37;
    wire FE_OFN151_io_out_37;
@@ -17000,7 +16705,6 @@
    wire FE_OFN128_io_out_37;
    wire FE_OFN127_io_out_37;
    wire FE_OFN126_io_out_37;
-   wire FE_OFN125_io_out_37;
    wire FE_OFN124_io_out_37;
    wire FE_OFN123_io_out_37;
    wire FE_OFN122_io_out_37;
@@ -17016,10 +16720,8 @@
    wire FE_OFN112_io_out_37;
    wire FE_OFN111_io_out_37;
    wire FE_OFN110_io_out_37;
-   wire FE_OFN109_io_out_37;
    wire FE_OFN108_io_out_37;
    wire FE_OFN107_io_out_37;
-   wire FE_OFN106_io_out_37;
    wire FE_OFN105_io_out_37;
    wire FE_OFN104_io_out_37;
    wire FE_OFN103_io_out_37;
@@ -17039,6 +16741,7 @@
    wire FE_OFN89_io_out_37;
    wire FE_OFN88_io_out_37;
    wire FE_OFN87_io_out_37;
+   wire FE_OFN86_io_out_37;
    wire FE_OFN85_io_out_37;
    wire FE_OFN84_io_out_37;
    wire FE_OFN83_io_out_37;
@@ -17057,33 +16760,31 @@
    wire FE_OFN70_io_out_37;
    wire FE_OFN69_io_out_37;
    wire FE_OFN68_io_out_37;
-   wire FE_OFN66_io_out_37;
+   wire FE_OFN67_io_out_37;
    wire FE_OFN64_io_out_37;
+   wire FE_OFN63_io_out_37;
    wire FE_OFN62_io_out_37;
-   wire FE_OFN61_io_out_37;
    wire FE_OFN60_io_out_37;
    wire FE_OFN59_io_out_37;
    wire FE_OFN58_io_out_37;
-   wire FE_OFN57_io_out_37;
    wire FE_OFN56_io_out_37;
    wire FE_OFN55_io_out_37;
    wire FE_OFN54_io_out_37;
    wire FE_OFN53_io_out_37;
    wire FE_OFN52_io_out_37;
-   wire FE_OFN51_io_out_37;
    wire FE_OFN50_io_out_37;
-   wire FE_OFN49_io_out_37;
    wire FE_OFN48_io_out_37;
-   wire FE_OFN47_io_out_37;
    wire FE_OFN46_io_out_37;
    wire FE_OFN45_io_out_37;
    wire FE_OFN44_io_out_37;
    wire FE_OFN43_io_out_37;
+   wire FE_OFN42_io_out_37;
    wire FE_OFN41_io_out_37;
    wire FE_OFN40_io_out_37;
    wire FE_OFN39_io_out_37;
    wire FE_OFN38_io_out_37;
    wire FE_OFN37_io_out_37;
+   wire FE_OFN36_io_out_37;
    wire FE_OFN35_io_out_37;
    wire FE_OFN34_io_out_37;
    wire FE_OFN33_io_out_37;
@@ -17091,23 +16792,28 @@
    wire FE_OFN31_io_out_37;
    wire FE_OFN30_io_out_37;
    wire FE_OFN29_io_out_37;
-   wire FE_OFN28_io_out_37;
+   wire FE_OFN27_io_out_37;
    wire FE_OFN26_io_out_37;
    wire FE_OFN25_io_out_37;
+   wire FE_OFN24_io_out_37;
    wire FE_OFN23_io_out_37;
    wire FE_OFN22_io_out_37;
    wire FE_OFN21_io_out_37;
    wire FE_OFN20_io_out_37;
-   wire FE_OFN19_io_out_37;
    wire FE_OFN18_io_out_37;
-   wire FE_OFN16_io_out_37;
    wire FE_OFN15_io_out_37;
-   wire FE_OFN13_io_out_37;
+   wire FE_OFN14_io_out_37;
    wire FE_OFN12_io_out_37;
    wire FE_OFN11_io_out_37;
+   wire FE_OFN10_io_out_37;
+   wire FE_OFN9_io_out_37;
+   wire FE_OFN8_io_out_37;
    wire FE_OFN7_io_out_37;
    wire FE_OFN6_io_out_37;
    wire FE_OFN5_io_out_37;
+   wire FE_OFN4_io_out_37;
+   wire FE_OFN3_io_out_37;
+   wire FE_OFN2_io_out_37;
    wire FE_OFN1_io_out_37;
    wire FE_OFN0_io_out_37;
    wire [31:0] u_soc_data_wdata;
@@ -17969,7 +17675,6 @@
    wire n_640;
    wire n_641;
    wire n_642;
-   wire n_646;
    wire n_652;
    wire n_653;
    wire n_654;
@@ -17977,7 +17682,6 @@
    wire n_661;
    wire n_665;
    wire n_666;
-   wire n_669;
    wire n_670;
    wire n_689;
    wire n_690;
@@ -19233,7 +18937,6 @@
    wire n_2281;
    wire n_2282;
    wire n_2283;
-   wire n_2284;
    wire n_2285;
    wire n_2286;
    wire n_2287;
@@ -19628,7 +19331,6 @@
    wire n_2679;
    wire n_2680;
    wire n_2681;
-   wire n_2682;
    wire n_2683;
    wire n_2684;
    wire n_2685;
@@ -20025,6 +19727,7 @@
    wire n_3076;
    wire n_3077;
    wire n_3078;
+   wire n_3079;
    wire n_3080;
    wire n_3081;
    wire n_3082;
@@ -23788,7 +23491,6 @@
    wire n_6859;
    wire n_6860;
    wire n_6861;
-   wire n_6862;
    wire n_6863;
    wire n_6864;
    wire n_6865;
@@ -24174,7 +23876,6 @@
    wire n_7249;
    wire n_7250;
    wire n_7251;
-   wire n_7252;
    wire n_7253;
    wire n_7254;
    wire n_7255;
@@ -24301,9 +24002,11 @@
    wire n_7378;
    wire n_7379;
    wire n_7380;
+   wire n_7381;
    wire n_7382;
    wire n_7383;
    wire n_7386;
+   wire n_7387;
    wire n_7388;
    wire n_7389;
    wire n_7390;
@@ -24522,6 +24225,7 @@
    wire n_7605;
    wire n_7606;
    wire n_7607;
+   wire n_7608;
    wire n_7609;
    wire n_7610;
    wire n_7611;
@@ -27193,7 +26897,6 @@
    wire n_10342;
    wire n_10343;
    wire n_10344;
-   wire n_10345;
    wire n_10346;
    wire n_10347;
    wire n_10348;
@@ -27393,7 +27096,6 @@
    wire n_10542;
    wire n_10543;
    wire n_10544;
-   wire n_10545;
    wire n_10546;
    wire n_10547;
    wire n_10548;
@@ -27846,6 +27548,7 @@
    wire n_11005;
    wire n_11006;
    wire n_11018;
+   wire n_11019;
    wire n_11020;
    wire n_11021;
    wire n_11022;
@@ -27854,7 +27557,6 @@
    wire n_11032;
    wire n_11033;
    wire n_11034;
-   wire n_11035;
    wire n_11036;
    wire n_11037;
    wire n_11038;
@@ -27872,7 +27574,6 @@
    wire n_11089;
    wire n_11090;
    wire n_11091;
-   wire n_11092;
    wire n_11093;
    wire n_11094;
    wire n_11096;
@@ -27912,11 +27613,8 @@
    wire n_11147;
    wire n_11148;
    wire n_11149;
-   wire n_11151;
    wire n_11152;
-   wire n_11153;
    wire n_11154;
-   wire n_11155;
    wire n_11156;
    wire n_11157;
    wire n_11158;
@@ -27942,7 +27640,6 @@
    wire n_11210;
    wire n_11212;
    wire n_11213;
-   wire n_11214;
    wire n_11215;
    wire n_11216;
    wire n_11217;
@@ -28290,7 +27987,6 @@
    wire n_11745;
    wire n_11746;
    wire n_11748;
-   wire n_11749;
    wire n_11751;
    wire n_11753;
    wire n_11754;
@@ -29672,7 +29368,6 @@
    wire n_13270;
    wire n_13272;
    wire n_13273;
-   wire n_13274;
    wire n_13275;
    wire n_13277;
    wire n_13282;
@@ -29787,7 +29482,6 @@
    wire n_13414;
    wire n_13415;
    wire n_13416;
-   wire n_13417;
    wire n_13418;
    wire n_13419;
    wire n_13420;
@@ -30720,7 +30414,9 @@
    wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_214;
    wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_215;
    wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285;
    wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286;
+   wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287;
    wire u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288;
    wire \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ;
    wire u_soc_u_top_u_core_fetch_enable_q;
@@ -31018,12685 +30714,7722 @@
    wire UNCONNECTED291;
    wire UNCONNECTED501;
 
+   assign io_out[6] = FE_RN_1 ;
+
    // Module instantiations
-   sky130_fd_sc_hd__diode_2 DIODE_125 (
-	.DIODE(io_in[33]), 
+   sky130_fd_sc_hd__diode_2 DIODE_197 (
+	.DIODE(FE_PDN4073_FE_RN_105_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_124 (
-	.DIODE(io_in[33]), 
+   sky130_fd_sc_hd__diode_2 DIODE_196 (
+	.DIODE(logic_0_57_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_123 (
-	.DIODE(io_in[33]), 
+   sky130_fd_sc_hd__diode_2 DIODE_195 (
+	.DIODE(n_17943), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_122 (
-	.DIODE(io_in[33]), 
+   sky130_fd_sc_hd__diode_2 DIODE_194 (
+	.DIODE(n_17943), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_121 (
-	.DIODE(io_in[33]), 
+   sky130_fd_sc_hd__diode_2 DIODE_193 (
+	.DIODE(n_17943), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PHC4880_io_in_33 (
-	.A(io_in[33]),
-	.X(FE_PHN4880_io_in_33), 
+   sky130_fd_sc_hd__diode_2 DIODE_192 (
+	.DIODE(FE_PDN3790_FE_OFN1034_u_soc_uart_to_xbar_d_data__4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4879_n_7799 (
-	.A(n_7799),
-	.X(FE_COEN4879_n_7799), 
+   sky130_fd_sc_hd__diode_2 DIODE_191 (
+	.DIODE(FE_PDN4095_FE_OFN529_u_soc_instr_wdata_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4878_FE_OFN587_u_soc_u_iccm_rdata1_30 (
-	.A(FE_OFN587_u_soc_u_iccm_rdata1_30),
-	.X(FE_COEN4878_FE_OFN587_u_soc_u_iccm_rdata1_30), 
+   sky130_fd_sc_hd__diode_2 DIODE_190 (
+	.DIODE(logic_0_58_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4877_n_5828 (
-	.A(n_5828),
-	.X(FE_COEN4877_n_5828), 
+   sky130_fd_sc_hd__diode_2 DIODE_189 (
+	.DIODE(FE_PDN3768_FE_OFN1035_u_soc_uart_to_xbar_d_data__3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4876_n_7805 (
-	.A(n_7805),
-	.X(FE_COEN4876_n_7805), 
+   sky130_fd_sc_hd__diode_2 DIODE_188 (
+	.DIODE(FE_OFN1769_n_7264), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4875_FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n (
-	.A(FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n),
-	.X(FE_COEN4875_FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
+   sky130_fd_sc_hd__diode_2 DIODE_187 (
+	.DIODE(FE_OFN1595_n_7979), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4874_n_496 (
-	.A(n_496),
-	.X(FE_COEN4874_n_496), 
+   sky130_fd_sc_hd__diode_2 DIODE_186 (
+	.DIODE(FE_PDN4075_u_soc_xbar_to_dccm_a_data_10), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4872_n_15 (
-	.A(n_15),
-	.X(FE_COEN4872_n_15), 
+   sky130_fd_sc_hd__buf_12 FE_PDC4104_FE_OFN19092_FE_OFN18920_FE_OFN18885_n (
+	.A(FE_PDN4040_FE_OFN19092_FE_OFN18920_FE_OFN18885_n),
+	.X(FE_PDN4104_FE_OFN19092_FE_OFN18920_FE_OFN18885_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4871_n_10665 (
-	.A(n_10665),
-	.X(FE_COEN4871_n_10665), 
+   sky130_fd_sc_hd__buf_12 FE_PDC4103_FE_OFN19135_FE_OFN18990_FE_OFN17994_n (
+	.A(FE_PDN4072_FE_OFN19135_FE_OFN18990_FE_OFN17994_n),
+	.X(FE_PDN4103_FE_OFN19135_FE_OFN18990_FE_OFN17994_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4870_n_10345 (
-	.A(n_10345),
-	.X(FE_COEN4870_n_10345), 
+   sky130_fd_sc_hd__buf_12 FE_PDC4102_FE_OFN18739_FE_OFN17999_n (
+	.A(FE_PDN19251_FE_OFN18739_FE_OFN17999_n),
+	.X(FE_PDN4102_FE_OFN18739_FE_OFN17999_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4869_n_10673 (
-	.A(n_10673),
-	.X(FE_COEN4869_n_10673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4867_n_10677 (
-	.A(n_10677),
-	.X(FE_COEN4867_n_10677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4866_n_10676 (
-	.A(n_10676),
-	.X(FE_COEN4866_n_10676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4864_n_10678 (
-	.A(n_10678),
-	.X(FE_COEN4864_n_10678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4863_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_11_0 (
-	.A(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
-	.X(FE_COEN4863_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_11_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4862_n_11626 (
-	.A(n_11626),
-	.X(FE_COEN4862_n_11626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4861_n_12755 (
-	.A(n_12755),
-	.X(FE_COEN4861_n_12755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4860_u_soc_lsu_to_xbar_a_address_31 (
-	.A(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
-	.X(FE_PSN4860_u_soc_lsu_to_xbar_a_address_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4859_FE_PDN4759_FE_COEN4678 (
-	.A(FE_PDN4759_FE_COEN4678),
-	.X(FE_PSN4859_FE_PDN4759_FE_COEN4678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_120 (
-	.DIODE(u_soc_u_dccm_rdata2[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_119 (
-	.DIODE(u_soc_u_dccm_rdata2[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_118 (
-	.DIODE(u_soc_u_dccm_rdata2[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_117 (
-	.DIODE(u_soc_u_dccm_rdata2[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_116 (
-	.DIODE(u_soc_u_dccm_rdata2[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_115 (
-	.DIODE(u_soc_u_dccm_rdata2[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_114 (
-	.DIODE(u_soc_u_dccm_rdata2[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_113 (
-	.DIODE(u_soc_u_dccm_rdata2[30]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_112 (
-	.DIODE(u_soc_u_iccm_rdata4[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4858_n_15982 (
-	.A(n_15982),
-	.X(FE_PSN4858_n_15982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_PSBC4194_n_16001 (
-	.A(n_16001),
-	.Y(FE_PSBN19898_n_16001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_PSBC4193_n_16001 (
-	.A(FE_PSBN19898_n_16001),
-	.Y(FE_PSBN19897_n_16001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4857_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_1_0 (
-	.A(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
-	.X(FE_PSN4857_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_1_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4856_n_8934 (
-	.A(n_8934),
-	.X(FE_PSN4856_n_8934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4855_n_10568 (
-	.A(n_10568),
-	.X(FE_PSN4855_n_10568), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4854_n_10153 (
-	.A(n_10153),
-	.X(FE_PSN4854_n_10153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4853_u_soc_u_iccm_bank_sel_0 (
-	.A(u_soc_u_iccm_bank_sel[0]),
-	.X(FE_PSN4853_u_soc_u_iccm_bank_sel_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed (
-	.A(u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
-	.X(FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_USKC4851_CTS_23 (
-	.A(CTS_23),
-	.X(FE_USKN4851_CTS_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC4850_CTS_20 (
-	.A(FE_USKN4850_CTS_20),
-	.X(CTS_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC4849_CTS_20 (
-	.A(FE_USKN4849_CTS_20),
-	.X(FE_USKN4658_CTS_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC4848_CTS_87 (
-	.A(FE_USKN4848_CTS_87),
-	.X(FE_USKN4672_CTS_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_USKC4847_CTS_18 (
-	.A(FE_USKN4847_CTS_18),
-	.X(FE_USKN4654_CTS_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC4846_CTS_18 (
-	.A(FE_USKN4846_CTS_18),
-	.X(FE_USKN4671_CTS_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC4845_CTS_75 (
-	.A(FE_USKN4845_CTS_75),
-	.X(FE_USKN4652_CTS_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC4844_CTS_75 (
-	.A(FE_USKN4844_CTS_75),
-	.X(FE_USKN4653_CTS_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4843_FE_OFN1118_n_15887 (
-	.A(FE_OFN1118_n_15887),
-	.X(FE_PSN4843_FE_OFN1118_n_15887), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4842_FE_OFN18526_n_11553 (
-	.A(FE_OFN18526_n_11553),
-	.X(FE_PSN4842_FE_OFN18526_n_11553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4841_FE_OFN746_u_soc_lsu_to_xbar_a_address__31 (
-	.A(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
-	.X(FE_PSN4841_FE_OFN746_u_soc_lsu_to_xbar_a_address__31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4840_n_11631 (
-	.A(n_11631),
-	.X(FE_PSN4840_n_11631), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4838_FE_PSBN19868_n_16000 (
-	.A(FE_PSBN19868_n_16000),
-	.X(FE_PSN4838_FE_PSBN19868_n_16000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4837_FE_COEN4317_n_11475 (
-	.A(FE_PSN4477_FE_COEN4317_n_11475),
-	.X(FE_PSN4837_FE_COEN4317_n_11475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4836_n_15861 (
-	.A(FE_PSN4645_n_15861),
-	.X(FE_PSN4836_n_15861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4835_n_15856 (
-	.A(n_15856),
-	.X(FE_PSN4835_n_15856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4834_FE_OFN18385_n_11514 (
-	.A(FE_OFN18385_n_11514),
-	.X(FE_PSN4834_FE_OFN18385_n_11514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4833_n_11123 (
-	.A(n_11123),
-	.X(FE_PSN4833_n_11123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PSC4831_n_11517 (
-	.A(n_11517),
-	.X(FE_PSN4831_n_11517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4828_n_13499 (
-	.A(n_13499),
-	.X(FE_PSN4828_n_13499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4825_n_11035 (
-	.A(n_11035),
-	.X(FE_PSN4825_n_11035), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4824_u_soc_u_top_u_core_rf_waddr_wb_3 (
-	.A(u_soc_u_top_u_core_rf_waddr_wb[3]),
-	.X(FE_PSN4824_u_soc_u_top_u_core_rf_waddr_wb_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1 (
-	.A(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
-	.X(FE_PSN4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4822_n_13503 (
-	.A(n_13503),
-	.X(FE_PSN4822_n_13503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4821_FE_COEN4317_n_11475 (
-	.A(FE_PSN4477_FE_COEN4317_n_11475),
-	.X(FE_PSN4821_FE_COEN4317_n_11475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4820_n_11121 (
-	.A(n_11121),
-	.X(FE_PSN4820_n_11121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4818_FE_OFN18526_n_11553 (
-	.A(FE_OFN18526_n_11553),
-	.X(FE_PSN4818_FE_OFN18526_n_11553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4817_FE_OFN1057_n_11478 (
-	.A(FE_OFN1057_n_11478),
-	.X(FE_PSN4817_FE_OFN1057_n_11478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4816_n_12412 (
-	.A(n_12412),
-	.X(FE_PSN4816_n_12412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4815_FE_OFN1053_n_11471 (
-	.A(FE_OFN1053_n_11471),
-	.X(FE_PSN4815_FE_OFN1053_n_11471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4814_FE_OFN18559_n_15861 (
-	.A(FE_OFN18559_n_15861),
-	.X(FE_PSN4814_FE_OFN18559_n_15861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4813_n_11761 (
-	.A(n_11761),
-	.X(FE_PSN4813_n_11761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4812_n_11425 (
-	.A(n_11425),
-	.X(FE_PSN4812_n_11425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4811_FE_OFN18505_n_16001 (
-	.A(FE_OFN18505_n_16001),
-	.X(FE_PSN4811_FE_OFN18505_n_16001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4810_u_soc_u_top_u_core_instr_rdata_id_2 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[2]),
-	.X(FE_PSN4810_u_soc_u_top_u_core_instr_rdata_id_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4809_n_11555 (
-	.A(FE_PSN4174_n_11555),
-	.X(FE_PSN4809_n_11555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4808_FE_COEN4315_n_15877 (
-	.A(FE_COEN4315_n_15877),
-	.X(FE_PSN4808_FE_COEN4315_n_15877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4807_n_11751 (
-	.A(n_11751),
-	.X(FE_PSN4807_n_11751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4806_n_15975 (
-	.A(n_15975),
-	.X(FE_PSN4806_n_15975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4805_FE_PSBN19868_n_16000 (
-	.A(FE_PSBN19868_n_16000),
-	.X(FE_PSN4805_FE_PSBN19868_n_16000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4804_n_11632 (
-	.A(n_11632),
-	.X(FE_PSN4804_n_11632), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4802_u_soc_u_top_u_core_alu_operator_ex_5 (
-	.A(u_soc_u_top_u_core_alu_operator_ex[5]),
-	.X(FE_PSN4802_u_soc_u_top_u_core_alu_operator_ex_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PSC4801_n_11443 (
-	.A(n_11443),
-	.X(FE_PSN4801_n_11443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4800_n_13841 (
-	.A(n_13841),
-	.X(FE_PSN4800_n_13841), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4796_n_11473 (
-	.A(n_11473),
-	.X(FE_PSN4796_n_11473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4795_n_11474 (
-	.A(n_11474),
-	.X(FE_PSN4795_n_11474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4794_n_13843 (
-	.A(n_13843),
-	.X(FE_PSN4794_n_13843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4793_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34),
-	.X(FE_PSN4793_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4792_n_13498 (
-	.A(n_13498),
-	.X(FE_PSN4792_n_13498), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4791_n_15871 (
-	.A(n_15871),
-	.X(FE_PSN4791_n_15871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4790_n_13499 (
-	.A(n_13499),
-	.X(FE_PSN4790_n_13499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4789_FE_OFN18315_n_13425 (
-	.A(FE_OFN18315_n_13425),
-	.X(FE_PSN4789_FE_OFN18315_n_13425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4788_n_11593 (
-	.A(n_11593),
-	.X(FE_PSN4788_n_11593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4787_n_11400 (
-	.A(n_11400),
-	.X(FE_PSN4787_n_11400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4786_n_13503 (
-	.A(n_13503),
-	.X(FE_PSN4786_n_13503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4785_n_15865 (
-	.A(n_15865),
-	.X(FE_PSN4785_n_15865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4784_n_12068 (
-	.A(n_12068),
-	.X(FE_PSN4784_n_12068), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC4783_n_12256 (
-	.A(n_12256),
-	.X(FE_PSN4783_n_12256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4782_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
-	.X(FE_PSN4782_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4781_u_soc_u_top_u_core_rf_waddr_wb_4 (
-	.A(u_soc_u_top_u_core_rf_waddr_wb[4]),
-	.X(FE_PSN4781_u_soc_u_top_u_core_rf_waddr_wb_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4780_n_10162 (
-	.A(n_10162),
-	.X(FE_PSN4780_n_10162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4779_n_11452 (
-	.A(n_11452),
-	.X(FE_PSN4779_n_11452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4778_n_12419 (
-	.A(n_12419),
-	.X(FE_PSN4778_n_12419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PSC4777_FE_OFN1082_n_13075 (
-	.A(FE_OFN1082_n_13075),
-	.X(FE_PSN4777_FE_OFN1082_n_13075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSC4776_n_12413 (
-	.A(n_12413),
-	.X(FE_PSN4776_n_12413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4775_FE_OFN18385_n_11514 (
-	.A(FE_OFN18385_n_11514),
-	.X(FE_PSN4775_FE_OFN18385_n_11514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4774_n_16000 (
-	.A(n_16000),
-	.X(FE_PSN4774_n_16000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4773_n_12970 (
-	.A(n_12970),
-	.X(FE_PSN4773_n_12970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4772_u_soc_lsu_to_xbar_a_address_30 (
-	.A(\u_soc_lsu_to_xbar[a_address] [30]),
-	.X(FE_PSN4772_u_soc_lsu_to_xbar_a_address_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_PSBC4191_n_16000 (
-	.A(n_16000),
-	.Y(FE_PSBN19895_n_16000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_PSBC4190_n_16000 (
-	.A(FE_PSBN19895_n_16000),
-	.Y(FE_PSBN19894_n_16000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PSBC4189_n_13422 (
-	.A(n_13422),
-	.Y(FE_PSBN19893_n_13422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_PSBC4188_n_13422 (
-	.A(FE_PSBN19893_n_13422),
-	.Y(FE_PSBN19892_n_13422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_PSBC4187_FE_RN_93_0 (
-	.A(FE_RN_93_0),
-	.Y(FE_PSBN19891_FE_RN_93_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4771_n_11476 (
-	.A(n_11476),
-	.X(FE_PSN4771_n_11476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4770_n_11464 (
-	.A(n_11464),
-	.X(FE_PSN4770_n_11464), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4769_n_13507 (
-	.A(n_13507),
-	.X(FE_PSN4769_n_13507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4768_FE_PSBN19863_FE_RN_55_0 (
-	.A(FE_PSBN19863_FE_RN_55_0),
-	.X(FE_PSN4768_FE_PSBN19863_FE_RN_55_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4767_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
-	.X(FE_PSN4767_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSC4766_n_13852 (
-	.A(n_13852),
-	.X(FE_PSN4766_n_13852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PSC4765_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284),
-	.X(FE_PSN4765_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4764_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
-	.X(FE_PSN4764_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4762_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
-	.A(FE_PDN4744_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
-	.X(FE_PDN4762_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4761_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
-	.A(FE_PDN4754_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
-	.X(FE_PDN4761_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4760_u_soc_u_iccm_rdata4_20 (
-	.A(u_soc_u_iccm_rdata4[20]),
-	.X(FE_PDN4760_u_soc_u_iccm_rdata4_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4185_u_soc_u_dccm_rdata4_3 (
-	.A(u_soc_u_dccm_rdata4[3]),
-	.X(FE_PDN19889_u_soc_u_dccm_rdata4_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4759_FE_COEN4678 (
-	.A(FE_PDN19884_FE_COEN4678),
-	.X(FE_PDN4759_FE_COEN4678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4758_u_soc_u_dccm_rdata4_2 (
-	.A(FE_PDN4739_u_soc_u_dccm_rdata4_2),
-	.X(FE_PDN4758_u_soc_u_dccm_rdata4_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4184_n (
-	.A(FE_PDN19886_n),
-	.X(FE_PDN19888_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4757_u_soc_u_iccm_rdata4_20 (
-	.A(FE_PDN4760_u_soc_u_iccm_rdata4_20),
-	.X(FE_PDN4757_u_soc_u_iccm_rdata4_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC4756_u_soc_u_iccm_rdata4_5 (
-	.A(u_soc_u_iccm_rdata4[5]),
-	.X(FE_PDN4756_u_soc_u_iccm_rdata4_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4755_n (
-	.A(FE_PDN19882_n),
-	.X(FE_PDN4755_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4754_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
-	.A(FE_PDN4727_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
-	.X(FE_PDN4754_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4753_FE_OFN19490_FE_OFN18932_FE_OFN17993_n (
-	.A(FE_PDN4741_FE_OFN19490_FE_OFN18932_FE_OFN17993_n),
-	.X(FE_PDN4753_FE_OFN19490_FE_OFN18932_FE_OFN17993_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC4752_u_soc_u_dccm_rdata4_2 (
-	.A(u_soc_u_dccm_rdata4[2]),
-	.X(FE_PDN4752_u_soc_u_dccm_rdata4_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4751_u_soc_u_iccm_rdata4_6 (
-	.A(u_soc_u_iccm_rdata4[6]),
-	.X(FE_PDN4751_u_soc_u_iccm_rdata4_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4750_u_soc_u_dccm_rdata2_30 (
-	.A(u_soc_u_dccm_rdata2[30]),
-	.X(FE_PDN4750_u_soc_u_dccm_rdata2_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4749_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
-	.A(FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
-	.X(FE_PDN4749_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4748_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
-	.A(FE_PDN4584_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
-	.X(FE_PDN4748_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4183_FE_OFN19608_FE_OFN18789_FE_OFN18004_n (
-	.A(FE_PDN4504_FE_OFN19608_FE_OFN18789_FE_OFN18004_n),
-	.X(FE_PDN19887_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4747_FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25 (
-	.A(FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25),
-	.X(FE_PDN4747_FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4182_n (
-	.A(FE_PDN19860_n),
-	.X(FE_PDN19886_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4746_FE_OFN19001_FE_OFN17991_n (
-	.A(FE_OFN19001_FE_OFN17991_n),
-	.X(FE_PDN4746_FE_OFN19001_FE_OFN17991_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4745_FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7 (
-	.A(FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7),
-	.X(FE_PDN4745_FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4744_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
-	.A(FE_PDN4595_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
-	.X(FE_PDN4744_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4743_FE_OFN19595_FE_OFN19195_FE_OFN19073_n (
-	.A(FE_OFN19595_FE_OFN19195_FE_OFN19073_n),
-	.X(FE_PDN4743_FE_OFN19595_FE_OFN19195_FE_OFN19073_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4742_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n (
-	.A(FE_PDN4599_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n),
-	.X(FE_PDN4742_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4741_FE_OFN19490_FE_OFN18932_FE_OFN17993_n (
-	.A(FE_PDN4617_FE_OFN19490_FE_OFN18932_FE_OFN17993_n),
-	.X(FE_PDN4741_FE_OFN19490_FE_OFN18932_FE_OFN17993_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4740_FE_OFN19617_FE_OFN19286_FE_OFN19061_n (
-	.A(FE_OFN19617_FE_OFN19286_FE_OFN19061_n),
-	.X(FE_PDN4740_FE_OFN19617_FE_OFN19286_FE_OFN19061_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4739_u_soc_u_dccm_rdata4_2 (
-	.A(FE_PDN4752_u_soc_u_dccm_rdata4_2),
-	.X(FE_PDN4739_u_soc_u_dccm_rdata4_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4738_io_oeb_24 (
-	.A(FE_PDN4737_io_oeb_24),
-	.X(io_oeb[24]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4736_u_soc_u_dccm_rdata2_26 (
-	.A(u_soc_u_dccm_rdata2[26]),
-	.X(FE_PDN4736_u_soc_u_dccm_rdata2_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4735_FE_OFN3865_n (
-	.A(FE_PDN4593_FE_OFN3865_n),
-	.X(FE_PDN4735_FE_OFN3865_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4734_FE_OFN19605_FE_OFN19509_n (
-	.A(FE_PDN4579_FE_OFN19605_FE_OFN19509_n),
-	.X(FE_PDN4734_FE_OFN19605_FE_OFN19509_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4733_n (
-	.A(FE_PDN4589_n),
-	.X(FE_PDN4733_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4732_u_soc_u_dccm_rdata4_26 (
-	.A(u_soc_u_dccm_rdata4[26]),
-	.X(FE_PDN4732_u_soc_u_dccm_rdata4_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4731_FE_OFN18003_n (
-	.A(FE_OFN18003_n),
-	.X(FE_PDN4731_FE_OFN18003_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4730_n (
-	.A(FE_PDN4598_n),
-	.X(FE_PDN4730_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4729_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n (
-	.A(FE_PDN4594_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n),
-	.X(FE_PDN4729_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4728_u_soc_u_dccm_rdata2_30 (
-	.A(FE_PDN4750_u_soc_u_dccm_rdata2_30),
-	.X(FE_PDN4728_u_soc_u_dccm_rdata2_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4727_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
-	.A(FE_PDN4615_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
-	.X(FE_PDN4727_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4726_u_soc_u_iccm_rdata4_6 (
-	.A(FE_PDN4751_u_soc_u_iccm_rdata4_6),
-	.X(FE_PDN4726_u_soc_u_iccm_rdata4_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4725_u_soc_u_iccm_rdata4_20 (
-	.A(FE_PDN4757_u_soc_u_iccm_rdata4_20),
-	.X(FE_PDN4725_u_soc_u_iccm_rdata4_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4724_n (
-	.A(FE_PDN4587_n),
-	.X(FE_PDN4724_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4723_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7 (
-	.A(FE_PDN4575_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7),
-	.X(FE_PDN4723_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4722_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net (
-	.A(FE_PDN4585_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net),
-	.X(FE_PDN4722_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4721_FE_OFN19607_FE_OFN19282_FE_OFN19089_n (
-	.A(FE_PDN4576_FE_OFN19607_FE_OFN19282_FE_OFN19089_n),
-	.X(FE_PDN4721_FE_OFN19607_FE_OFN19282_FE_OFN19089_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4720_n (
-	.A(FE_PDN4600_n),
-	.X(FE_PDN4720_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4719_n (
-	.A(FE_PDN4580_n),
-	.X(FE_PDN4719_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4718_n (
-	.A(FE_PDN19853_n),
-	.X(FE_PDN4718_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4717_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n (
-	.A(FE_PDN4613_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n),
-	.X(FE_PDN4717_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4716_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25 (
-	.A(FE_PDN4611_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25),
-	.X(FE_PDN4716_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4715_n (
-	.A(FE_PDN4582_n),
-	.X(FE_PDN4715_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4714_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 (
-	.A(FE_PDN4583_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0),
-	.X(FE_PDN4714_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_PDC4713_FE_OFN19589_FE_OFN19192_FE_OFN19179_n (
-	.A(FE_COEN4875_FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n),
-	.X(FE_PDN4713_FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4181_FE_COEN4678_FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8 (
-	.A(FE_COEN4678_FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8),
-	.X(FE_PDN19885_FE_COEN4678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4180_FE_COEN4678_FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8 (
-	.A(FE_PDN19885_FE_COEN4678),
-	.X(FE_PDN19884_FE_COEN4678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4179_n (
-	.A(FE_PDN4618_n),
-	.X(FE_PDN19883_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4178_n (
-	.A(FE_PDN19883_n),
-	.X(FE_PDN19882_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4177_n (
-	.A(FE_PDN19851_n),
-	.X(FE_PDN19881_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_16 FE_PDC4712_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
-	.A(FE_PDN4749_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
-	.X(FE_PDN4712_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_111 (
-	.DIODE(FE_PHN4880_io_in_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_110 (
-	.DIODE(FE_PHN4880_io_in_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_109 (
-	.DIODE(FE_PHN4880_io_in_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_108 (
-	.DIODE(FE_PHN4880_io_in_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_107 (
-	.DIODE(FE_PHN4880_io_in_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PHC4711_io_in_33 (
-	.A(FE_PHN4880_io_in_33),
-	.X(FE_PHN4711_io_in_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4710_n_11995 (
-	.A(n_11995),
-	.X(FE_COEN4710_n_11995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4709_n_12063 (
-	.A(n_12063),
-	.X(FE_COEN4709_n_12063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4708_u_soc_xbar_to_lsu_d_valid (
+   sky130_fd_sc_hd__buf_12 FE_PDC4101_u_soc_xbar_to_lsu_d_valid (
 	.A(\u_soc_xbar_to_lsu[d_valid] ),
-	.X(FE_COEN4708_u_soc_xbar_to_lsu_d_valid), 
+	.X(FE_PDN4101_u_soc_xbar_to_lsu_d_valid), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4707_n_8336 (
-	.A(n_8336),
-	.X(FE_COEN4707_n_8336), 
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3835_u_soc_u_tcam_rdata_4 (
+	.A(u_soc_u_tcam_rdata[4]),
+	.X(FE_PDN19252_u_soc_u_tcam_rdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_COEC4706_n_12665 (
-	.A(n_12665),
-	.X(FE_COEN4706_n_12665), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4705_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121),
-	.X(FE_COEN4705_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4703_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100),
-	.X(FE_COEN4703_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4702_n_5826 (
-	.A(n_5826),
-	.X(FE_COEN4702_n_5826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4701_n_5852 (
-	.A(n_5852),
-	.X(FE_COEN4701_n_5852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4700_FE_OFN18585_n_15872 (
-	.A(FE_OFN18585_n_15872),
-	.X(FE_COEN4700_FE_OFN18585_n_15872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4699_u_soc_u_tcam_data_wdata_29 (
-	.A(u_soc_u_tcam_data_wdata[29]),
-	.X(FE_COEN4699_u_soc_u_tcam_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4698_u_soc_u_tcam_data_addr_6 (
-	.A(u_soc_u_tcam_data_addr[6]),
-	.X(FE_COEN4698_u_soc_u_tcam_data_addr_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4697_FE_OFN18361_n (
-	.A(FE_OFN18361_n),
-	.X(FE_COEN4697_FE_OFN18361_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4696_u_soc_u_tcam_data_addr_9 (
-	.A(u_soc_u_tcam_data_addr[9]),
-	.X(FE_COEN4696_u_soc_u_tcam_data_addr_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4695_u_soc_u_tcam_data_wdata_18 (
-	.A(u_soc_u_tcam_data_wdata[18]),
-	.X(FE_COEN4695_u_soc_u_tcam_data_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4694_u_soc_u_tcam_data_wdata_17 (
-	.A(u_soc_u_tcam_data_wdata[17]),
-	.X(FE_COEN4694_u_soc_u_tcam_data_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4693_n_12781 (
-	.A(n_12781),
-	.X(FE_COEN4693_n_12781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4692_n_11086 (
-	.A(n_11086),
-	.X(FE_COEN4692_n_11086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4691_u_soc_u_tcam_data_wdata_20 (
-	.A(u_soc_u_tcam_data_wdata[20]),
-	.X(FE_COEN4691_u_soc_u_tcam_data_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4690_u_soc_u_top_u_core_instr_rdata_id_15 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[15]),
-	.X(FE_COEN4690_u_soc_u_top_u_core_instr_rdata_id_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4689_u_soc_u_tcam_data_wdata_19 (
-	.A(u_soc_u_tcam_data_wdata[19]),
-	.X(FE_COEN4689_u_soc_u_tcam_data_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4688_FE_OFN18466_n_11471 (
-	.A(FE_OFN18466_n_11471),
-	.X(FE_COEN4688_FE_OFN18466_n_11471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4687_n_15859 (
-	.A(n_15859),
-	.X(FE_COEN4687_n_15859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4686_n_11121 (
-	.A(n_11121),
-	.X(FE_COEN4686_n_11121), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4684_n_10562 (
-	.A(n_10562),
-	.X(FE_COEN4684_n_10562), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4683_n_13510 (
-	.A(n_13510),
-	.X(FE_COEN4683_n_13510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_COEC4681_n_16005 (
-	.A(n_16005),
-	.X(FE_COEN4681_n_16005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4680_n_11447 (
-	.A(n_11447),
-	.X(FE_COEN4680_n_11447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_COEC4678_FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8 (
-	.A(FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8),
-	.X(FE_COEN4678_FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
-	.A(FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
-	.X(FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4676_u_soc_data_wdata_8 (
-	.A(u_soc_data_wdata[8]),
-	.X(FE_PSN4676_u_soc_data_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSBC4176_FE_OFN1309_u_soc_data_wmask_2 (
-	.A(FE_OFN1309_u_soc_data_wmask_2),
-	.X(FE_PSBN19880_FE_OFN1309_u_soc_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSBC4175_FE_OFN1309_u_soc_data_wmask_2 (
-	.A(FE_OFN1309_u_soc_data_wmask_2),
-	.X(FE_PSBN19879_FE_OFN1309_u_soc_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_106 (
-	.DIODE(FE_PDN4728_u_soc_u_dccm_rdata2_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_105 (
-	.DIODE(FE_PDN4732_u_soc_u_dccm_rdata4_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_104 (
-	.DIODE(u_soc_u_iccm_rdata3[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_103 (
-	.DIODE(FE_PDN4725_u_soc_u_iccm_rdata4_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC4675_CTS_34 (
-	.A(FE_USKN4675_CTS_34),
-	.X(CTS_34), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC4673_CTS_56 (
-	.A(FE_USKN4673_CTS_56),
-	.X(FE_USKN4659_CTS_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC4672_CTS_87 (
-	.A(FE_USKN4672_CTS_87),
-	.X(FE_USKN4655_CTS_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC4671_CTS_18 (
-	.A(FE_USKN4671_CTS_18),
-	.X(FE_USKN4489_CTS_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4670_FE_OFN18542_n_11550 (
-	.A(FE_OFN18542_n_11550),
-	.X(FE_PSN4670_FE_OFN18542_n_11550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4669_FE_OFN18542_n_11550 (
-	.A(FE_OFN18542_n_11550),
-	.X(FE_PSN4669_FE_OFN18542_n_11550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4668_FE_OFN18492_n_13420 (
-	.A(FE_OFN18492_n_13420),
-	.X(FE_PSN4668_FE_OFN18492_n_13420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PSC4667_n_11479 (
-	.A(n_11479),
-	.X(FE_PSN4667_n_11479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4666_u_soc_u_top_u_core_alu_operator_ex_5 (
-	.A(FE_PSN4802_u_soc_u_top_u_core_alu_operator_ex_5),
-	.X(FE_PSN4666_u_soc_u_top_u_core_alu_operator_ex_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4665_FE_OFN1590_n_15897 (
-	.A(FE_PSN4662_FE_OFN1590_n_15897),
-	.X(FE_PSN4665_FE_OFN1590_n_15897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC4664_n_10153 (
-	.A(n_10153),
-	.X(FE_PSN4664_n_10153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4663_FE_OFN18361_n (
-	.A(FE_OFN18361_n),
-	.X(FE_PSN4663_FE_OFN18361_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC4662_FE_OFN1590_n_15897 (
-	.A(FE_OFN1590_n_15897),
-	.X(FE_PSN4662_FE_OFN1590_n_15897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4661_n_10330 (
-	.A(n_10330),
-	.X(FE_PSN4661_n_10330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC4660_CTS_52 (
-	.A(FE_USKN4660_CTS_52),
-	.X(CTS_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC4659_CTS_56 (
-	.A(FE_USKN4659_CTS_56),
-	.X(CTS_56), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC4658_CTS_20 (
-	.A(FE_USKN4658_CTS_20),
-	.X(FE_USKN4850_CTS_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC4657_CTS_23 (
-	.A(FE_USKN4657_CTS_23),
-	.X(CTS_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC4656_CTS_23 (
-	.A(FE_USKN4656_CTS_23),
-	.X(FE_USKN4490_CTS_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC4655_CTS_87 (
-	.A(FE_USKN4655_CTS_87),
-	.X(CTS_87), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_USKC4654_CTS_18 (
-	.A(FE_USKN4654_CTS_18),
-	.X(FE_USKN4846_CTS_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC4653_CTS_75 (
-	.A(FE_USKN4653_CTS_75),
-	.X(CTS_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_USKC4652_CTS_75 (
-	.A(FE_USKN4652_CTS_75),
-	.X(FE_USKN4488_CTS_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4651_FE_COEN4317_n_11475 (
-	.A(FE_PSN4477_FE_COEN4317_n_11475),
-	.X(FE_PSN4651_FE_COEN4317_n_11475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4650_FE_OFN1053_n_11471 (
-	.A(FE_OFN1053_n_11471),
-	.X(FE_PSN4650_FE_OFN1053_n_11471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4649_FE_OFN18425_n_15868 (
-	.A(FE_OFN18425_n_15868),
-	.X(FE_PSN4649_FE_OFN18425_n_15868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4648_FE_OFN18526_n_11553 (
-	.A(FE_OFN18526_n_11553),
-	.X(FE_PSN4648_FE_OFN18526_n_11553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4647_n_11517 (
-	.A(FE_PSN4831_n_11517),
-	.X(FE_PSN4647_n_11517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4646_FE_OFN1587_n_13428 (
-	.A(FE_OFN1587_n_13428),
-	.X(FE_PSN4646_FE_OFN1587_n_13428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC4645_n_15861 (
-	.A(n_15861),
-	.X(FE_PSN4645_n_15861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4644_FE_OFN1079_n_12256 (
-	.A(FE_OFN1079_n_12256),
-	.X(FE_PSN4644_FE_OFN1079_n_12256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4643_n_15882 (
-	.A(n_15882),
-	.X(FE_PSN4643_n_15882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4641_FE_OFN18542_n_11550 (
-	.A(FE_OFN18542_n_11550),
-	.X(FE_PSN4641_FE_OFN18542_n_11550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4640_n_15946 (
-	.A(FE_PSN4346_n_15946),
-	.X(FE_PSN4640_n_15946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4639_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13),
-	.X(FE_PSN4639_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PSBC4174_FE_PSN4174_n_11555 (
-	.A(FE_PSN4174_n_11555),
-	.Y(FE_PSBN19878_FE_PSN4174_n_11555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_PSBC4173_FE_PSN4174_n_11555 (
-	.A(FE_PSBN19878_FE_PSN4174_n_11555),
-	.Y(FE_PSBN19877_FE_PSN4174_n_11555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4638_n_11422 (
-	.A(n_11422),
-	.X(FE_PSN4638_n_11422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4637_n_8868 (
-	.A(n_8868),
-	.X(FE_PSN4637_n_8868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSBC4172_n_15858 (
-	.A(n_15858),
-	.X(FE_PSBN19876_n_15858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSBC4171_n_15858 (
-	.A(n_15858),
-	.X(FE_PSBN19875_n_15858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4636_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9 (
-	.A(FE_PSN4782_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
-	.X(FE_PSN4636_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSC4635_n_11476 (
-	.A(n_11476),
-	.X(FE_PSN4635_n_11476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4634_n_13843 (
-	.A(FE_PSN4794_n_13843),
-	.X(FE_PSN4634_n_13843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4633_n_15856 (
-	.A(FE_PSN4835_n_15856),
-	.X(FE_PSN4633_n_15856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4632_n_11479 (
-	.A(n_11479),
-	.X(FE_PSN4632_n_11479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4631_n_12888 (
-	.A(n_12888),
-	.X(FE_PSN4631_n_12888), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_PSBC4170_n_11550 (
-	.A(n_11550),
-	.Y(FE_PSBN19874_n_11550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_PSBC4169_n_11550 (
-	.A(FE_PSBN19874_n_11550),
-	.Y(FE_PSBN19873_n_11550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4630_n_12536 (
-	.A(n_12536),
-	.X(FE_PSN4630_n_12536), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PSBC4168_n_13388 (
-	.A(n_13388),
-	.Y(FE_PSBN19872_n_13388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_PSBC4167_n_13388 (
-	.A(FE_PSBN19872_n_13388),
-	.Y(FE_PSBN19871_n_13388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4629_u_soc_u_top_u_core_alu_operand_b_ex_3 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[3]),
-	.X(FE_PSN4629_u_soc_u_top_u_core_alu_operand_b_ex_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4628_n_13812 (
-	.A(n_13812),
-	.X(FE_PSN4628_n_13812), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4627_FE_OFN1053_n_11471 (
-	.A(FE_OFN1053_n_11471),
-	.X(FE_PSN4627_FE_OFN1053_n_11471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSBC4164_n_16000 (
-	.A(FE_PSN4774_n_16000),
-	.X(FE_PSBN19868_n_16000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PSBC4163_n_13424 (
-	.A(n_13424),
-	.Y(FE_PSBN19867_n_13424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_PSBC4162_n_13424 (
-	.A(FE_PSBN19867_n_13424),
-	.Y(FE_PSBN19866_n_13424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_PSBC4161_FE_RN_55_0 (
-	.A(FE_RN_55_0),
-	.Y(FE_PSBN19865_FE_RN_55_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_PSBC4160_FE_RN_55_0 (
-	.A(FE_PSBN19865_FE_RN_55_0),
-	.Y(FE_PSBN19864_FE_RN_55_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_PSBC4159_FE_RN_55_0 (
-	.A(FE_PSBN19864_FE_RN_55_0),
-	.Y(FE_PSBN19863_FE_RN_55_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSBC4158_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206),
-	.X(FE_PSBN19862_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4626_n_142 (
-	.A(n_142),
-	.X(FE_PSN4626_n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4624_n_11401 (
-	.A(n_11401),
-	.X(FE_PSN4624_n_11401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4623_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163),
-	.X(FE_PSN4623_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4622_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193),
-	.X(FE_PSN4622_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4621_u_soc_u_dccm_rdata3_17 (
-	.A(u_soc_u_dccm_rdata3[17]),
-	.X(FE_PDN4621_u_soc_u_dccm_rdata3_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4620_io_out_6 (
-	.A(FE_PDN4619_io_out_6),
-	.X(io_out[6]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4618_n (
-	.A(FE_PDN19858_n),
-	.X(FE_PDN4618_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4156_n (
-	.A(FE_PDN19855_n),
-	.X(FE_PDN19860_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PDC4155_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net (
-	.A(FE_PDN4536_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net),
-	.Y(FE_PDN19859_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_PDC4154_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net (
-	.A(FE_PDN19859_n),
-	.Y(FE_PDN19858_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4617_FE_OFN19490_FE_OFN18932_FE_OFN17993_n (
-	.A(FE_PDN4592_FE_OFN19490_FE_OFN18932_FE_OFN17993_n),
-	.X(FE_PDN4617_FE_OFN19490_FE_OFN18932_FE_OFN17993_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4616_u_soc_u_dccm_rdata4_2 (
-	.A(FE_PDN4758_u_soc_u_dccm_rdata4_2),
-	.X(FE_PDN4616_u_soc_u_dccm_rdata4_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4153_io_out_6 (
-	.A(FE_PDN19857_io_out_6),
-	.X(FE_PDN4619_io_out_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4615_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
-	.A(FE_PDN4590_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
-	.X(FE_PDN4615_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4614_u_soc_u_iccm_rdata4_6 (
-	.A(FE_PDN4726_u_soc_u_iccm_rdata4_6),
-	.X(FE_PDN4614_u_soc_u_iccm_rdata4_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4613_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n (
-	.A(FE_PDN4574_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n),
-	.X(FE_PDN4613_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
-	.A(FE_PDN4522_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
-	.X(FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4611_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25 (
-	.A(FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25),
-	.X(FE_PDN4611_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4152_u_soc_u_dccm_rdata4_20 (
-	.A(u_soc_u_dccm_rdata4[20]),
-	.X(FE_PDN19856_u_soc_u_dccm_rdata4_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4151_n (
-	.A(FE_PDN19850_n),
-	.X(FE_PDN19855_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4610_u_soc_u_dccm_rdata4_21 (
-	.A(u_soc_u_dccm_rdata4[21]),
-	.X(FE_PDN4610_u_soc_u_dccm_rdata4_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4609_u_soc_u_dccm_rdata4_10 (
-	.A(u_soc_u_dccm_rdata4[10]),
-	.X(FE_PDN4609_u_soc_u_dccm_rdata4_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4608_u_soc_u_dccm_rdata3_16 (
-	.A(u_soc_u_dccm_rdata3[16]),
-	.X(FE_PDN4608_u_soc_u_dccm_rdata3_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8 (
-	.A(FE_PDN4062_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8),
-	.X(FE_PDN4607_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4606_u_soc_u_iccm_rdata3_9 (
-	.A(u_soc_u_iccm_rdata3[9]),
-	.X(FE_PDN4606_u_soc_u_iccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4605_u_soc_u_dccm_rdata4_19 (
-	.A(u_soc_u_dccm_rdata4[19]),
-	.X(FE_PDN4605_u_soc_u_dccm_rdata4_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4604_u_soc_u_dccm_rdata4_2 (
-	.A(FE_PDN4616_u_soc_u_dccm_rdata4_2),
-	.X(FE_PDN4604_u_soc_u_dccm_rdata4_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4603_u_soc_u_dccm_rdata2_11 (
-	.A(u_soc_u_dccm_rdata2[11]),
-	.X(FE_PDN4603_u_soc_u_dccm_rdata2_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC4602_u_soc_u_dccm_rdata2_30 (
-	.A(FE_PDN4728_u_soc_u_dccm_rdata2_30),
-	.X(FE_PDN4602_u_soc_u_dccm_rdata2_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4601_u_soc_u_dccm_rdata2_2 (
-	.A(u_soc_u_dccm_rdata2[2]),
-	.X(FE_PDN4601_u_soc_u_dccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4600_n (
-	.A(FE_PDN19838_n),
-	.X(FE_PDN4600_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4599_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n (
-	.A(FE_PDN4514_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n),
-	.X(FE_PDN4599_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4598_n (
-	.A(FE_PDN4512_n),
-	.X(FE_PDN4598_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4597_FE_OFN19610_FE_OFN19514_n (
-	.A(FE_PDN4500_FE_OFN19610_FE_OFN19514_n),
-	.X(FE_PDN4597_FE_OFN19610_FE_OFN19514_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4596_u_soc_u_iccm_rdata4_6 (
-	.A(FE_PDN4614_u_soc_u_iccm_rdata4_6),
-	.X(FE_PDN4596_u_soc_u_iccm_rdata4_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4150_FE_OFN19507_n (
-	.A(FE_PDN4497_FE_OFN19507_n),
-	.X(FE_PDN19854_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4595_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
-	.A(FE_PDN4541_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
-	.X(FE_PDN4595_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4594_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n (
-	.A(FE_PDN4071_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n),
-	.X(FE_PDN4594_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4593_FE_OFN3865_n (
-	.A(FE_PDN4509_FE_OFN3865_n),
-	.X(FE_PDN4593_FE_OFN3865_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4149_logic_0_48_net (
-	.A(FE_PDN4216_logic_0_48_net),
-	.X(FE_PDN19853_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4592_FE_OFN19490_FE_OFN18932_FE_OFN17993_n (
-	.A(FE_OFN19490_FE_OFN18932_FE_OFN17993_n),
-	.X(FE_PDN4592_FE_OFN19490_FE_OFN18932_FE_OFN17993_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4591_u_soc_u_iccm_rdata2_8 (
-	.A(u_soc_u_iccm_rdata2[8]),
-	.X(FE_PDN4591_u_soc_u_iccm_rdata2_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4590_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
-	.A(FE_PDN4535_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
-	.X(FE_PDN4590_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4589_n (
-	.A(FE_PDN4551_n),
-	.X(FE_PDN4589_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC4588_u_soc_u_iccm_rdata4_20 (
-	.A(FE_PDN4725_u_soc_u_iccm_rdata4_20),
-	.X(FE_PDN4588_u_soc_u_iccm_rdata4_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4587_n (
-	.A(FE_PDN4534_n),
-	.X(FE_PDN4587_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4586_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100 (
-	.A(FE_COEN4703_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100),
-	.X(FE_PDN4586_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4585_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net (
-	.A(FE_PDN4508_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net),
-	.X(FE_PDN4585_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4584_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
-	.A(FE_PDN4505_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
-	.X(FE_PDN4584_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4583_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 (
-	.A(FE_PDN4518_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0),
-	.X(FE_PDN4583_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4582_n (
-	.A(FE_PDN19843_n),
-	.X(FE_PDN4582_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n (
-	.A(FE_PDN4502_FE_OFN19589_FE_OFN19192_FE_OFN19179_n),
-	.X(FE_PDN4581_FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4580_n (
-	.A(FE_PDN19840_n),
-	.X(FE_PDN4580_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4579_FE_OFN19605_FE_OFN19509_n (
-	.A(FE_PDN4520_FE_OFN19605_FE_OFN19509_n),
-	.X(FE_PDN4579_FE_OFN19605_FE_OFN19509_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4578_n (
-	.A(FE_PDN4538_n),
-	.X(FE_PDN4578_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4577_u_soc_u_dccm_rdata2_26 (
-	.A(FE_PDN4736_u_soc_u_dccm_rdata2_26),
-	.X(FE_PDN4577_u_soc_u_dccm_rdata2_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4576_FE_OFN19607_FE_OFN19282_FE_OFN19089_n (
-	.A(FE_PDN4495_FE_OFN19607_FE_OFN19282_FE_OFN19089_n),
-	.X(FE_PDN4576_FE_OFN19607_FE_OFN19282_FE_OFN19089_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4575_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7 (
-	.A(FE_PDN4494_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7),
-	.X(FE_PDN4575_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4574_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n (
-	.A(FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n),
-	.X(FE_PDN4574_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4148_n (
-	.A(FE_PDN4492_n),
-	.X(FE_PDN19852_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_PDC4147_FE_OFN19506_n (
-	.A(FE_PDN4539_FE_OFN19506_n),
-	.X(FE_PDN19851_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4573_n_13436 (
-	.A(n_13436),
-	.X(FE_COEN4573_n_13436), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4572_n_10564 (
-	.A(n_10564),
-	.X(FE_COEN4572_n_10564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_COEC4571_FE_OFN601_u_soc_u_iccm_rdata1_16 (
-	.A(FE_OFN601_u_soc_u_iccm_rdata1_16),
-	.X(FE_COEN4571_FE_OFN601_u_soc_u_iccm_rdata1_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4570_u_soc_u_tcam_data_addr_7 (
-	.A(u_soc_u_tcam_data_addr[7]),
-	.X(FE_COEN4570_u_soc_u_tcam_data_addr_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4569_n_10669 (
-	.A(n_10669),
-	.X(FE_COEN4569_n_10669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4568_n_10670 (
-	.A(n_10670),
-	.X(FE_COEN4568_n_10670), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4567_u_soc_u_tcam_data_wdata_30 (
-	.A(u_soc_u_tcam_data_wdata[30]),
-	.X(FE_COEN4567_u_soc_u_tcam_data_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_COEC4566_FE_OFN18445_n_15897 (
-	.A(FE_OFN18445_n_15897),
-	.X(FE_COEN4566_FE_OFN18445_n_15897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4565_n_11122 (
-	.A(n_11122),
-	.X(FE_COEN4565_n_11122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4564_n_10663 (
-	.A(n_10663),
-	.X(FE_COEN4564_n_10663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4563_n_10664 (
-	.A(n_10664),
-	.X(FE_COEN4563_n_10664), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4562_u_soc_u_tcam_data_addr_23 (
-	.A(u_soc_u_tcam_data_addr[23]),
-	.X(FE_COEN4562_u_soc_u_tcam_data_addr_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4561_n_10666 (
-	.A(n_10666),
-	.X(FE_COEN4561_n_10666), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4560_u_soc_u_tcam_data_addr_27 (
-	.A(u_soc_u_tcam_data_addr[27]),
-	.X(FE_COEN4560_u_soc_u_tcam_data_addr_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4559_FE_OFN1633_n_10864 (
-	.A(FE_OFN1633_n_10864),
-	.X(FE_PSN4559_FE_OFN1633_n_10864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4558_FE_OFN1633_n_10864 (
-	.A(FE_PSN4559_FE_OFN1633_n_10864),
-	.X(FE_PSN4558_FE_OFN1633_n_10864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n (
-	.A(FE_PDN4519_FE_OFN19592_FE_OFN19511_n),
-	.X(FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4556_n_17396 (
-	.A(n_17396),
-	.X(FE_PSN4556_n_17396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4555_u_soc_data_addr_4 (
-	.A(u_soc_data_addr[4]),
-	.X(FE_PSN4555_u_soc_data_addr_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4554_u_soc_data_addr_8 (
-	.A(u_soc_data_addr[8]),
-	.X(FE_PSN4554_u_soc_data_addr_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4553_u_soc_data_addr_9 (
-	.A(u_soc_data_addr[9]),
-	.X(FE_PSN4553_u_soc_data_addr_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_102 (
-	.DIODE(FE_PDN4577_u_soc_u_dccm_rdata2_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_101 (
-	.DIODE(FE_PDN4606_u_soc_u_iccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_100 (
-	.DIODE(u_soc_u_dccm_rdata3[20]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_99 (
-	.DIODE(FE_PDN4588_u_soc_u_iccm_rdata4_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4146_n (
-	.A(FE_PDN19849_n),
-	.X(FE_PDN19850_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4552_u_soc_u_iccm_rdata2_8 (
-	.A(FE_PDN4591_u_soc_u_iccm_rdata2_8),
-	.X(FE_PDN4552_u_soc_u_iccm_rdata2_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4551_n (
-	.A(FE_PDN4511_n),
-	.X(FE_PDN4551_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4550_u_soc_u_dccm_rdata2_2 (
-	.A(FE_PDN4601_u_soc_u_dccm_rdata2_2),
-	.X(FE_PDN4550_u_soc_u_dccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4549_u_soc_u_dccm_rdata2_2 (
-	.A(FE_PDN4550_u_soc_u_dccm_rdata2_2),
-	.X(FE_PDN4549_u_soc_u_dccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4145_n (
-	.A(FE_PDN4515_n),
-	.X(FE_PDN19849_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4548_u_soc_u_dccm_rdata2_26 (
-	.A(FE_PDN4577_u_soc_u_dccm_rdata2_26),
-	.X(FE_PDN4548_u_soc_u_dccm_rdata2_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4547_u_soc_u_iccm_rdata2_8 (
-	.A(FE_PDN4552_u_soc_u_iccm_rdata2_8),
-	.X(FE_PDN4547_u_soc_u_iccm_rdata2_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4546_u_soc_u_iccm_rdata3_31 (
-	.A(u_soc_u_iccm_rdata3[31]),
-	.X(FE_PDN4546_u_soc_u_iccm_rdata3_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PDC4144_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n (
-	.A(FE_PDN4205_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n),
-	.Y(FE_PDN19848_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_16 FE_PDC4143_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n (
-	.A(FE_PDN19848_n),
-	.Y(FE_PDN19847_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4545_u_soc_u_iccm_rdata2_29 (
-	.A(u_soc_u_iccm_rdata2[29]),
-	.X(FE_PDN4545_u_soc_u_iccm_rdata2_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4544_u_soc_u_iccm_rdata4_6 (
-	.A(FE_PDN4596_u_soc_u_iccm_rdata4_6),
-	.X(FE_PDN4544_u_soc_u_iccm_rdata4_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4543_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7 (
-	.A(FE_PDN4499_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7),
-	.X(FE_PDN4543_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4542_FE_OFN19599_FE_OFN19212_FE_OFN19103_n (
-	.A(FE_PDN4496_FE_OFN19599_FE_OFN19212_FE_OFN19103_n),
-	.X(FE_PDN4542_FE_OFN19599_FE_OFN19212_FE_OFN19103_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4541_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
-	.A(FE_PDN4525_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
-	.X(FE_PDN4541_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4540_FE_OFN19516_n (
-	.A(FE_PDN19779_FE_OFN19516_n),
-	.X(FE_PDN4540_FE_OFN19516_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4539_FE_OFN19506_n (
-	.A(FE_PDN4491_FE_OFN19506_n),
-	.X(FE_PDN4539_FE_OFN19506_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4538_n (
-	.A(FE_PDN4493_n),
-	.X(FE_PDN4538_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4537_u_soc_u_dccm_rdata2_2 (
-	.A(FE_PDN4255_u_soc_u_dccm_rdata2_2),
-	.X(FE_PDN4537_u_soc_u_dccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4142_logic_0_56_net (
-	.A(FE_PDN19780_logic_0_56_net),
-	.X(FE_PDN19846_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4141_u_soc_u_dccm_rdata2_17 (
-	.A(u_soc_u_dccm_rdata2[17]),
-	.X(FE_PDN19845_u_soc_u_dccm_rdata2_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4536_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net (
-	.A(FE_PDN4211_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net),
-	.X(FE_PDN4536_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4535_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
-	.A(FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
-	.X(FE_PDN4535_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4534_n (
-	.A(FE_PDN19814_n),
-	.X(FE_PDN4534_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4533_u_soc_u_iccm_rdata3_31 (
-	.A(FE_PDN4546_u_soc_u_iccm_rdata3_31),
-	.X(FE_PDN4533_u_soc_u_iccm_rdata3_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_PDC4140_n (
-	.A(FE_PDN19804_n),
-	.Y(FE_PDN19844_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_16 FE_PDC4139_n (
-	.A(FE_PDN19844_n),
-	.Y(FE_PDN19843_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4532_u_soc_u_iccm_rdata3_9 (
-	.A(FE_PDN4606_u_soc_u_iccm_rdata3_9),
-	.X(FE_PDN4532_u_soc_u_iccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4531_u_soc_u_dccm_rdata3_29 (
-	.A(u_soc_u_dccm_rdata3[29]),
-	.X(FE_PDN4531_u_soc_u_dccm_rdata3_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4530_u_soc_u_dccm_rdata2_2 (
-	.A(FE_PDN4549_u_soc_u_dccm_rdata2_2),
-	.X(FE_PDN4530_u_soc_u_dccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4529_u_soc_u_dccm_rdata2_11 (
-	.A(FE_PDN4603_u_soc_u_dccm_rdata2_11),
-	.X(FE_PDN4529_u_soc_u_dccm_rdata2_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4528_u_soc_u_iccm_rdata4_20 (
-	.A(FE_PDN4588_u_soc_u_iccm_rdata4_20),
-	.X(FE_PDN4528_u_soc_u_iccm_rdata4_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PDC4138_logic_0_61_net (
-	.A(FE_PDN4219_logic_0_61_net),
-	.Y(FE_PDN19842_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_16 FE_PDC4137_logic_0_61_net (
-	.A(FE_PDN19842_n),
-	.Y(FE_PDN19841_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4527_u_soc_u_iccm_rdata2_29 (
-	.A(FE_PDN4545_u_soc_u_iccm_rdata2_29),
-	.X(FE_PDN4527_u_soc_u_iccm_rdata2_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4526_u_soc_u_iccm_rdata3_8 (
-	.A(u_soc_u_iccm_rdata3[8]),
-	.X(FE_PDN4526_u_soc_u_iccm_rdata3_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4525_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
-	.A(FE_PDN4248_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
-	.X(FE_PDN4525_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4524_u_soc_u_iccm_rdata3_3 (
-	.A(u_soc_u_iccm_rdata3[3]),
-	.X(FE_PDN4524_u_soc_u_iccm_rdata3_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4523_u_soc_u_dccm_rdata3_20 (
-	.A(u_soc_u_dccm_rdata3[20]),
-	.X(FE_PDN4523_u_soc_u_dccm_rdata3_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4522_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
-	.A(FE_PDN4013_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
-	.X(FE_PDN4522_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4136_FE_OFN3867_FE_OFN18746_FE_OFN17984_n (
-	.A(FE_PDN19796_FE_OFN3867_FE_OFN18746_FE_OFN17984_n),
-	.X(FE_PDN19840_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4521_FE_OFN19600_FE_OFN19523_n (
-	.A(FE_PDN4226_FE_OFN19600_FE_OFN19523_n),
-	.X(FE_PDN4521_FE_OFN19600_FE_OFN19523_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4520_FE_OFN19605_FE_OFN19509_n (
-	.A(FE_PDN4213_FE_OFN19605_FE_OFN19509_n),
-	.X(FE_PDN4520_FE_OFN19605_FE_OFN19509_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4519_FE_OFN19592_FE_OFN19511_n (
-	.A(FE_PDN4187_FE_OFN19592_FE_OFN19511_n),
-	.X(FE_PDN4519_FE_OFN19592_FE_OFN19511_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4518_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 (
-	.A(FE_PDN4204_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0),
-	.X(FE_PDN4518_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4517_u_soc_u_dccm_rdata2_23 (
-	.A(u_soc_u_dccm_rdata2[23]),
-	.X(FE_PDN4517_u_soc_u_dccm_rdata2_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4516_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n (
-	.A(FE_PDN3958_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n),
-	.X(FE_PDN4516_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4515_n (
-	.A(FE_PDN19813_n),
-	.X(FE_PDN4515_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4514_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n (
-	.A(FE_PDN4229_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n),
-	.X(FE_PDN4514_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4513_FE_OFN19515_n (
-	.A(FE_PDN4185_FE_OFN19515_n),
-	.X(FE_PDN4513_FE_OFN19515_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4512_n (
-	.A(FE_PDN19816_n),
-	.X(FE_PDN4512_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4511_n (
-	.A(FE_PDN4249_n),
-	.X(FE_PDN4511_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC4510_u_soc_u_iccm_rdata2_1 (
-	.A(u_soc_u_iccm_rdata2[1]),
-	.X(FE_PDN4510_u_soc_u_iccm_rdata2_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4509_FE_OFN3865_n (
-	.A(FE_PDN4191_FE_OFN3865_n),
-	.X(FE_PDN4509_FE_OFN3865_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4508_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net (
-	.A(FE_PDN4206_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net),
-	.X(FE_PDN4508_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4507_u_soc_u_iccm_rdata4_6 (
-	.A(FE_PDN4544_u_soc_u_iccm_rdata4_6),
-	.X(FE_PDN4507_u_soc_u_iccm_rdata4_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4506_u_soc_u_iccm_rdata2_8 (
-	.A(FE_PDN4547_u_soc_u_iccm_rdata2_8),
-	.X(FE_PDN4506_u_soc_u_iccm_rdata2_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4505_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
-	.A(FE_PDN4222_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
-	.X(FE_PDN4505_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PDC4135_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n (
-	.A(FE_PDN4228_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n),
-	.Y(FE_PDN19839_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_PDC4134_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n (
-	.A(FE_PDN19839_n),
-	.Y(FE_PDN19838_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4504_FE_OFN19608_FE_OFN18789_FE_OFN18004_n (
-	.A(FE_PDN4194_FE_OFN19608_FE_OFN18789_FE_OFN18004_n),
-	.X(FE_PDN4504_FE_OFN19608_FE_OFN18789_FE_OFN18004_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4503_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7 (
-	.A(FE_PDN4193_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7),
-	.X(FE_PDN4503_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4502_FE_OFN19589_FE_OFN19192_FE_OFN19179_n (
-	.A(FE_PDN4209_FE_OFN19589_FE_OFN19192_FE_OFN19179_n),
-	.X(FE_PDN4502_FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4501_FE_OFN19280_FE_OFN19064_n (
-	.A(FE_PDN4190_FE_OFN19280_FE_OFN19064_n),
-	.X(FE_PDN4501_FE_OFN19280_FE_OFN19064_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4500_FE_OFN19610_FE_OFN19514_n (
-	.A(FE_PDN4198_FE_OFN19610_FE_OFN19514_n),
-	.X(FE_PDN4500_FE_OFN19610_FE_OFN19514_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4499_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7 (
-	.A(FE_PDN4251_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7),
-	.X(FE_PDN4499_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4498_FE_OFN19612_FE_OFN19522_n (
-	.A(FE_PDN4186_FE_OFN19612_FE_OFN19522_n),
-	.X(FE_PDN4498_FE_OFN19612_FE_OFN19522_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4497_FE_OFN19507_n (
-	.A(FE_PDN4188_FE_OFN19507_n),
-	.X(FE_PDN4497_FE_OFN19507_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4496_FE_OFN19599_FE_OFN19212_FE_OFN19103_n (
-	.A(FE_PDN4195_FE_OFN19599_FE_OFN19212_FE_OFN19103_n),
-	.X(FE_PDN4496_FE_OFN19599_FE_OFN19212_FE_OFN19103_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4495_FE_OFN19607_FE_OFN19282_FE_OFN19089_n (
-	.A(FE_PDN4192_FE_OFN19607_FE_OFN19282_FE_OFN19089_n),
-	.X(FE_PDN4495_FE_OFN19607_FE_OFN19282_FE_OFN19089_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4494_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7 (
-	.A(FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7),
-	.X(FE_PDN4494_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4493_n (
-	.A(FE_PDN19800_n),
-	.X(FE_PDN4493_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_PDC4492_n (
-	.A(FE_PDN19808_n),
-	.X(FE_PDN4492_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4491_FE_OFN19506_n (
-	.A(FE_PDN4189_FE_OFN19506_n),
-	.X(FE_PDN4491_FE_OFN19506_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC4490_CTS_23 (
-	.A(FE_USKN4490_CTS_23),
-	.X(FE_USKN4657_CTS_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_USKC4489_CTS_18 (
-	.A(CTS_18),
-	.X(FE_USKN4847_CTS_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_USKC4488_CTS_75 (
-	.A(FE_USKN4488_CTS_75),
-	.X(FE_USKN4844_CTS_75), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4487_n_15872 (
-	.A(FE_PSN4362_n_15872),
-	.X(FE_PSN4487_n_15872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4486_n_15974 (
-	.A(n_15974),
-	.X(FE_PSN4486_n_15974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4485_FE_OFN18425_n_15868 (
-	.A(FE_OFN18425_n_15868),
-	.X(FE_PSN4485_FE_OFN18425_n_15868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4484_n_11123 (
-	.A(FE_PSN4833_n_11123),
-	.X(FE_PSN4484_n_11123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4483_n_11480 (
-	.A(n_11480),
-	.X(FE_PSN4483_n_11480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4482_n_13477 (
-	.A(n_13477),
-	.X(FE_PSN4482_n_13477), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSC4481_n_11751 (
-	.A(FE_PSN4807_n_11751),
-	.X(FE_PSN4481_n_11751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4480_FE_OFN18584_n_15872 (
-	.A(FE_OFN18584_n_15872),
-	.X(FE_PSN4480_FE_OFN18584_n_15872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4479_n_11476 (
-	.A(n_11476),
-	.X(FE_PSN4479_n_11476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4478_FE_OFN18397_n_15865 (
-	.A(FE_OFN18397_n_15865),
-	.X(FE_PSN4478_FE_OFN18397_n_15865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC4477_FE_COEN4317_n_11475 (
-	.A(FE_COEN4317_n_11475),
-	.X(FE_PSN4477_FE_COEN4317_n_11475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4476_n_12853 (
-	.A(n_12853),
-	.X(FE_PSN4476_n_12853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4475_n_13393 (
-	.A(n_13393),
-	.X(FE_PSN4475_n_13393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4474_n_12754 (
-	.A(n_12754),
-	.X(FE_PSN4474_n_12754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4473_n_15995 (
-	.A(n_15995),
-	.X(FE_PSN4473_n_15995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4472_n_12000 (
-	.A(n_12000),
-	.X(FE_PSN4472_n_12000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4471_n_12261 (
-	.A(n_12261),
-	.X(FE_PSN4471_n_12261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4470_n_13497 (
-	.A(n_13497),
-	.X(FE_PSN4470_n_13497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4469_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
-	.X(FE_PSN4469_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4468_n_13811 (
-	.A(n_13811),
-	.X(FE_PSN4468_n_13811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4467_n_11020 (
-	.A(n_11020),
-	.X(FE_PSN4467_n_11020), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4466_n_10160 (
-	.A(n_10160),
-	.X(FE_PSN4466_n_10160), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4465_FE_OFN1056_n_11475 (
-	.A(FE_OFN1056_n_11475),
-	.X(FE_PSN4465_FE_OFN1056_n_11475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4464_n_13506 (
-	.A(n_13506),
-	.X(FE_PSN4464_n_13506), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4463_n_11553 (
-	.A(FE_PSN4368_n_11553),
-	.X(FE_PSN4463_n_11553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4462_FE_OFN18407_n_15871 (
-	.A(FE_OFN18407_n_15871),
-	.X(FE_PSN4462_FE_OFN18407_n_15871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4461_FE_OFN1587_n_13428 (
-	.A(FE_OFN1587_n_13428),
-	.X(FE_PSN4461_FE_OFN1587_n_13428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4459_u_soc_u_top_u_core_instr_rdata_id_27 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[27]),
-	.X(FE_PSN4459_u_soc_u_top_u_core_instr_rdata_id_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4458_n_15872 (
-	.A(n_15872),
-	.X(FE_PSN4458_n_15872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4457_FE_OFN1080_n_13070 (
-	.A(FE_OFN1080_n_13070),
-	.X(FE_PSN4457_FE_OFN1080_n_13070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4456_FE_OFN18547_n_11593 (
-	.A(FE_OFN18547_n_11593),
-	.X(FE_PSN4456_FE_OFN18547_n_11593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4455_n_11223 (
-	.A(n_11223),
-	.X(FE_PSN4455_n_11223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4454_n_11479 (
-	.A(FE_PSN4667_n_11479),
-	.X(FE_PSN4454_n_11479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4453_n_16003 (
-	.A(n_16003),
-	.X(FE_PSN4453_n_16003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4452_n_15945 (
-	.A(n_15945),
-	.X(FE_PSN4452_n_15945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4451_n_11751 (
-	.A(n_11751),
-	.X(FE_PSN4451_n_11751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4450_n_11314 (
-	.A(n_11314),
-	.X(FE_PSN4450_n_11314), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4449_n_15860 (
-	.A(n_15860),
-	.X(FE_PSN4449_n_15860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4448_n_13386 (
-	.A(FE_PSN4264_n_13386),
-	.X(FE_PSN4448_n_13386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4447_FE_OFN18562_n_15867 (
-	.A(FE_OFN18562_n_15867),
-	.X(FE_PSN4447_FE_OFN18562_n_15867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4444_n_11480 (
-	.A(n_11480),
-	.X(FE_PSN4444_n_11480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4443_n_15881 (
-	.A(n_15881),
-	.X(FE_PSN4443_n_15881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4440_u_soc_u_top_u_core_instr_rdata_id_20 (
-	.A(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20),
-	.X(FE_PSN4440_u_soc_u_top_u_core_instr_rdata_id_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4439_n_11094 (
-	.A(n_11094),
-	.X(FE_PSN4439_n_11094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4438_FE_COEN4311_n_11474 (
-	.A(FE_COEN4311_n_11474),
-	.X(FE_PSN4438_FE_COEN4311_n_11474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4436_FE_OFN18492_n_13420 (
-	.A(FE_OFN18492_n_13420),
-	.X(FE_PSN4436_FE_OFN18492_n_13420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PSC4433_n_11355 (
-	.A(n_11355),
-	.X(FE_PSN4433_n_11355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4432_n_11754 (
-	.A(n_11754),
-	.X(FE_PSN4432_n_11754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4431_FE_OFN18505_n_16001 (
-	.A(FE_OFN18505_n_16001),
-	.X(FE_PSN4431_FE_OFN18505_n_16001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4430_n_12881 (
-	.A(n_12881),
-	.X(FE_PSN4430_n_12881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4429_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13 (
-	.A(FE_PSN4639_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13),
-	.X(FE_PSN4429_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4428_n_11176 (
-	.A(n_11176),
-	.X(FE_PSN4428_n_11176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4427_FE_OFN18385_n_11514 (
-	.A(FE_OFN18385_n_11514),
-	.X(FE_PSN4427_FE_OFN18385_n_11514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4426_n_11745 (
-	.A(n_11745),
-	.X(FE_PSN4426_n_11745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4425_n_11761 (
-	.A(FE_PSN4340_n_11761),
-	.X(FE_PSN4425_n_11761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4424_FE_OFN18315_n_13425 (
-	.A(FE_OFN18315_n_13425),
-	.X(FE_PSN4424_FE_OFN18315_n_13425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC4423_n_15859 (
-	.A(n_15859),
-	.X(FE_PSN4423_n_15859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4422_n_11763 (
-	.A(n_11763),
-	.X(FE_PSN4422_n_11763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4421_n_15871 (
-	.A(n_15871),
-	.X(FE_PSN4421_n_15871), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4420_n_135 (
-	.A(n_135),
-	.X(FE_PSN4420_n_135), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4419_n_11699 (
-	.A(n_11699),
-	.X(FE_PSN4419_n_11699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4418_n_13392 (
-	.A(n_13392),
-	.X(FE_PSN4418_n_13392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4417_FE_OFN18238_n_13069 (
-	.A(FE_OFN18238_n_13069),
-	.X(FE_PSN4417_FE_OFN18238_n_13069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4416_n_13497 (
-	.A(n_13497),
-	.X(FE_PSN4416_n_13497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSBC4133_n_11428 (
-	.A(n_11428),
-	.X(FE_PSBN19837_n_11428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4415_FE_OFN18506_n_16001 (
-	.A(FE_OFN18506_n_16001),
-	.X(FE_PSN4415_FE_OFN18506_n_16001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_PSBC4132_n_15865 (
-	.A(n_15865),
-	.Y(FE_PSBN19836_n_15865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_PSBC4131_n_15865 (
-	.A(FE_PSBN19836_n_15865),
-	.Y(FE_PSBN19835_n_15865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4414_FE_COEN4289_FE_OFN18396_n_15865 (
-	.A(FE_COEN4289_FE_OFN18396_n_15865),
-	.X(FE_PSN4414_FE_COEN4289_FE_OFN18396_n_15865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_PSBC4130_n_15866 (
-	.A(n_15866),
-	.Y(FE_PSBN19834_n_15866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_PSBC4129_n_15866 (
-	.A(FE_PSBN19834_n_15866),
-	.Y(FE_PSBN19833_n_15866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_PSBC4128_n_15866 (
-	.A(FE_PSBN19834_n_15866),
-	.Y(FE_PSBN19832_n_15866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_PSBC4127_n_15866 (
-	.A(FE_PSBN19832_n_15866),
-	.Y(FE_PSBN19831_n_15866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_PSBC4126_n_15866 (
-	.A(FE_PSBN19831_n_15866),
-	.Y(FE_PSBN19830_n_15866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4413_FE_OFN18584_n_15872 (
-	.A(FE_OFN18584_n_15872),
-	.X(FE_PSN4413_FE_OFN18584_n_15872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4412_FE_OFN18472_n_11421 (
-	.A(FE_OFN18472_n_11421),
-	.X(FE_PSN4412_FE_OFN18472_n_11421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PSBC4125_n_13387 (
-	.A(n_13387),
-	.Y(FE_PSBN19829_n_13387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_PSBC4124_n_13387 (
-	.A(FE_PSBN19829_n_13387),
-	.Y(FE_PSBN19828_n_13387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4411_FE_COEN4289_FE_OFN18396_n_15865 (
-	.A(FE_COEN4289_FE_OFN18396_n_15865),
-	.X(FE_PSN4411_FE_COEN4289_FE_OFN18396_n_15865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4410_n_11476 (
-	.A(n_11476),
-	.X(FE_PSN4410_n_11476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4409_n_12557 (
-	.A(n_12557),
-	.X(FE_PSN4409_n_12557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4408_n_15867 (
-	.A(n_15867),
-	.X(FE_PSN4408_n_15867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4407_n_12534 (
-	.A(n_12534),
-	.X(FE_PSN4407_n_12534), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4406_n_11761 (
-	.A(n_11761),
-	.X(FE_PSN4406_n_11761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PSBC4123_n_15943 (
-	.A(n_15943),
-	.Y(FE_PSBN19827_n_15943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_PSBC4122_n_15943 (
-	.A(FE_PSBN19827_n_15943),
-	.Y(FE_PSBN19826_n_15943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4405_n_11471 (
-	.A(n_11471),
-	.X(FE_PSN4405_n_11471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4404_FE_COEN4289_FE_OFN18396_n_15865 (
-	.A(FE_COEN4289_FE_OFN18396_n_15865),
-	.X(FE_PSN4404_FE_COEN4289_FE_OFN18396_n_15865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4403_n_15881 (
-	.A(n_15881),
-	.X(FE_PSN4403_n_15881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4402_n_15943 (
-	.A(FE_PSBN19826_n_15943),
-	.X(FE_PSN4402_n_15943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4401_u_soc_u_top_u_core_alu_operand_b_ex_0 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[0]),
-	.X(FE_PSN4401_u_soc_u_top_u_core_alu_operand_b_ex_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSC4400_u_soc_u_top_u_core_alu_operator_ex_2 (
-	.A(u_soc_u_top_u_core_alu_operator_ex[2]),
-	.X(FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4399_n_13507 (
-	.A(n_13507),
-	.X(FE_PSN4399_n_13507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4398_FE_OFN1114_n_15868 (
-	.A(FE_PSN4143_FE_OFN1114_n_15868),
-	.X(FE_PSN4398_FE_OFN1114_n_15868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4397_FE_OFN18491_n_11428 (
-	.A(FE_PSBN19837_n_11428),
-	.X(FE_PSN4397_FE_OFN18491_n_11428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4396_FE_OFN1585_n_13422 (
-	.A(FE_PSBN19892_n_13422),
-	.X(FE_PSN4396_FE_OFN1585_n_13422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4395_n_15943 (
-	.A(FE_PSBN19826_n_15943),
-	.X(FE_PSN4395_n_15943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4394_FE_OFN18506_n_16001 (
-	.A(FE_OFN18506_n_16001),
-	.X(FE_PSN4394_FE_OFN18506_n_16001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4393_u_soc_u_top_u_core_alu_operand_b_ex_5 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[5]),
-	.X(FE_PSN4393_u_soc_u_top_u_core_alu_operand_b_ex_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4392_FE_OFN1113_n_15866 (
-	.A(FE_PSBN19832_n_15866),
-	.X(FE_PSN4392_FE_OFN1113_n_15866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC4390_n_15995 (
-	.A(n_15995),
-	.X(FE_PSN4390_n_15995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4389_FE_OFN18507_n_13391 (
-	.A(FE_OFN18507_n_13391),
-	.X(FE_PSN4389_FE_OFN18507_n_13391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSC4388_n_11557 (
-	.A(n_11557),
-	.X(FE_PSN4388_n_11557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4387_FE_OFN1585_n_13422 (
-	.A(FE_PSBN19892_n_13422),
-	.X(FE_PSN4387_FE_OFN1585_n_13422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4385_n_13500 (
-	.A(n_13500),
-	.X(FE_PSN4385_n_13500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4384_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27),
-	.X(FE_PSN4384_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4383_n_13496 (
-	.A(n_13496),
-	.X(FE_PSN4383_n_13496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4382_n_11039 (
-	.A(n_11039),
-	.X(FE_PSN4382_n_11039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4381_FE_OFN1399_n_142 (
-	.A(FE_OFN1399_n_142),
-	.X(FE_PSN4381_FE_OFN1399_n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4380_n_13390 (
-	.A(n_13390),
-	.X(FE_PSN4380_n_13390), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4379_n_13507 (
-	.A(n_13507),
-	.X(FE_PSN4379_n_13507), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4378_FE_OFN1113_n_15866 (
-	.A(FE_PSBN19832_n_15866),
-	.X(FE_PSN4378_FE_OFN1113_n_15866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4377_n_16001 (
-	.A(n_16001),
-	.X(FE_PSN4377_n_16001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4376_n_13845 (
-	.A(n_13845),
-	.X(FE_PSN4376_n_13845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4375_n_11428 (
-	.A(n_11428),
-	.X(FE_PSN4375_n_11428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4374_n_15860 (
-	.A(n_15860),
-	.X(FE_PSN4374_n_15860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4373_u_soc_u_top_u_core_alu_operand_b_ex_3 (
-	.A(FE_PSN4629_u_soc_u_top_u_core_alu_operand_b_ex_3),
-	.X(FE_PSN4373_u_soc_u_top_u_core_alu_operand_b_ex_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PSC4372_u_soc_u_top_u_core_instr_rdata_id_20 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[20]),
-	.X(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_PSBC4121_n_10150 (
-	.A(n_10150),
-	.Y(FE_PSBN19825_n_10150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_PSBC4120_n_10150 (
-	.A(FE_PSBN19825_n_10150),
-	.Y(FE_PSBN19824_n_10150), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4371_n_13416 (
-	.A(n_13416),
-	.X(FE_PSN4371_n_13416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSC4370_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192 (
-	.A(FE_PSN4154_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192),
-	.X(FE_PSN4370_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4369_u_soc_lsu_to_xbar_a_address_26 (
-	.A(\u_soc_lsu_to_xbar[a_address] [26]),
-	.X(FE_PSN4369_u_soc_lsu_to_xbar_a_address_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC4368_n_11553 (
-	.A(n_11553),
-	.X(FE_PSN4368_n_11553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4367_n_11746 (
-	.A(n_11746),
-	.X(FE_PSN4367_n_11746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4366_u_soc_u_top_u_core_instr_rdata_id_22 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[22]),
-	.X(FE_PSN4366_u_soc_u_top_u_core_instr_rdata_id_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4365_n_13502 (
-	.A(n_13502),
-	.X(FE_PSN4365_n_13502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4364_n_11450 (
-	.A(n_11450),
-	.X(FE_PSN4364_n_11450), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC4363_n_13429 (
-	.A(n_13429),
-	.X(FE_PSN4363_n_13429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4362_n_15872 (
-	.A(n_15872),
-	.X(FE_PSN4362_n_15872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4361_n_11476 (
-	.A(n_11476),
-	.X(FE_PSN4361_n_11476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4359_n_15946 (
-	.A(FE_PSN4640_n_15946),
-	.X(FE_PSN4359_n_15946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4358_n_12596 (
-	.A(n_12596),
-	.X(FE_PSN4358_n_12596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4357_n_11425 (
-	.A(n_11425),
-	.X(FE_PSN4357_n_11425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4356_n_13393 (
-	.A(FE_PSN4475_n_13393),
-	.X(FE_PSN4356_n_13393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PSC4355_n_11478 (
-	.A(n_11478),
-	.X(FE_PSN4355_n_11478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4354_FE_OFN1585_n_13422 (
-	.A(FE_PSBN19892_n_13422),
-	.X(FE_PSN4354_FE_OFN1585_n_13422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4353_n_12877 (
-	.A(n_12877),
-	.X(FE_PSN4353_n_12877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4352_n_13500 (
-	.A(n_13500),
-	.X(FE_PSN4352_n_13500), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4351_n_13502 (
-	.A(n_13502),
-	.X(FE_PSN4351_n_13502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4350_FE_OFN1586_n_13424 (
-	.A(FE_PSBN19866_n_13424),
-	.X(FE_PSN4350_FE_OFN1586_n_13424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4349_n_12550 (
-	.A(n_12550),
-	.X(FE_PSN4349_n_12550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4348_n_16000 (
-	.A(FE_PSBN19894_n_16000),
-	.X(FE_PSN4348_n_16000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4347_u_soc_u_top_u_core_alu_operator_ex_0 (
-	.A(u_soc_u_top_u_core_alu_operator_ex[0]),
-	.X(FE_PSN4347_u_soc_u_top_u_core_alu_operator_ex_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4346_n_15946 (
-	.A(n_15946),
-	.X(FE_PSN4346_n_15946), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4345_n_11294 (
-	.A(FE_PSN4104_n_11294),
-	.X(FE_PSN4345_n_11294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4344_n_13387 (
-	.A(FE_PSBN19828_n_13387),
-	.X(FE_PSN4344_n_13387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4343_u_soc_u_top_u_core_alu_operand_b_ex_3 (
-	.A(FE_PSN4629_u_soc_u_top_u_core_alu_operand_b_ex_3),
-	.X(FE_PSN4343_u_soc_u_top_u_core_alu_operand_b_ex_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4342_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116),
-	.X(FE_PSN4342_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4341_FE_OFN18495_n_13389 (
-	.A(FE_OFN18495_n_13389),
-	.X(FE_PSN4341_FE_OFN18495_n_13389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PSC4340_n_11761 (
-	.A(n_11761),
-	.X(FE_PSN4340_n_11761), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4339_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139),
-	.X(FE_PSN4339_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4338_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287 (
-	.A(FE_PSN4768_FE_PSBN19863_FE_RN_55_0),
-	.X(FE_PSN4338_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4337_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288),
-	.X(FE_PSN4337_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4335_n_13401 (
-	.A(n_13401),
-	.X(FE_COEN4335_n_13401), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4334_n_10344 (
-	.A(n_10344),
-	.X(FE_COEN4334_n_10344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_COEC4333_n_12741 (
-	.A(n_12741),
-	.X(FE_COEN4333_n_12741), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_COEC4332_n_12885 (
-	.A(n_12885),
-	.X(FE_COEN4332_n_12885), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4331_n_496 (
-	.A(FE_COEN4874_n_496),
-	.X(FE_COEN4331_n_496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4330_n_13432 (
-	.A(n_13432),
-	.X(FE_COEN4330_n_13432), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4329_n_13402 (
-	.A(n_13402),
-	.X(FE_COEN4329_n_13402), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4328_FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0 (
-	.A(FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0),
-	.X(FE_COEN4328_FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4327_u_soc_lsu_to_xbar_a_address_20 (
-	.A(\u_soc_lsu_to_xbar[a_address] [20]),
-	.X(FE_COEN4327_u_soc_lsu_to_xbar_a_address_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_COEC4325_n_12777 (
-	.A(n_12777),
-	.X(FE_COEN4325_n_12777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4324_u_soc_u_tcam_data_addr_25 (
-	.A(u_soc_u_tcam_data_addr[25]),
-	.X(FE_COEN4324_u_soc_u_tcam_data_addr_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4323_FE_OFN19381_u_soc_u_iccm_rdata4_17 (
-	.A(FE_OFN19381_u_soc_u_iccm_rdata4_17),
-	.X(FE_COEN4323_FE_OFN19381_u_soc_u_iccm_rdata4_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4322_n_12783 (
-	.A(n_12783),
-	.X(FE_COEN4322_n_12783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4321_FE_OFN601_u_soc_u_iccm_rdata1_16 (
-	.A(FE_COEN4571_FE_OFN601_u_soc_u_iccm_rdata1_16),
-	.X(FE_COEN4321_FE_OFN601_u_soc_u_iccm_rdata1_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4320_FE_OFN18983_u_soc_u_iccm_rdata4_1 (
-	.A(FE_OFN18983_u_soc_u_iccm_rdata4_1),
-	.X(FE_COEN4320_FE_OFN18983_u_soc_u_iccm_rdata4_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4319_FE_OFN19442_u_soc_u_iccm_rdata4_16 (
-	.A(FE_OFN19442_u_soc_u_iccm_rdata4_16),
-	.X(FE_COEN4319_FE_OFN19442_u_soc_u_iccm_rdata4_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4318_n_1877 (
-	.A(n_1877),
-	.X(FE_COEN4318_n_1877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4317_n_11475 (
-	.A(n_11475),
-	.X(FE_COEN4317_n_11475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4316_FE_OFN18547_n_11593 (
-	.A(FE_COEN4309_FE_OFN18547_n_11593),
-	.X(FE_COEN4316_FE_OFN18547_n_11593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4315_n_15877 (
-	.A(n_15877),
-	.X(FE_COEN4315_n_15877), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_COEC4314_FE_OFN18473_n_11421 (
-	.A(FE_OFN18473_n_11421),
-	.X(FE_COEN4314_FE_OFN18473_n_11421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4313_n_13496 (
-	.A(n_13496),
-	.X(FE_COEN4313_n_13496), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4312_n_11091 (
-	.A(n_11091),
-	.X(FE_COEN4312_n_11091), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4311_n_11474 (
-	.A(n_11474),
-	.X(FE_COEN4311_n_11474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4310_n_13431 (
-	.A(n_13431),
-	.X(FE_COEN4310_n_13431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4309_FE_OFN18547_n_11593 (
-	.A(FE_OFN18547_n_11593),
-	.X(FE_COEN4309_FE_OFN18547_n_11593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4307_FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0 (
-	.A(FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0),
-	.X(FE_COEN4307_FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4306_n_11550 (
-	.A(n_11550),
-	.X(FE_COEN4306_n_11550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4304_n_13397 (
-	.A(n_13397),
-	.X(FE_COEN4304_n_13397), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4303_n_13388 (
-	.A(FE_PSBN19871_n_13388),
-	.X(FE_COEN4303_n_13388), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4302_n_669 (
-	.A(n_669),
-	.X(FE_COEN4302_n_669), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4301_u_soc_u_top_u_core_alu_operand_b_ex_12 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[12]),
-	.X(FE_COEN4301_u_soc_u_top_u_core_alu_operand_b_ex_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4300_n_13499 (
-	.A(FE_PSN4828_n_13499),
-	.X(FE_COEN4300_n_13499), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4299_n_5838 (
-	.A(n_5838),
-	.X(FE_COEN4299_n_5838), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_COEC4298_n_11756 (
-	.A(n_11756),
-	.X(FE_COEN4298_n_11756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4297_FE_OFN1071_n_11559 (
-	.A(FE_OFN1071_n_11559),
-	.X(FE_COEN4297_FE_OFN1071_n_11559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4295_u_soc_u_top_u_core_alu_operand_b_ex_3 (
-	.A(FE_PSN4373_u_soc_u_top_u_core_alu_operand_b_ex_3),
-	.X(FE_COEN4295_u_soc_u_top_u_core_alu_operand_b_ex_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4294_n_11033 (
-	.A(n_11033),
-	.X(FE_COEN4294_n_11033), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4293_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_instr_type_q_0 (
-	.A(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
-	.X(FE_COEN4293_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_instr_type_q_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4292_u_soc_lsu_to_xbar_a_address_28 (
-	.A(\u_soc_lsu_to_xbar[a_address] [28]),
-	.X(FE_COEN4292_u_soc_lsu_to_xbar_a_address_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4290_FE_OFN18250_n_183 (
-	.A(FE_OFN18250_n_183),
-	.X(FE_COEN4290_FE_OFN18250_n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4289_FE_OFN18396_n_15865 (
-	.A(FE_OFN18396_n_15865),
-	.X(FE_COEN4289_FE_OFN18396_n_15865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_COEC4288_n_15881 (
-	.A(n_15881),
-	.X(FE_COEN4288_n_15881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_COEC4287_n_13433 (
-	.A(n_13433),
-	.X(FE_COEN4287_n_13433), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4285_n_13437 (
-	.A(n_13437),
-	.X(FE_COEN4285_n_13437), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4283_FE_OFN18238_n_13069 (
-	.A(FE_OFN18238_n_13069),
-	.X(FE_COEN4283_FE_OFN18238_n_13069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4282_n_13467 (
-	.A(n_13467),
-	.X(FE_COEN4282_n_13467), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4279_n_11090 (
-	.A(n_11090),
-	.X(FE_COEN4279_n_11090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4278_n_12263 (
-	.A(n_12263),
-	.X(FE_COEN4278_n_12263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_COEC4277_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14),
-	.X(FE_COEN4277_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4276_n_13501 (
-	.A(n_13501),
-	.X(FE_COEN4276_n_13501), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_COEC4275_n_13392 (
-	.A(n_13392),
-	.X(FE_COEN4275_n_13392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4274_n_11089 (
-	.A(n_11089),
-	.X(FE_COEN4274_n_11089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4273_u_soc_u_top_u_core_alu_operator_ex_4 (
-	.A(u_soc_u_top_u_core_alu_operator_ex[4]),
-	.X(FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4272_n_12978 (
-	.A(n_12978),
-	.X(FE_COEN4272_n_12978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_COEC4271_FE_OFN18292_n_15857 (
-	.A(FE_OFN18292_n_15857),
-	.X(FE_COEN4271_FE_OFN18292_n_15857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4270_n_13378 (
-	.A(n_13378),
-	.X(FE_COEN4270_n_13378), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_COEC4269_n_12024 (
-	.A(n_12024),
-	.X(FE_COEN4269_n_12024), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_COEC4268_n_12409 (
-	.A(n_12409),
-	.X(FE_COEN4268_n_12409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4267_u_soc_lsu_to_xbar_a_address_25 (
-	.A(\u_soc_lsu_to_xbar[a_address] [25]),
-	.X(FE_COEN4267_u_soc_lsu_to_xbar_a_address_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_COEC4266_n_5854 (
-	.A(n_5854),
-	.X(FE_COEN4266_n_5854), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_COEC4265_u_soc_lsu_to_xbar_a_address_21 (
-	.A(\u_soc_lsu_to_xbar[a_address] [21]),
-	.X(FE_COEN4265_u_soc_lsu_to_xbar_a_address_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_PSBC4118_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7 (
-	.A(FE_OFN19389_FE_OFN240_u_soc_data_wdata_7),
-	.Y(FE_PSBN19822_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_PSBC4117_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7 (
-	.A(FE_PSBN19822_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7),
-	.Y(FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSBC4116_FE_OFN237_u_soc_data_wdata_7 (
-	.A(FE_OFN237_u_soc_data_wdata_7),
-	.X(FE_PSBN19820_FE_OFN237_u_soc_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSBC4115_u_soc_data_wdata_7 (
-	.A(u_soc_data_wdata[7]),
-	.X(FE_PSBN19819_u_soc_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_98 (
-	.DIODE(FE_PDN4506_u_soc_u_iccm_rdata2_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_97 (
-	.DIODE(u_soc_u_dccm_rdata3[9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4264_n_13386 (
-	.A(n_13386),
-	.X(FE_PSN4264_n_13386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4263_n_11294 (
-	.A(FE_PSN4104_n_11294),
-	.X(FE_PSN4263_n_11294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4262_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193 (
-	.A(FE_PSN4622_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193),
-	.X(FE_PSN4262_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4261_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32),
-	.X(FE_PSN4261_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4260_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127),
-	.X(FE_PSN4260_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4259_n_11557 (
-	.A(n_11557),
-	.X(FE_PSN4259_n_11557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4258_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133),
-	.X(FE_PSN4258_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4257_u_soc_u_iccm_rdata4_19 (
-	.A(u_soc_u_iccm_rdata4[19]),
-	.X(FE_PDN4257_u_soc_u_iccm_rdata4_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4114_n (
-	.A(FE_PDN19812_n),
-	.X(FE_PDN19818_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PDC4113_n (
-	.A(FE_PDN19790_n),
-	.Y(FE_PDN19817_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_PDC4112_n (
-	.A(FE_PDN19817_n),
-	.Y(FE_PDN19816_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PDC4111_FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n (
-	.A(FE_PDN3980_FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n),
-	.Y(FE_PDN19815_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_PDC4110_FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n (
-	.A(FE_PDN19815_n),
-	.Y(FE_PDN19814_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4256_u_soc_u_dccm_rdata4_23 (
-	.A(u_soc_u_dccm_rdata4[23]),
-	.X(FE_PDN4256_u_soc_u_dccm_rdata4_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4255_u_soc_u_dccm_rdata2_2 (
-	.A(FE_PDN4530_u_soc_u_dccm_rdata2_2),
-	.X(FE_PDN4255_u_soc_u_dccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4109_n (
-	.A(FE_PDN4207_n),
-	.X(FE_PDN19813_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4254_u_soc_u_dccm_rdata3_9 (
-	.A(u_soc_u_dccm_rdata3[9]),
-	.X(FE_PDN4254_u_soc_u_dccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4253_u_soc_u_iccm_rdata2_29 (
-	.A(FE_PDN4527_u_soc_u_iccm_rdata2_29),
-	.X(FE_PDN4253_u_soc_u_iccm_rdata2_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC4252_u_soc_u_dccm_rdata3_3 (
-	.A(u_soc_u_dccm_rdata3[3]),
-	.X(FE_PDN4252_u_soc_u_dccm_rdata3_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4251_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7 (
-	.A(FE_PDN4208_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7),
-	.X(FE_PDN4251_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC4250_u_soc_u_dccm_rdata3_4 (
-	.A(u_soc_u_dccm_rdata3[4]),
-	.X(FE_PDN4250_u_soc_u_dccm_rdata3_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4249_n (
-	.A(FE_PDN19801_n),
-	.X(FE_PDN4249_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4248_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
-	.A(FE_PDN4236_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
-	.X(FE_PDN4248_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4108_logic_0_71_net (
-	.A(FE_PDN3877_logic_0_71_net),
-	.X(FE_PDN19812_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4107_u_soc_u_iccm_rdata2_25 (
-	.A(u_soc_u_iccm_rdata2[25]),
-	.X(FE_PDN19811_u_soc_u_iccm_rdata2_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4106_logic_0_66_net (
-	.A(FE_PDN3900_logic_0_66_net),
-	.X(FE_PDN19810_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4105_u_soc_u_dccm_rdata4_5 (
-	.A(u_soc_u_dccm_rdata4[5]),
-	.X(FE_PDN19809_u_soc_u_dccm_rdata4_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4104_FE_OFN19519_n (
-	.A(FE_PDN3880_FE_OFN19519_n),
-	.X(FE_PDN19808_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4103_u_soc_u_dccm_rdata4_16 (
-	.A(u_soc_u_dccm_rdata4[16]),
-	.X(FE_PDN19807_u_soc_u_dccm_rdata4_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4247_u_soc_u_iccm_rdata2_8 (
-	.A(FE_PDN4506_u_soc_u_iccm_rdata2_8),
-	.X(FE_PDN4247_u_soc_u_iccm_rdata2_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4246_u_soc_u_iccm_rdata3_22 (
-	.A(u_soc_u_iccm_rdata3[22]),
-	.X(FE_PDN4246_u_soc_u_iccm_rdata3_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4245_u_soc_u_dccm_rdata2_6 (
-	.A(u_soc_u_dccm_rdata2[6]),
-	.X(FE_PDN4245_u_soc_u_dccm_rdata2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4244_u_soc_u_dccm_rdata3_30 (
-	.A(u_soc_u_dccm_rdata3[30]),
-	.X(FE_PDN4244_u_soc_u_dccm_rdata3_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4243_u_soc_u_iccm_rdata3_29 (
-	.A(u_soc_u_iccm_rdata3[29]),
-	.X(FE_PDN4243_u_soc_u_iccm_rdata3_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4242_u_soc_u_iccm_rdata4_29 (
-	.A(u_soc_u_iccm_rdata4[29]),
-	.X(FE_PDN4242_u_soc_u_iccm_rdata4_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4241_u_soc_u_dccm_rdata3_3 (
-	.A(FE_PDN4252_u_soc_u_dccm_rdata3_3),
-	.X(FE_PDN4241_u_soc_u_dccm_rdata3_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4240_u_soc_u_iccm_rdata3_9 (
-	.A(FE_PDN4532_u_soc_u_iccm_rdata3_9),
-	.X(FE_PDN4240_u_soc_u_iccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4239_u_soc_u_dccm_rdata3_9 (
-	.A(FE_PDN4254_u_soc_u_dccm_rdata3_9),
-	.X(FE_PDN4239_u_soc_u_dccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC4238_u_soc_u_dccm_rdata2_25 (
-	.A(u_soc_u_dccm_rdata2[25]),
-	.X(FE_PDN4238_u_soc_u_dccm_rdata2_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4237_u_soc_u_dccm_rdata4_29 (
-	.A(u_soc_u_dccm_rdata4[29]),
-	.X(FE_PDN4237_u_soc_u_dccm_rdata4_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4102_u_soc_u_dccm_rdata4_22 (
-	.A(u_soc_u_dccm_rdata4[22]),
-	.X(FE_PDN19806_u_soc_u_dccm_rdata4_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4236_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
-	.A(FE_PDN4054_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
-	.X(FE_PDN4236_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4235_u_soc_u_dccm_rdata3_17 (
-	.A(FE_PDN4621_u_soc_u_dccm_rdata3_17),
-	.X(FE_PDN4235_u_soc_u_dccm_rdata3_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4234_FE_OFN19760_n (
-	.A(FE_PDN4031_FE_OFN19760_n),
-	.X(FE_PDN4234_FE_OFN19760_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4233_u_soc_u_iccm_rdata2_29 (
-	.A(FE_PDN4253_u_soc_u_iccm_rdata2_29),
-	.X(FE_PDN4233_u_soc_u_iccm_rdata2_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4101_u_soc_u_dccm_rdata2_13 (
-	.A(u_soc_u_dccm_rdata2[13]),
-	.X(FE_PDN19805_u_soc_u_dccm_rdata2_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4232_u_soc_u_iccm_rdata3_3 (
-	.A(FE_PDN4524_u_soc_u_iccm_rdata3_3),
-	.X(FE_PDN4232_u_soc_u_iccm_rdata3_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4231_u_soc_u_dccm_rdata2_23 (
-	.A(FE_PDN4517_u_soc_u_dccm_rdata2_23),
-	.X(FE_PDN4231_u_soc_u_dccm_rdata2_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4100_logic_0_49_net (
-	.A(FE_PDN3923_logic_0_49_net),
-	.X(FE_PDN19804_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4230_u_soc_u_iccm_rdata2_1 (
-	.A(FE_PDN4510_u_soc_u_iccm_rdata2_1),
-	.X(FE_PDN4230_u_soc_u_iccm_rdata2_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4229_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n (
-	.A(FE_PDN4023_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n),
-	.X(FE_PDN4229_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4228_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n (
-	.A(FE_PDN3943_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n),
-	.X(FE_PDN4228_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4227_u_soc_u_dccm_rdata2_24 (
-	.A(u_soc_u_dccm_rdata2[24]),
-	.X(FE_PDN4227_u_soc_u_dccm_rdata2_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4226_FE_OFN19600_FE_OFN19523_n (
-	.A(FE_PDN3894_FE_OFN19600_FE_OFN19523_n),
-	.X(FE_PDN4226_FE_OFN19600_FE_OFN19523_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4225_u_soc_u_dccm_rdata2_2 (
-	.A(FE_PDN4537_u_soc_u_dccm_rdata2_2),
-	.X(FE_PDN4225_u_soc_u_dccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4224_u_soc_u_dccm_rdata2_18 (
-	.A(u_soc_u_dccm_rdata2[18]),
-	.X(FE_PDN4224_u_soc_u_dccm_rdata2_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4223_u_soc_u_dccm_rdata4_17 (
-	.A(u_soc_u_dccm_rdata4[17]),
-	.X(FE_PDN4223_u_soc_u_dccm_rdata4_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4222_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
-	.A(FE_PDN3992_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
-	.X(FE_PDN4222_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_PDC4099_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n (
-	.A(FE_PDN3989_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n),
-	.Y(FE_PDN19803_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_PDC4098_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n (
-	.A(FE_PDN19803_n),
-	.Y(FE_PDN19802_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4097_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n (
-	.A(FE_PDN19802_n),
-	.X(FE_PDN19801_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4221_u_soc_u_dccm_rdata2_26 (
-	.A(FE_PDN4548_u_soc_u_dccm_rdata2_26),
-	.X(FE_PDN4221_u_soc_u_dccm_rdata2_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC4220_u_soc_u_dccm_rdata2_15 (
-	.A(u_soc_u_dccm_rdata2[15]),
-	.X(FE_PDN4220_u_soc_u_dccm_rdata2_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4219_logic_0_61_net (
-	.A(FE_PDN3912_logic_0_61_net),
-	.X(FE_PDN4219_logic_0_61_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4218_u_soc_u_dccm_rdata2_27 (
-	.A(u_soc_u_dccm_rdata2[27]),
-	.X(FE_PDN4218_u_soc_u_dccm_rdata2_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4217_u_soc_u_dccm_rdata3_4 (
-	.A(FE_PDN4250_u_soc_u_dccm_rdata3_4),
-	.X(FE_PDN4217_u_soc_u_dccm_rdata3_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4216_logic_0_48_net (
-	.A(FE_PDN3870_logic_0_48_net),
-	.X(FE_PDN4216_logic_0_48_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4096_FE_OFN19281_FE_OFN19059_n (
-	.A(FE_PDN3869_FE_OFN19281_FE_OFN19059_n),
-	.X(FE_PDN19800_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC4215_u_soc_u_iccm_rdata4_2 (
-	.A(u_soc_u_iccm_rdata4[2]),
-	.X(FE_PDN4215_u_soc_u_iccm_rdata4_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4214_u_soc_u_iccm_rdata4_6 (
-	.A(FE_PDN4507_u_soc_u_iccm_rdata4_6),
-	.X(FE_PDN4214_u_soc_u_iccm_rdata4_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4213_FE_OFN19605_FE_OFN19509_n (
-	.A(FE_PDN3928_FE_OFN19605_FE_OFN19509_n),
-	.X(FE_PDN4213_FE_OFN19605_FE_OFN19509_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4212_FE_OFN19521_n (
-	.A(FE_PDN3936_FE_OFN19521_n),
-	.X(FE_PDN4212_FE_OFN19521_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4211_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net (
-	.A(FE_PDN3987_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net),
-	.X(FE_PDN4211_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4210_u_soc_u_dccm_rdata4_4 (
-	.A(u_soc_u_dccm_rdata4[4]),
-	.X(FE_PDN4210_u_soc_u_dccm_rdata4_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4209_FE_OFN19589_FE_OFN19192_FE_OFN19179_n (
-	.A(FE_PDN4011_FE_OFN19589_FE_OFN19192_FE_OFN19179_n),
-	.X(FE_PDN4209_FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4208_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7 (
-	.A(FE_PDN4074_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7),
-	.X(FE_PDN4208_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4207_n (
-	.A(FE_PDN19792_n),
-	.X(FE_PDN4207_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4206_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net (
-	.A(FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net),
-	.X(FE_PDN4206_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4205_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n (
-	.A(FE_PDN3875_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n),
-	.X(FE_PDN4205_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4204_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 (
-	.A(FE_PDN3984_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0),
-	.X(FE_PDN4204_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4203_u_soc_u_dccm_rdata3_0 (
-	.A(u_soc_u_dccm_rdata3[0]),
-	.X(FE_PDN4203_u_soc_u_dccm_rdata3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4202_FE_OFN19618_FE_OFN19207_FE_OFN19102_n (
-	.A(FE_OFN19618_FE_OFN19207_FE_OFN19102_n),
-	.X(FE_PDN4202_FE_OFN19618_FE_OFN19207_FE_OFN19102_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4201_logic_0_63_net (
-	.A(FE_PDN3871_logic_0_63_net),
-	.X(FE_PDN4201_logic_0_63_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4200_FE_OFN19594_FE_OFN19517_n (
-	.A(FE_PDN3886_FE_OFN19594_FE_OFN19517_n),
-	.X(FE_PDN4200_FE_OFN19594_FE_OFN19517_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4199_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n (
-	.A(FE_PDN4084_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n),
-	.X(FE_PDN4199_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4198_FE_OFN19610_FE_OFN19514_n (
-	.A(FE_PDN3893_FE_OFN19610_FE_OFN19514_n),
-	.X(FE_PDN4198_FE_OFN19610_FE_OFN19514_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_PDC4095_logic_0_52_net (
-	.A(FE_PDN3876_logic_0_52_net),
-	.Y(FE_PDN19799_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4094_logic_0_52_net (
-	.A(FE_PDN19799_n),
-	.X(FE_PDN19798_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_16 FE_PDC4093_logic_0_52_net (
-	.A(FE_PDN19798_n),
-	.Y(FE_PDN19797_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4092_FE_OFN3867_FE_OFN18746_FE_OFN17984_n (
-	.A(FE_OFN3867_FE_OFN18746_FE_OFN17984_n),
-	.X(FE_PDN19796_FE_OFN3867_FE_OFN18746_FE_OFN17984_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC4197_u_soc_u_iccm_rdata4_14 (
-	.A(u_soc_u_iccm_rdata4[14]),
-	.X(FE_PDN4197_u_soc_u_iccm_rdata4_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4196_u_soc_u_iccm_rdata2_6 (
-	.A(u_soc_u_iccm_rdata2[6]),
-	.X(FE_PDN4196_u_soc_u_iccm_rdata2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4195_FE_OFN19599_FE_OFN19212_FE_OFN19103_n (
-	.A(FE_PDN3898_FE_OFN19599_FE_OFN19212_FE_OFN19103_n),
-	.X(FE_PDN4195_FE_OFN19599_FE_OFN19212_FE_OFN19103_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4194_FE_OFN19608_FE_OFN18789_FE_OFN18004_n (
-	.A(FE_PDN4073_FE_OFN19608_FE_OFN18789_FE_OFN18004_n),
-	.X(FE_PDN4194_FE_OFN19608_FE_OFN18789_FE_OFN18004_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4193_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7 (
-	.A(FE_PDN3922_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7),
-	.X(FE_PDN4193_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4192_FE_OFN19607_FE_OFN19282_FE_OFN19089_n (
-	.A(FE_PDN3926_FE_OFN19607_FE_OFN19282_FE_OFN19089_n),
-	.X(FE_PDN4192_FE_OFN19607_FE_OFN19282_FE_OFN19089_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4191_FE_OFN3865_n (
-	.A(FE_PDN3919_FE_OFN3865_n),
-	.X(FE_PDN4191_FE_OFN3865_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4190_FE_OFN19280_FE_OFN19064_n (
-	.A(FE_PDN4065_FE_OFN19280_FE_OFN19064_n),
-	.X(FE_PDN4190_FE_OFN19280_FE_OFN19064_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PDC4091_logic_0_50_net (
-	.A(FE_PDN3888_logic_0_50_net),
-	.Y(FE_PDN19795_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_16 FE_PDC4090_logic_0_50_net (
-	.A(FE_PDN19795_n),
-	.Y(FE_PDN19794_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4189_FE_OFN19506_n (
-	.A(FE_PDN3878_FE_OFN19506_n),
-	.X(FE_PDN4189_FE_OFN19506_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4188_FE_OFN19507_n (
-	.A(FE_PDN3917_FE_OFN19507_n),
-	.X(FE_PDN4188_FE_OFN19507_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4187_FE_OFN19592_FE_OFN19511_n (
-	.A(FE_PDN3916_FE_OFN19592_FE_OFN19511_n),
-	.X(FE_PDN4187_FE_OFN19592_FE_OFN19511_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4186_FE_OFN19612_FE_OFN19522_n (
-	.A(FE_PDN3896_FE_OFN19612_FE_OFN19522_n),
-	.X(FE_PDN4186_FE_OFN19612_FE_OFN19522_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4185_FE_OFN19515_n (
-	.A(FE_PDN19781_FE_OFN19515_n),
-	.X(FE_PDN4185_FE_OFN19515_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_96 (
-	.DIODE(FE_PDN4602_u_soc_u_dccm_rdata2_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_95 (
-	.DIODE(FE_PDN4230_u_soc_u_iccm_rdata2_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_94 (
-	.DIODE(FE_PDN3913_FE_OFN19014_u_soc_data_addr_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_93 (
-	.DIODE(FE_PDN4196_u_soc_u_iccm_rdata2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_92 (
-	.DIODE(u_soc_u_dccm_rdata2[16]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_91 (
-	.DIODE(FE_PDN4210_u_soc_u_dccm_rdata4_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4183_n_12067 (
-	.A(n_12067),
-	.X(FE_PSN4183_n_12067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4182_n_11480 (
-	.A(FE_PSN4483_n_11480),
-	.X(FE_PSN4182_n_11480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4181_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0 (
-	.A(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
-	.X(FE_PSN4181_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4180_n_12808 (
-	.A(n_12808),
-	.X(FE_PSN4180_n_12808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4179_n_11516 (
-	.A(n_11516),
-	.X(FE_PSN4179_n_11516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4177_n_16001 (
-	.A(FE_PSBN19897_n_16001),
-	.X(FE_PSN4177_n_16001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4175_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111),
-	.X(FE_PSN4175_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC4174_n_11555 (
-	.A(n_11555),
-	.X(FE_PSN4174_n_11555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PSC4173_n_12857 (
-	.A(n_12857),
-	.X(FE_PSN4173_n_12857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSC4172_n_12095 (
-	.A(n_12095),
-	.X(FE_PSN4172_n_12095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4171_FE_OFN18622_n_11474 (
-	.A(FE_OFN18622_n_11474),
-	.X(FE_PSN4171_FE_OFN18622_n_11474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PSC4170_FE_OFN18454_n_15997 (
-	.A(FE_OFN18454_n_15997),
-	.X(FE_PSN4170_FE_OFN18454_n_15997), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PSC4169_FE_OFN1085_n_13078 (
-	.A(FE_OFN1085_n_13078),
-	.X(FE_PSN4169_FE_OFN1085_n_13078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4168_n_13409 (
-	.A(n_13409),
-	.X(FE_PSN4168_n_13409), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PSC4167_n_11087 (
-	.A(n_11087),
-	.X(FE_PSN4167_n_11087), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4166_n_13338 (
-	.A(n_13338),
-	.X(FE_PSN4166_n_13338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4165_FE_OFN618_u_soc_u_iccm_rdata1_0 (
-	.A(FE_OFN618_u_soc_u_iccm_rdata1_0),
-	.X(FE_PSN4165_FE_OFN618_u_soc_u_iccm_rdata1_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4164_n_15857 (
-	.A(n_15857),
-	.X(FE_PSN4164_n_15857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4162_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32 (
-	.A(FE_PSN4261_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32),
-	.X(FE_PSN4162_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PSC4161_FE_OFN18431_n_11474 (
-	.A(FE_OFN18431_n_11474),
-	.X(FE_PSN4161_FE_OFN18431_n_11474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4160_n_13101 (
-	.A(n_13101),
-	.X(FE_PSN4160_n_13101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4159_FE_OFN18575_n_15869 (
-	.A(FE_OFN18575_n_15869),
-	.X(FE_PSN4159_FE_OFN18575_n_15869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4158_n_12782 (
-	.A(n_12782),
-	.X(FE_PSN4158_n_12782), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSC4157_n_8331 (
-	.A(n_8331),
-	.X(FE_PSN4157_n_8331), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4156_n_13429 (
-	.A(FE_PSN4363_n_13429),
-	.X(FE_PSN4156_n_13429), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4155_n_13808 (
-	.A(n_13808),
-	.X(FE_PSN4155_n_13808), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4154_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192),
-	.X(FE_PSN4154_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4153_FE_OFN18511_n_11423 (
-	.A(FE_OFN18511_n_11423),
-	.X(FE_PSN4153_FE_OFN18511_n_11423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4152_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124),
-	.X(FE_PSN4152_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4151_n_13513 (
-	.A(n_13513),
-	.X(FE_PSN4151_n_13513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4150_n_13044 (
-	.A(n_13044),
-	.X(FE_PSN4150_n_13044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4149_FE_OFN1398_n_141 (
-	.A(FE_OFN1398_n_141),
-	.X(FE_PSN4149_FE_OFN1398_n_141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC4148_u_soc_u_top_u_core_instr_rdata_id_25 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[25]),
-	.X(FE_PSN4148_u_soc_u_top_u_core_instr_rdata_id_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4147_n_13407 (
-	.A(n_13407),
-	.X(FE_PSN4147_n_13407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4146_n_11593 (
-	.A(n_11593),
-	.X(FE_PSN4146_n_11593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4145_u_soc_lsu_to_xbar_a_address_22 (
-	.A(\u_soc_lsu_to_xbar[a_address] [22]),
-	.X(FE_PSN4145_u_soc_lsu_to_xbar_a_address_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4144_n_10330 (
-	.A(FE_PSN4661_n_10330),
-	.X(FE_PSN4144_n_10330), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC4143_FE_OFN1114_n_15868 (
-	.A(FE_OFN1114_n_15868),
-	.X(FE_PSN4143_FE_OFN1114_n_15868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4142_n_13502 (
-	.A(FE_PSN4351_n_13502),
-	.X(FE_PSN4142_n_13502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4141_u_soc_lsu_to_xbar_a_address_27 (
-	.A(\u_soc_lsu_to_xbar[a_address] [27]),
-	.X(FE_PSN4141_u_soc_lsu_to_xbar_a_address_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4140_n_14 (
-	.A(n_14),
-	.X(FE_PSN4140_n_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5 (
-	.A(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
-	.X(FE_PSN4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4138_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127 (
-	.A(FE_PSN4260_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127),
-	.X(FE_PSN4138_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4137_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130),
-	.X(FE_PSN4137_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4136_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136),
-	.X(FE_PSN4136_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20 (
-	.A(FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
-	.X(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4134_FE_OFN18552_n_15995 (
-	.A(FE_OFN18552_n_15995),
-	.X(FE_PSN4134_FE_OFN18552_n_15995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4133_u_soc_lsu_to_xbar_a_address_24 (
-	.A(\u_soc_lsu_to_xbar[a_address] [24]),
-	.X(FE_PSN4133_u_soc_lsu_to_xbar_a_address_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4132_n_13504 (
-	.A(n_13504),
-	.X(FE_PSN4132_n_13504), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4131_n_13610 (
-	.A(n_13610),
-	.X(FE_PSN4131_n_13610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4130_u_soc_u_top_u_core_pc_set (
-	.A(u_soc_u_top_u_core_pc_set),
-	.X(FE_PSN4130_u_soc_u_top_u_core_pc_set), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4129_n_13395 (
-	.A(n_13395),
-	.X(FE_PSN4129_n_13395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4128_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208 (
-	.A(FE_PSN4764_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
-	.X(FE_PSN4128_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4127_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169),
-	.X(FE_PSN4127_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4126_u_soc_lsu_to_xbar_a_address_23 (
-	.A(\u_soc_lsu_to_xbar[a_address] [23]),
-	.X(FE_PSN4126_u_soc_lsu_to_xbar_a_address_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PSC4125_n_15 (
-	.A(FE_COEN4872_n_15),
-	.X(FE_PSN4125_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4124_n_11294 (
-	.A(n_11294),
-	.X(FE_PSN4124_n_11294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4123_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q (
-	.A(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.X(FE_PSN4123_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4122_n_13362 (
-	.A(n_13362),
-	.X(FE_PSN4122_n_13362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4121_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
-	.X(FE_PSN4121_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4120_n_11294 (
-	.A(FE_PSN4104_n_11294),
-	.X(FE_PSN4120_n_11294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4119_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159),
-	.X(FE_PSN4119_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4118_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152),
-	.X(FE_PSN4118_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4117_n_9163 (
-	.A(n_9163),
-	.X(FE_PSN4117_n_9163), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4116_FE_OFN1586_n_13424 (
-	.A(FE_PSBN19866_n_13424),
-	.X(FE_PSN4116_FE_OFN1586_n_13424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PSC4115_u_soc_u_top_u_core_pc_set (
-	.A(u_soc_u_top_u_core_pc_set),
-	.X(FE_PSN4115_u_soc_u_top_u_core_pc_set), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4114_u_soc_iccm_adapter_inst_mem_wmask_int_0_30 (
-	.A(\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]),
-	.X(FE_PSN4114_u_soc_iccm_adapter_inst_mem_wmask_int_0_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4113_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q (
-	.A(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.X(FE_PSN4113_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4112_n_10158 (
-	.A(n_10158),
-	.X(FE_PSN4112_n_10158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4111_n_10338 (
-	.A(n_10338),
-	.X(FE_PSN4111_n_10338), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4110_n_10341 (
-	.A(n_10341),
-	.X(FE_PSN4110_n_10341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PSC4109_FE_OFN1586_n_13424 (
-	.A(FE_PSBN19866_n_13424),
-	.X(FE_PSN4109_FE_OFN1586_n_13424), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4108_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183),
-	.X(FE_PSN4108_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PSC4106_FE_OFN618_u_soc_u_iccm_rdata1_0 (
-	.A(FE_PSN4165_FE_OFN618_u_soc_u_iccm_rdata1_0),
-	.X(FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PSC4104_n_11294 (
-	.A(n_11294),
-	.X(FE_PSN4104_n_11294), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4103_u_soc_lsu_to_xbar_a_address_31 (
-	.A(\u_soc_lsu_to_xbar[a_address] [31]),
-	.X(FE_PSN4103_u_soc_lsu_to_xbar_a_address_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PSC4101_n_7253 (
-	.A(n_7253),
-	.X(FE_PSN4101_n_7253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PSC4100_u_soc_lsu_to_xbar_a_address_31 (
-	.A(\u_soc_lsu_to_xbar[a_address] [31]),
-	.X(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PSC4099_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287 (
-	.A(FE_PSN4338_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287),
-	.X(FE_PSN4099_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4098_u_soc_u_dccm_rdata4_31 (
-	.A(u_soc_u_dccm_rdata4[31]),
-	.X(FE_PDN4098_u_soc_u_dccm_rdata4_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4089_u_soc_u_dccm_rdata2_6 (
-	.A(FE_PDN4245_u_soc_u_dccm_rdata2_6),
-	.X(FE_PDN19793_u_soc_u_dccm_rdata2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4096_u_soc_u_dccm_rdata3_0 (
-	.A(FE_PDN4203_u_soc_u_dccm_rdata3_0),
-	.X(FE_PDN4096_u_soc_u_dccm_rdata3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4095_u_soc_u_dccm_rdata4_4 (
-	.A(FE_PDN4210_u_soc_u_dccm_rdata4_4),
-	.X(FE_PDN4095_u_soc_u_dccm_rdata4_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4094_u_soc_u_dccm_rdata3_12 (
-	.A(u_soc_u_dccm_rdata3[12]),
-	.X(FE_PDN4094_u_soc_u_dccm_rdata3_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4093_u_soc_u_dccm_rdata2_22 (
-	.A(u_soc_u_dccm_rdata2[22]),
-	.X(FE_PDN4093_u_soc_u_dccm_rdata2_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4092_u_soc_u_iccm_rdata3_27 (
-	.A(u_soc_u_iccm_rdata3[27]),
-	.X(FE_PDN4092_u_soc_u_iccm_rdata3_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4091_u_soc_u_iccm_rdata3_9 (
-	.A(FE_PDN4240_u_soc_u_iccm_rdata3_9),
-	.X(FE_PDN4091_u_soc_u_iccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4088_FE_OFN3866_FE_OFN18749_FE_OFN17983_n (
-	.A(FE_PDN3999_FE_OFN3866_FE_OFN18749_FE_OFN17983_n),
-	.X(FE_PDN19792_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4090_u_soc_u_dccm_rdata3_5 (
-	.A(u_soc_u_dccm_rdata3[5]),
-	.X(FE_PDN4090_u_soc_u_dccm_rdata3_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4089_u_soc_u_dccm_rdata3_23 (
-	.A(u_soc_u_dccm_rdata3[23]),
-	.X(FE_PDN4089_u_soc_u_dccm_rdata3_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4088_u_soc_u_dccm_rdata3_21 (
-	.A(u_soc_u_dccm_rdata3[21]),
-	.X(FE_PDN4088_u_soc_u_dccm_rdata3_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4087_u_soc_u_dccm_rdata4_31 (
-	.A(FE_PDN4098_u_soc_u_dccm_rdata4_31),
-	.X(FE_PDN4087_u_soc_u_dccm_rdata4_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4086_u_soc_u_dccm_rdata4_21 (
-	.A(FE_PDN4610_u_soc_u_dccm_rdata4_21),
-	.X(FE_PDN4086_u_soc_u_dccm_rdata4_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4085_u_soc_u_dccm_rdata2_3 (
-	.A(u_soc_u_dccm_rdata2[3]),
-	.X(FE_PDN4085_u_soc_u_dccm_rdata2_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4084_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n (
-	.A(FE_PDN4042_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n),
-	.X(FE_PDN4084_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4083_u_soc_u_dccm_rdata4_15 (
-	.A(u_soc_u_dccm_rdata4[15]),
-	.X(FE_PDN4083_u_soc_u_dccm_rdata4_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4082_u_soc_u_iccm_rdata2_15 (
-	.A(u_soc_u_iccm_rdata2[15]),
-	.X(FE_PDN4082_u_soc_u_iccm_rdata2_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4081_u_soc_u_dccm_rdata3_7 (
-	.A(u_soc_u_dccm_rdata3[7]),
-	.X(FE_PDN4081_u_soc_u_dccm_rdata3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4080_u_soc_u_dccm_rdata3_22 (
-	.A(u_soc_u_dccm_rdata3[22]),
-	.X(FE_PDN4080_u_soc_u_dccm_rdata3_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC4079_u_soc_u_iccm_rdata4_5 (
-	.A(FE_PDN4756_u_soc_u_iccm_rdata4_5),
-	.X(FE_PDN4079_u_soc_u_iccm_rdata4_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_PDC4087_FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n (
-	.A(FE_PDN4005_FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n),
-	.Y(FE_PDN19791_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_PDC4086_FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n (
-	.A(FE_PDN19791_n),
-	.Y(FE_PDN19790_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4078_u_soc_u_dccm_rdata2_12 (
-	.A(u_soc_u_dccm_rdata2[12]),
-	.X(FE_PDN4078_u_soc_u_dccm_rdata2_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4077_u_soc_u_dccm_rdata2_4 (
-	.A(u_soc_u_dccm_rdata2[4]),
-	.X(FE_PDN4077_u_soc_u_dccm_rdata2_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4076_u_soc_u_dccm_rdata2_30 (
-	.A(FE_PDN4602_u_soc_u_dccm_rdata2_30),
-	.X(FE_PDN4076_u_soc_u_dccm_rdata2_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4075_u_soc_u_dccm_rdata2_2 (
-	.A(FE_PDN4225_u_soc_u_dccm_rdata2_2),
-	.X(FE_PDN4075_u_soc_u_dccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4074_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7 (
-	.A(FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7),
-	.X(FE_PDN4074_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4073_FE_OFN19608_FE_OFN18789_FE_OFN18004_n (
-	.A(FE_PDN3868_FE_OFN19608_FE_OFN18789_FE_OFN18004_n),
-	.X(FE_PDN4073_FE_OFN19608_FE_OFN18789_FE_OFN18004_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4072_FE_OFN19596_FE_OFN19203_FE_OFN19077_n (
-	.A(FE_OFN19596_FE_OFN19203_FE_OFN19077_n),
-	.X(FE_PDN4072_FE_OFN19596_FE_OFN19203_FE_OFN19077_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4071_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n (
-	.A(FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n),
-	.X(FE_PDN4071_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4070_u_soc_u_dccm_addr1_6 (
-	.A(u_soc_u_dccm_addr1[6]),
-	.X(FE_PDN4070_u_soc_u_dccm_addr1_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4069_FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25 (
-	.A(FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25),
-	.X(FE_PDN4069_FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4068_FE_OFN1732_n (
-	.A(FE_OFN1732_n),
-	.X(FE_PDN4068_FE_OFN1732_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4067_FE_OFN579_u_soc_instr_wdata_6 (
-	.A(FE_OFN579_u_soc_instr_wdata_6),
-	.X(FE_PDN4067_FE_OFN579_u_soc_instr_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4066_FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1 (
-	.A(FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1),
-	.X(FE_PDN4066_FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4065_FE_OFN19280_FE_OFN19064_n (
-	.A(FE_OFN19280_FE_OFN19064_n),
-	.X(FE_PDN4065_FE_OFN19280_FE_OFN19064_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4064_FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27 (
-	.A(FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27),
-	.X(FE_PDN4064_FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4085_u_soc_u_dccm_rdata4_27 (
-	.A(u_soc_u_dccm_rdata4[27]),
-	.X(FE_PDN19789_u_soc_u_dccm_rdata4_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4063_FE_OFN1264_u_soc_data_wdata_9 (
-	.A(FE_OFN1264_u_soc_data_wdata_9),
-	.X(FE_PDN4063_FE_OFN1264_u_soc_data_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4084_u_soc_u_tcam_rdata_0 (
-	.A(u_soc_u_tcam_rdata[0]),
-	.X(FE_PDN19788_u_soc_u_tcam_rdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4062_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8 (
-	.A(FE_OFN19020_FE_OFN233_u_soc_data_wdata_8),
-	.X(FE_PDN4062_FE_OFN19020_FE_OFN233_u_soc_data_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4061_u_soc_u_dccm_rdata4_13 (
-	.A(u_soc_u_dccm_rdata4[13]),
-	.X(FE_PDN4061_u_soc_u_dccm_rdata4_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4060_u_soc_u_iccm_rdata2_15 (
-	.A(FE_PDN4082_u_soc_u_iccm_rdata2_15),
-	.X(FE_PDN4060_u_soc_u_iccm_rdata2_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4059_u_soc_u_dccm_rdata4_15 (
-	.A(FE_PDN4083_u_soc_u_dccm_rdata4_15),
-	.X(FE_PDN4059_u_soc_u_dccm_rdata4_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4058_u_soc_u_iccm_rdata4_14 (
-	.A(FE_PDN4197_u_soc_u_iccm_rdata4_14),
-	.X(FE_PDN4058_u_soc_u_iccm_rdata4_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4057_u_soc_u_dccm_rdata3_7 (
-	.A(FE_PDN4081_u_soc_u_dccm_rdata3_7),
-	.X(FE_PDN4057_u_soc_u_dccm_rdata3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4056_u_soc_u_iccm_rdata3_16 (
-	.A(u_soc_u_iccm_rdata3[16]),
-	.X(FE_PDN4056_u_soc_u_iccm_rdata3_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4055_FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n (
-	.A(FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n),
-	.X(FE_PDN4055_FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4054_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
-	.A(FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
-	.X(FE_PDN4054_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4053_u_soc_u_iccm_rdata4_15 (
-	.A(u_soc_u_iccm_rdata4[15]),
-	.X(FE_PDN4053_u_soc_u_iccm_rdata4_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4052_u_soc_u_iccm_rdata3_18 (
-	.A(u_soc_u_iccm_rdata3[18]),
-	.X(FE_PDN4052_u_soc_u_iccm_rdata3_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4051_u_soc_u_dccm_rdata4_0 (
-	.A(u_soc_u_dccm_rdata4[0]),
-	.X(FE_PDN4051_u_soc_u_dccm_rdata4_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4050_u_soc_u_dccm_rdata2_3 (
-	.A(FE_PDN4085_u_soc_u_dccm_rdata2_3),
-	.X(FE_PDN4050_u_soc_u_dccm_rdata2_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4048_n_17937 (
-	.A(n_17937),
-	.X(FE_PDN4048_n_17937), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4047_u_soc_u_dccm_rdata3_6 (
-	.A(u_soc_u_dccm_rdata3[6]),
-	.X(FE_PDN4047_u_soc_u_dccm_rdata3_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4046_u_soc_u_dccm_rdata4_4 (
-	.A(FE_PDN4095_u_soc_u_dccm_rdata4_4),
-	.X(FE_PDN4046_u_soc_u_dccm_rdata4_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4044_u_soc_u_dccm_rdata3_10 (
-	.A(u_soc_u_dccm_rdata3[10]),
-	.X(FE_PDN4044_u_soc_u_dccm_rdata3_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4043_u_soc_u_dccm_rdata3_23 (
-	.A(FE_PDN4089_u_soc_u_dccm_rdata3_23),
-	.X(FE_PDN4043_u_soc_u_dccm_rdata3_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4042_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n (
-	.A(FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n),
-	.X(FE_PDN4042_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4041_u_soc_u_iccm_rdata4_29 (
-	.A(FE_PDN4242_u_soc_u_iccm_rdata4_29),
-	.X(FE_PDN4041_u_soc_u_iccm_rdata4_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4040_FE_OFN490_u_soc_instr_wdata_19 (
-	.A(FE_OFN490_u_soc_instr_wdata_19),
-	.X(FE_PDN4040_FE_OFN490_u_soc_instr_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4039_u_soc_u_iccm_rdata3_8 (
-	.A(FE_PDN4526_u_soc_u_iccm_rdata3_8),
-	.X(FE_PDN4039_u_soc_u_iccm_rdata3_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4038_u_soc_u_dccm_rdata4_31 (
-	.A(FE_PDN4087_u_soc_u_dccm_rdata4_31),
-	.X(FE_PDN4038_u_soc_u_dccm_rdata4_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4037_FE_OFN561_u_soc_instr_wdata_9 (
-	.A(FE_OFN561_u_soc_instr_wdata_9),
-	.X(FE_PDN4037_FE_OFN561_u_soc_instr_wdata_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4036_u_soc_u_iccm_rdata4_11 (
-	.A(u_soc_u_iccm_rdata4[11]),
-	.X(FE_PDN4036_u_soc_u_iccm_rdata4_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4035_u_soc_u_dccm_rdata2_4 (
-	.A(FE_PDN4077_u_soc_u_dccm_rdata2_4),
-	.X(FE_PDN4035_u_soc_u_dccm_rdata2_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4034_u_soc_u_dccm_rdata3_4 (
-	.A(FE_PDN4217_u_soc_u_dccm_rdata3_4),
-	.X(FE_PDN4034_u_soc_u_dccm_rdata3_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4032_u_soc_u_iccm_rdata4_28 (
-	.A(u_soc_u_iccm_rdata4[28]),
-	.X(FE_PDN4032_u_soc_u_iccm_rdata4_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4083_u_soc_u_dccm_rdata2_7 (
-	.A(u_soc_u_dccm_rdata2[7]),
-	.X(FE_PDN19787_u_soc_u_dccm_rdata2_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4031_FE_OFN19760_n (
-	.A(FE_OFN19760_n),
-	.X(FE_PDN4031_FE_OFN19760_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4030_FE_OFN437_u_soc_instr_wdata_27 (
-	.A(FE_OFN437_u_soc_instr_wdata_27),
-	.X(FE_PDN4030_FE_OFN437_u_soc_instr_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4029_u_soc_u_dccm_rdata4_14 (
-	.A(u_soc_u_dccm_rdata4[14]),
-	.X(FE_PDN4029_u_soc_u_dccm_rdata4_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4028_u_soc_u_iccm_rdata2_0 (
-	.A(u_soc_u_iccm_rdata2[0]),
-	.X(FE_PDN4028_u_soc_u_iccm_rdata2_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4027_io_oeb_27 (
-	.A(FE_PDN4026_io_oeb_27),
-	.X(io_oeb[27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4025_u_soc_u_dccm_rdata4_21 (
-	.A(FE_PDN4086_u_soc_u_dccm_rdata4_21),
-	.X(FE_PDN4025_u_soc_u_dccm_rdata4_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4024_FE_OFN243_u_soc_data_wdata_6 (
-	.A(FE_OFN243_u_soc_data_wdata_6),
-	.X(FE_PDN4024_FE_OFN243_u_soc_data_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4023_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n (
-	.A(FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n),
-	.X(FE_PDN4023_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4022_u_soc_u_dccm_rdata3_21 (
-	.A(FE_PDN4088_u_soc_u_dccm_rdata3_21),
-	.X(FE_PDN4022_u_soc_u_dccm_rdata3_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4021_FE_OFN1253_u_soc_data_wdata_19 (
-	.A(FE_OFN1253_u_soc_data_wdata_19),
-	.X(FE_PDN4021_FE_OFN1253_u_soc_data_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4020_u_soc_u_iccm_rdata3_3 (
-	.A(FE_PDN4232_u_soc_u_iccm_rdata3_3),
-	.X(FE_PDN4020_u_soc_u_iccm_rdata3_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4019_u_soc_u_iccm_rdata4_9 (
-	.A(u_soc_u_iccm_rdata4[9]),
-	.X(FE_PDN4019_u_soc_u_iccm_rdata4_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4018_u_soc_u_iccm_rdata3_0 (
-	.A(u_soc_u_iccm_rdata3[0]),
-	.X(FE_PDN4018_u_soc_u_iccm_rdata3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4017_u_soc_u_dccm_rdata3_11 (
-	.A(u_soc_u_dccm_rdata3[11]),
-	.X(FE_PDN4017_u_soc_u_dccm_rdata3_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC4016_u_soc_u_iccm_rdata3_2 (
-	.A(u_soc_u_iccm_rdata3[2]),
-	.X(FE_PDN4016_u_soc_u_iccm_rdata3_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4015_u_soc_u_dccm_rdata2_5 (
-	.A(u_soc_u_dccm_rdata2[5]),
-	.X(FE_PDN4015_u_soc_u_dccm_rdata2_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4014_u_soc_u_iccm_rdata2_1 (
-	.A(FE_PDN4230_u_soc_u_iccm_rdata2_1),
-	.X(FE_PDN4014_u_soc_u_iccm_rdata2_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4013_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
-	.A(FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
-	.X(FE_PDN4013_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4012_FE_OFN278_u_soc_data_wdata_1 (
-	.A(FE_OFN278_u_soc_data_wdata_1),
-	.X(FE_PDN4012_FE_OFN278_u_soc_data_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4011_FE_OFN19589_FE_OFN19192_FE_OFN19179_n (
-	.A(FE_OFN19589_FE_OFN19192_FE_OFN19179_n),
-	.X(FE_PDN4011_FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_PDC4010_u_soc_u_dccm_rdata2_24 (
-	.A(FE_PDN4227_u_soc_u_dccm_rdata2_24),
-	.X(FE_PDN4010_u_soc_u_dccm_rdata2_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4009_FE_OFN1289_u_soc_instr_wdata_0 (
-	.A(FE_OFN1289_u_soc_instr_wdata_0),
-	.X(FE_PDN4009_FE_OFN1289_u_soc_instr_wdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC4008_u_soc_u_dccm_rdata2_27 (
-	.A(FE_PDN4218_u_soc_u_dccm_rdata2_27),
-	.X(FE_PDN4008_u_soc_u_dccm_rdata2_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4007_u_soc_u_iccm_rdata3_20 (
-	.A(u_soc_u_iccm_rdata3[20]),
-	.X(FE_PDN4007_u_soc_u_iccm_rdata3_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4006_u_soc_u_iccm_rdata3_19 (
-	.A(u_soc_u_iccm_rdata3[19]),
-	.X(FE_PDN4006_u_soc_u_iccm_rdata3_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC4005_FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n (
-	.A(FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n),
-	.X(FE_PDN4005_FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4003_FE_OFN19373_FE_OFN19261_n (
-	.A(FE_OFN19373_FE_OFN19261_n),
-	.X(FE_PDN4003_FE_OFN19373_FE_OFN19261_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC4002_FE_OFN201_u_soc_data_wdata_21 (
-	.A(FE_OFN201_u_soc_data_wdata_21),
-	.X(FE_PDN4002_FE_OFN201_u_soc_data_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4001_u_soc_u_iccm_rdata2_22 (
-	.A(u_soc_u_iccm_rdata2[22]),
-	.X(FE_PDN4001_u_soc_u_iccm_rdata2_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC4000_u_soc_u_dccm_rdata4_8 (
-	.A(u_soc_u_dccm_rdata4[8]),
-	.X(FE_PDN4000_u_soc_u_dccm_rdata4_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3999_FE_OFN3866_FE_OFN18749_FE_OFN17983_n (
-	.A(FE_OFN3866_FE_OFN18749_FE_OFN17983_n),
-	.X(FE_PDN3999_FE_OFN3866_FE_OFN18749_FE_OFN17983_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3998_FE_OFN547_u_soc_instr_wdata_11 (
-	.A(FE_OFN547_u_soc_instr_wdata_11),
-	.X(FE_PDN3998_FE_OFN547_u_soc_instr_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3997_u_soc_u_iccm_rdata3_6 (
-	.A(u_soc_u_iccm_rdata3[6]),
-	.X(FE_PDN3997_u_soc_u_iccm_rdata3_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3995_FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n (
-	.A(FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n),
-	.X(FE_PDN3995_FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3994_u_soc_u_iccm_rdata3_7 (
-	.A(u_soc_u_iccm_rdata3[7]),
-	.X(FE_PDN3994_u_soc_u_iccm_rdata3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3993_u_soc_u_dccm_rdata3_22 (
-	.A(FE_PDN4080_u_soc_u_dccm_rdata3_22),
-	.X(FE_PDN3993_u_soc_u_dccm_rdata3_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3992_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
-	.A(FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
-	.X(FE_PDN3992_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3991_u_soc_u_dccm_rdata3_17 (
-	.A(FE_PDN4235_u_soc_u_dccm_rdata3_17),
-	.X(FE_PDN3991_u_soc_u_dccm_rdata3_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3990_u_soc_u_iccm_rdata4_6 (
-	.A(FE_PDN4214_u_soc_u_iccm_rdata4_6),
-	.X(FE_PDN3990_u_soc_u_iccm_rdata4_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3989_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n (
-	.A(FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n),
-	.X(FE_PDN3989_FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3988_u_soc_u_iccm_rdata3_23 (
-	.A(u_soc_u_iccm_rdata3[23]),
-	.X(FE_PDN3988_u_soc_u_iccm_rdata3_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3987_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net (
-	.A(FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net),
-	.X(FE_PDN3987_FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3986_u_soc_u_iccm_rdata3_11 (
-	.A(u_soc_u_iccm_rdata3[11]),
-	.X(FE_PDN3986_u_soc_u_iccm_rdata3_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3985_u_soc_u_dccm_rdata2_8 (
-	.A(u_soc_u_dccm_rdata2[8]),
-	.X(FE_PDN3985_u_soc_u_dccm_rdata2_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3984_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 (
-	.A(FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0),
-	.X(FE_PDN3984_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3983_FE_OFN18665_u_soc_data_wdata_10 (
-	.A(FE_OFN18665_u_soc_data_wdata_10),
-	.X(FE_PDN3983_FE_OFN18665_u_soc_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3982_u_soc_u_iccm_rdata3_9 (
-	.A(FE_PDN4091_u_soc_u_iccm_rdata3_9),
-	.X(FE_PDN3982_u_soc_u_iccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3981_u_soc_u_dccm_rdata2_0 (
-	.A(u_soc_u_dccm_rdata2[0]),
-	.X(FE_PDN3981_u_soc_u_dccm_rdata2_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3980_FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n (
-	.A(FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n),
-	.X(FE_PDN3980_FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3979_u_soc_u_dccm_rdata4_30 (
-	.A(u_soc_u_dccm_rdata4[30]),
-	.X(FE_PDN3979_u_soc_u_dccm_rdata4_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3978_FE_OFN530_u_soc_instr_wdata_13 (
-	.A(FE_OFN530_u_soc_instr_wdata_13),
-	.X(FE_PDN3978_FE_OFN530_u_soc_instr_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3977_u_soc_u_iccm_rdata4_5 (
-	.A(FE_PDN4079_u_soc_u_iccm_rdata4_5),
-	.X(FE_PDN3977_u_soc_u_iccm_rdata4_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3976_u_soc_u_dccm_rdata2_23 (
-	.A(FE_PDN4231_u_soc_u_dccm_rdata2_23),
-	.X(FE_PDN3976_u_soc_u_dccm_rdata2_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3975_FE_OFN19603_FE_OFN19512_n (
-	.A(FE_OFN19603_FE_OFN19512_n),
-	.X(FE_PDN3975_FE_OFN19603_FE_OFN19512_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3974_u_soc_u_dccm_rdata2_17 (
-	.A(FE_PDN19845_u_soc_u_dccm_rdata2_17),
-	.X(FE_PDN3974_u_soc_u_dccm_rdata2_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3973_u_soc_u_dccm_rdata2_18 (
-	.A(FE_PDN4224_u_soc_u_dccm_rdata2_18),
-	.X(FE_PDN3973_u_soc_u_dccm_rdata2_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3972_u_soc_u_dccm_rdata2_11 (
-	.A(FE_PDN4529_u_soc_u_dccm_rdata2_11),
-	.X(FE_PDN3972_u_soc_u_dccm_rdata2_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3971_FE_OFN19763_n (
-	.A(FE_OFN19763_n),
-	.X(FE_PDN3971_FE_OFN19763_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3970_u_soc_u_iccm_rdata2_11 (
-	.A(u_soc_u_iccm_rdata2[11]),
-	.X(FE_PDN3970_u_soc_u_iccm_rdata2_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3969_u_soc_u_dccm_rdata3_19 (
-	.A(u_soc_u_dccm_rdata3[19]),
-	.X(FE_PDN3969_u_soc_u_dccm_rdata3_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3968_FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1 (
-	.A(FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1),
-	.X(FE_PDN3968_FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3967_u_soc_u_dccm_rdata2_31 (
-	.A(u_soc_u_dccm_rdata2[31]),
-	.X(FE_PDN3967_u_soc_u_dccm_rdata2_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3966_u_soc_u_dccm_rdata2_10 (
-	.A(u_soc_u_dccm_rdata2[10]),
-	.X(FE_PDN3966_u_soc_u_dccm_rdata2_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3965_FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net (
-	.A(FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net),
-	.X(FE_PDN3965_FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3964_FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n (
-	.A(FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n),
-	.X(FE_PDN3964_FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3963_u_soc_u_dccm_rdata2_13 (
-	.A(FE_PDN19805_u_soc_u_dccm_rdata2_13),
-	.X(FE_PDN3963_u_soc_u_dccm_rdata2_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3962_u_soc_u_dccm_rdata2_25 (
-	.A(FE_PDN4238_u_soc_u_dccm_rdata2_25),
-	.X(FE_PDN3962_u_soc_u_dccm_rdata2_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3961_FE_OFN19762_n (
-	.A(FE_OFN19762_n),
-	.X(FE_PDN3961_FE_OFN19762_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC3960_FE_OFN241_u_soc_data_wdata_7 (
-	.A(FE_OFN241_u_soc_data_wdata_7),
-	.X(FE_PDN3960_FE_OFN241_u_soc_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3959_u_soc_u_dccm_rdata2_12 (
-	.A(FE_PDN4078_u_soc_u_dccm_rdata2_12),
-	.X(FE_PDN3959_u_soc_u_dccm_rdata2_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PDC4082_FE_OFN19518_n (
-	.A(FE_OFN19518_n),
-	.Y(FE_PDN19786_FE_OFN19518_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_PDC4081_FE_OFN19518_n (
-	.A(FE_PDN19786_FE_OFN19518_n),
-	.Y(FE_PDN19785_FE_OFN19518_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3958_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n (
-	.A(FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n),
-	.X(FE_PDN3958_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3957_FE_OFN292_u_soc_u_dccm_rdata1_28 (
-	.A(FE_OFN292_u_soc_u_dccm_rdata1_28),
-	.X(FE_PDN3957_FE_OFN292_u_soc_u_dccm_rdata1_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3956_u_soc_u_dccm_addr1_5 (
-	.A(u_soc_u_dccm_addr1[5]),
-	.X(FE_PDN3956_u_soc_u_dccm_addr1_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3955_FE_OFN19025_io_oeb_35 (
-	.A(FE_OFN19025_io_oeb_35),
-	.X(FE_PDN3955_FE_OFN19025_io_oeb_35), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3954_FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27 (
-	.A(FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27),
-	.X(FE_PDN3954_FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3953_n_17939 (
-	.A(n_17939),
-	.X(FE_PDN3953_n_17939), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3952_u_soc_u_dccm_rdata2_14 (
-	.A(u_soc_u_dccm_rdata2[14]),
-	.X(FE_PDN3952_u_soc_u_dccm_rdata2_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3951_u_soc_u_dccm_rdata2_16 (
-	.A(u_soc_u_dccm_rdata2[16]),
-	.X(FE_PDN3951_u_soc_u_dccm_rdata2_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3950_u_soc_u_dccm_rdata2_9 (
-	.A(u_soc_u_dccm_rdata2[9]),
-	.X(FE_PDN3950_u_soc_u_dccm_rdata2_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3949_u_soc_u_dccm_rdata2_21 (
-	.A(u_soc_u_dccm_rdata2[21]),
-	.X(FE_PDN3949_u_soc_u_dccm_rdata2_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3948_n_17938 (
-	.A(n_17938),
-	.X(FE_PDN3948_n_17938), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3947_FE_OFN19579_FE_OFN19283_FE_OFN19181_n (
-	.A(FE_OFN19579_FE_OFN19283_FE_OFN19181_n),
-	.X(FE_PDN3947_FE_OFN19579_FE_OFN19283_FE_OFN19181_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3946_u_soc_u_dccm_rdata2_15 (
-	.A(FE_PDN4220_u_soc_u_dccm_rdata2_15),
-	.X(FE_PDN3946_u_soc_u_dccm_rdata2_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3945_FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5 (
-	.A(FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5),
-	.X(FE_PDN3945_FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_PDC4080_FE_OFN19520_n (
-	.A(FE_OFN19520_n),
-	.Y(FE_PDN19784_FE_OFN19520_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_16 FE_PDC4079_FE_OFN19520_n (
-	.A(FE_PDN19784_FE_OFN19520_n),
-	.Y(FE_PDN19783_FE_OFN19520_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3944_u_soc_u_dccm_rdata2_26 (
-	.A(FE_PDN4221_u_soc_u_dccm_rdata2_26),
-	.X(FE_PDN3944_u_soc_u_dccm_rdata2_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3943_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n (
-	.A(FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n),
-	.X(FE_PDN3943_FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3942_FE_OFN18768_FE_OFN1126_u_soc_data_we (
-	.A(FE_OFN18768_FE_OFN1126_u_soc_data_we),
-	.X(FE_PDN3942_FE_OFN18768_FE_OFN1126_u_soc_data_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3941_n_17941 (
-	.A(n_17941),
-	.X(FE_PDN3941_n_17941), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3940_u_soc_u_dccm_rdata2_2 (
-	.A(FE_PDN4075_u_soc_u_dccm_rdata2_2),
-	.X(FE_PDN3940_u_soc_u_dccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3939_u_soc_u_dccm_rdata2_19 (
-	.A(u_soc_u_dccm_rdata2[19]),
-	.X(FE_PDN3939_u_soc_u_dccm_rdata2_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3938_FE_OFN512_u_soc_instr_wdata_16 (
-	.A(FE_OFN512_u_soc_instr_wdata_16),
-	.X(FE_PDN3938_FE_OFN512_u_soc_instr_wdata_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3937_n_17940 (
-	.A(n_17940),
-	.X(FE_PDN3937_n_17940), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3936_FE_OFN19521_n (
-	.A(FE_OFN19521_n),
-	.X(FE_PDN3936_FE_OFN19521_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3935_u_soc_u_dccm_rdata4_24 (
-	.A(u_soc_u_dccm_rdata4[24]),
-	.X(FE_PDN3935_u_soc_u_dccm_rdata4_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3934_u_soc_u_dccm_rdata2_29 (
-	.A(u_soc_u_dccm_rdata2[29]),
-	.X(FE_PDN3934_u_soc_u_dccm_rdata2_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_1 FE_PDC3933_FE_OFN580_u_soc_instr_wdata_3 (
-	.A(FE_OFN580_u_soc_instr_wdata_3),
-	.X(FE_PDN3933_FE_OFN580_u_soc_instr_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3932_FE_OFN184_u_soc_data_wdata_29 (
-	.A(FE_OFN184_u_soc_data_wdata_29),
-	.X(FE_PDN3932_FE_OFN184_u_soc_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3931_u_soc_u_dccm_rdata2_30 (
-	.A(FE_PDN4076_u_soc_u_dccm_rdata2_30),
-	.X(FE_PDN3931_u_soc_u_dccm_rdata2_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3930_FE_OFN253_u_soc_data_wdata_5 (
-	.A(FE_OFN253_u_soc_data_wdata_5),
-	.X(FE_PDN3930_FE_OFN253_u_soc_data_wdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3929_u_soc_u_dccm_rdata2_22 (
-	.A(FE_PDN4093_u_soc_u_dccm_rdata2_22),
-	.X(FE_PDN3929_u_soc_u_dccm_rdata2_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3928_FE_OFN19605_FE_OFN19509_n (
-	.A(FE_OFN19605_FE_OFN19509_n),
-	.X(FE_PDN3928_FE_OFN19605_FE_OFN19509_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_PDC4078_u_soc_u_dccm_rdata2_28 (
-	.A(u_soc_u_dccm_rdata2[28]),
-	.X(FE_PDN19782_u_soc_u_dccm_rdata2_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3926_FE_OFN19607_FE_OFN19282_FE_OFN19089_n (
-	.A(FE_OFN19607_FE_OFN19282_FE_OFN19089_n),
-	.X(FE_PDN3926_FE_OFN19607_FE_OFN19282_FE_OFN19089_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3925_FE_OFN1285_u_soc_instr_wdata_1 (
-	.A(FE_OFN1285_u_soc_instr_wdata_1),
-	.X(FE_PDN3925_FE_OFN1285_u_soc_instr_wdata_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3924_u_soc_u_dccm_rdata2_20 (
-	.A(u_soc_u_dccm_rdata2[20]),
-	.X(FE_PDN3924_u_soc_u_dccm_rdata2_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3923_logic_0_49_net (
-	.A(logic_0_49_net),
-	.X(FE_PDN3923_logic_0_49_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3922_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7 (
-	.A(FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7),
-	.X(FE_PDN3922_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3920_FE_OFN1237_u_soc_data_wdata_25 (
-	.A(FE_OFN1237_u_soc_data_wdata_25),
-	.X(FE_PDN3920_FE_OFN1237_u_soc_data_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3919_FE_OFN3865_n (
-	.A(FE_OFN3865_n),
-	.X(FE_PDN3919_FE_OFN3865_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3918_u_soc_u_dccm_rdata4_9 (
-	.A(u_soc_u_dccm_rdata4[9]),
-	.X(FE_PDN3918_u_soc_u_dccm_rdata4_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3917_FE_OFN19507_n (
-	.A(FE_OFN19507_n),
-	.X(FE_PDN3917_FE_OFN19507_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3916_FE_OFN19592_FE_OFN19511_n (
-	.A(FE_OFN19592_FE_OFN19511_n),
-	.X(FE_PDN3916_FE_OFN19592_FE_OFN19511_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3915_FE_OFN18972_io_oeb_36 (
-	.A(FE_OFN18972_io_oeb_36),
-	.X(FE_PDN3915_FE_OFN18972_io_oeb_36), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3914_FE_OFN18694_u_soc_data_wdata_13 (
-	.A(FE_OFN18694_u_soc_data_wdata_13),
-	.X(FE_PDN3914_FE_OFN18694_u_soc_data_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3913_FE_OFN19014_u_soc_data_addr_6 (
-	.A(FE_OFN19014_u_soc_data_addr_6),
-	.X(FE_PDN3913_FE_OFN19014_u_soc_data_addr_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3912_logic_0_61_net (
-	.A(logic_0_61_net),
-	.X(FE_PDN3912_logic_0_61_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3911_u_soc_u_iccm_rdata4_8 (
-	.A(u_soc_u_iccm_rdata4[8]),
-	.X(FE_PDN3911_u_soc_u_iccm_rdata4_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3910_FE_OFN539_u_soc_instr_wdata_12 (
-	.A(FE_OFN539_u_soc_instr_wdata_12),
-	.X(FE_PDN3910_FE_OFN539_u_soc_instr_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3909_u_soc_u_iccm_rdata2_29 (
-	.A(FE_PDN4233_u_soc_u_iccm_rdata2_29),
-	.X(FE_PDN3909_u_soc_u_iccm_rdata2_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3908_u_soc_u_dccm_addr1_0 (
-	.A(u_soc_u_dccm_addr1[0]),
-	.X(FE_PDN3908_u_soc_u_dccm_addr1_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3907_io_oeb_24 (
-	.A(FE_PDN3906_io_oeb_24),
-	.X(FE_PDN4737_io_oeb_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3905_FE_OFN18873_FE_OFN1125_u_soc_data_we (
-	.A(FE_OFN18873_FE_OFN1125_u_soc_data_we),
-	.X(FE_PDN3905_FE_OFN18873_FE_OFN1125_u_soc_data_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3904_FE_OFN18671_u_soc_data_wdata_11 (
-	.A(FE_OFN18671_u_soc_data_wdata_11),
-	.X(FE_PDN3904_FE_OFN18671_u_soc_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_PDC3903_FE_OFN214_u_soc_data_wdata_17 (
-	.A(FE_OFN214_u_soc_data_wdata_17),
-	.X(FE_PDN3903_FE_OFN214_u_soc_data_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3902_io_in_27 (
-	.A(io_in[27]),
-	.X(FE_PDN3902_io_in_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3901_io_in_29 (
-	.A(io_in[29]),
-	.X(FE_PDN3901_io_in_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3900_logic_0_66_net (
-	.A(logic_0_66_net),
-	.X(FE_PDN3900_logic_0_66_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3899_FE_OFN172_u_soc_data_wdata_31 (
-	.A(FE_OFN172_u_soc_data_wdata_31),
-	.X(FE_PDN3899_FE_OFN172_u_soc_data_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3898_FE_OFN19599_FE_OFN19212_FE_OFN19103_n (
-	.A(FE_OFN19599_FE_OFN19212_FE_OFN19103_n),
-	.X(FE_PDN3898_FE_OFN19599_FE_OFN19212_FE_OFN19103_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3897_FE_OFN19597_FE_OFN19310_FE_OFN19076_n (
-	.A(FE_OFN19597_FE_OFN19310_FE_OFN19076_n),
-	.X(FE_PDN3897_FE_OFN19597_FE_OFN19310_FE_OFN19076_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3896_FE_OFN19612_FE_OFN19522_n (
-	.A(FE_OFN19612_FE_OFN19522_n),
-	.X(FE_PDN3896_FE_OFN19612_FE_OFN19522_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3895_FE_OFN19510_n (
-	.A(FE_OFN19510_n),
-	.X(FE_PDN3895_FE_OFN19510_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3894_FE_OFN19600_FE_OFN19523_n (
-	.A(FE_OFN19600_FE_OFN19523_n),
-	.X(FE_PDN3894_FE_OFN19600_FE_OFN19523_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3893_FE_OFN19610_FE_OFN19514_n (
-	.A(FE_OFN19610_FE_OFN19514_n),
-	.X(FE_PDN3893_FE_OFN19610_FE_OFN19514_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3892_FE_OFN18779_FE_OFN868_logic_0_54_net (
-	.A(FE_OFN18779_FE_OFN868_logic_0_54_net),
-	.X(FE_PDN3892_FE_OFN18779_FE_OFN868_logic_0_54_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3891_FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26 (
-	.A(FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26),
-	.X(FE_PDN3891_FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3890_FE_OFN569_u_soc_instr_wdata_7 (
-	.A(FE_OFN569_u_soc_instr_wdata_7),
-	.X(FE_PDN3890_FE_OFN569_u_soc_instr_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3889_FE_OFN19604_FE_OFN19513_n (
-	.A(FE_OFN19604_FE_OFN19513_n),
-	.X(FE_PDN3889_FE_OFN19604_FE_OFN19513_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3888_logic_0_50_net (
-	.A(logic_0_50_net),
-	.X(FE_PDN3888_logic_0_50_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3887_io_in_26 (
-	.A(io_in[26]),
-	.X(FE_PDN3887_io_in_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3886_FE_OFN19594_FE_OFN19517_n (
-	.A(FE_OFN19594_FE_OFN19517_n),
-	.X(FE_PDN3886_FE_OFN19594_FE_OFN19517_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4077_FE_OFN19515_n (
-	.A(FE_OFN19515_n),
-	.X(FE_PDN19781_FE_OFN19515_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3885_FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4 (
-	.A(FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4),
-	.X(FE_PDN3885_FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3884_n_17984 (
-	.A(n_17984),
-	.X(FE_PDN3884_n_17984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_PDC3883_FE_OFN576_u_soc_instr_wdata_6 (
-	.A(FE_OFN576_u_soc_instr_wdata_6),
-	.X(FE_PDN3883_FE_OFN576_u_soc_instr_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_PDC3882_n_17985 (
-	.A(n_17985),
-	.X(FE_PDN3882_n_17985), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3881_FE_OFN1127_u_soc_data_we (
-	.A(FE_OFN1127_u_soc_data_we),
-	.X(FE_PDN3881_FE_OFN1127_u_soc_data_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3880_FE_OFN19519_n (
-	.A(FE_OFN19519_n),
-	.X(FE_PDN3880_FE_OFN19519_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3879_FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0 (
-	.A(FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0),
-	.X(FE_PDN3879_FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3878_FE_OFN19506_n (
-	.A(FE_OFN19506_n),
-	.X(FE_PDN3878_FE_OFN19506_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3877_logic_0_71_net (
-	.A(logic_0_71_net),
-	.X(FE_PDN3877_logic_0_71_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC3876_logic_0_52_net (
-	.A(logic_0_52_net),
-	.X(FE_PDN3876_logic_0_52_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3875_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n (
-	.A(FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n),
-	.X(FE_PDN3875_FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_PDC3874_FE_OFN701_u_soc_u_tcam_rdata_5 (
-	.A(FE_OFN701_u_soc_u_tcam_rdata_5),
-	.X(FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_PDC4076_logic_0_56_net (
-	.A(logic_0_56_net),
-	.X(FE_PDN19780_logic_0_56_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC4075_FE_OFN19516_n (
-	.A(FE_OFN19516_n),
-	.X(FE_PDN19779_FE_OFN19516_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_PDC3872_FE_OFN1249_u_soc_data_wdata_22 (
-	.A(FE_OFN1249_u_soc_data_wdata_22),
-	.X(FE_PDN3872_FE_OFN1249_u_soc_data_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3871_logic_0_63_net (
-	.A(logic_0_63_net),
-	.X(FE_PDN3871_logic_0_63_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3870_logic_0_48_net (
-	.A(logic_0_48_net),
-	.X(FE_PDN3870_logic_0_48_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_PDC3869_FE_OFN19281_FE_OFN19059_n (
-	.A(FE_OFN19281_FE_OFN19059_n),
-	.X(FE_PDN3869_FE_OFN19281_FE_OFN19059_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_PDC3868_FE_OFN19608_FE_OFN18789_FE_OFN18004_n (
-	.A(FE_OFN19608_FE_OFN18789_FE_OFN18004_n),
-	.X(FE_PDN3868_FE_OFN19608_FE_OFN18789_FE_OFN18004_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_89 (
-	.DIODE(FE_PSN4553_u_soc_data_addr_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_88 (
-	.DIODE(FE_OFN1281_u_soc_instr_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_87 (
-	.DIODE(rx), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_86 (
-	.DIODE(FE_OFN451_u_soc_instr_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_85 (
-	.DIODE(FE_OFN506_u_soc_instr_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_84 (
-	.DIODE(FE_OFN19317_u_soc_data_addr_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_83 (
-	.DIODE(io_in[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_82 (
-	.DIODE(io_in[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_81 (
-	.DIODE(io_in[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_80 (
-	.DIODE(io_in[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_79 (
-	.DIODE(io_in[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_78 (
-	.DIODE(io_in[25]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_77 (
-	.DIODE(FE_PHN4711_io_in_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_76 (
-	.DIODE(FE_PHN4711_io_in_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_75 (
-	.DIODE(FE_PHN4711_io_in_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_74 (
-	.DIODE(FE_PHN4711_io_in_33), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_73 (
-	.DIODE(io_in[32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_72 (
-	.DIODE(io_in[32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_71 (
-	.DIODE(io_in[32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_70 (
-	.DIODE(io_in[32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_69 (
-	.DIODE(io_in[32]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_68 (
-	.DIODE(FE_OFN170_u_soc_data_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_67 (
-	.DIODE(FE_OFN293_u_soc_u_dccm_rdata1_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_66 (
-	.DIODE(FE_OFN197_u_soc_data_wdata_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_65 (
-	.DIODE(FE_OFN309_u_soc_u_dccm_rdata1_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_64 (
-	.DIODE(FE_OFN610_u_soc_u_iccm_rdata1_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_63 (
-	.DIODE(FE_OFN612_u_soc_u_iccm_rdata1_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_62 (
-	.DIODE(FE_OFN18721_FE_OFN1241_u_soc_data_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_61 (
-	.DIODE(FE_OFN299_u_soc_u_dccm_rdata1_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_60 (
-	.DIODE(FE_OFN320_u_soc_u_dccm_rdata1_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_59 (
-	.DIODE(FE_OFN310_u_soc_u_dccm_rdata1_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_58 (
-	.DIODE(FE_OFN598_u_soc_u_iccm_rdata1_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_57 (
-	.DIODE(FE_OFN305_u_soc_u_dccm_rdata1_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_56 (
-	.DIODE(FE_OFN595_u_soc_u_iccm_rdata1_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_55 (
-	.DIODE(FE_OFN205_u_soc_data_wdata_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_54 (
-	.DIODE(FE_PDN3891_FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_53 (
-	.DIODE(FE_OFN302_u_soc_u_dccm_rdata1_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_52 (
-	.DIODE(FE_OFN313_u_soc_u_dccm_rdata1_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_51 (
-	.DIODE(FE_OFN307_u_soc_u_dccm_rdata1_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_50 (
-	.DIODE(FE_OFN303_u_soc_u_dccm_rdata1_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_49 (
-	.DIODE(FE_OFN297_u_soc_u_dccm_rdata1_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_48 (
-	.DIODE(FE_OFN301_u_soc_u_dccm_rdata1_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_47 (
-	.DIODE(FE_OFN300_u_soc_u_dccm_rdata1_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_46 (
-	.DIODE(FE_OFN304_u_soc_u_dccm_rdata1_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_45 (
-	.DIODE(FE_OFN312_u_soc_u_dccm_rdata1_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_44 (
-	.DIODE(FE_OFN306_u_soc_u_dccm_rdata1_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_43 (
-	.DIODE(FE_OFN314_u_soc_u_dccm_rdata1_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_42 (
-	.DIODE(FE_OFN592_u_soc_u_iccm_rdata1_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_41 (
-	.DIODE(FE_OFN311_u_soc_u_dccm_rdata1_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_40 (
-	.DIODE(FE_OFN590_u_soc_u_iccm_rdata1_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_39 (
-	.DIODE(FE_OFN308_u_soc_u_dccm_rdata1_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_38 (
-	.DIODE(FE_OFN19572_FE_OFN19332_FE_OFN19262_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_37 (
-	.DIODE(logic_0_54_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_36 (
-	.DIODE(FE_OFN315_u_soc_u_dccm_rdata1_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_35 (
-	.DIODE(FE_OFN1737_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_34 (
-	.DIODE(FE_OFN593_u_soc_u_iccm_rdata1_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_33 (
-	.DIODE(FE_OFN317_u_soc_u_dccm_rdata1_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_32 (
-	.DIODE(FE_OFN588_u_soc_u_iccm_rdata1_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_31 (
-	.DIODE(FE_OFN319_u_soc_u_dccm_rdata1_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_30 (
-	.DIODE(FE_OFN1126_u_soc_data_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_29 (
-	.DIODE(FE_OFN19128_u_soc_u_iccm_rdata4_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_28 (
-	.DIODE(FE_OFN19122_u_soc_u_dccm_rdata4_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_27 (
-	.DIODE(FE_OFN19458_u_soc_u_iccm_rdata4_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_26 (
-	.DIODE(FE_OFN19130_u_soc_u_iccm_rdata4_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_25 (
-	.DIODE(FE_OFN19113_u_soc_u_dccm_rdata4_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_24 (
-	.DIODE(FE_OFN19435_u_soc_u_dccm_rdata4_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_23 (
-	.DIODE(FE_OFN19422_u_soc_u_dccm_rdata4_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_22 (
-	.DIODE(FE_OFN19115_u_soc_u_dccm_rdata4_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_21 (
-	.DIODE(FE_OFN19124_u_soc_u_dccm_rdata4_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_20 (
-	.DIODE(FE_OFN19163_u_soc_u_dccm_rdata4_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_19 (
-	.DIODE(FE_OFN18967_u_soc_u_iccm_rdata4_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_18 (
-	.DIODE(FE_OFN19165_u_soc_u_iccm_rdata4_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_17 (
-	.DIODE(FE_OFN19462_u_soc_u_iccm_rdata4_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_16 (
-	.DIODE(FE_OFN19319_u_soc_data_addr_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_15 (
-	.DIODE(n_2349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_14 (
-	.DIODE(FE_OFN19489_u_soc_u_dccm_rdata4_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_13 (
-	.DIODE(n_2347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_12 (
-	.DIODE(n_10924), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_11 (
-	.DIODE(n_10926), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_10 (
-	.DIODE(n_10925), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_9 (
-	.DIODE(FE_PDN3931_u_soc_u_dccm_rdata2_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_8 (
-	.DIODE(n_10927), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_7 (
-	.DIODE(FE_PDN3924_u_soc_u_dccm_rdata2_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_6 (
-	.DIODE(FE_OFN439_u_soc_instr_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_5 (
-	.DIODE(FE_PDN3939_u_soc_u_dccm_rdata2_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_4 (
-	.DIODE(FE_PDN3959_u_soc_u_dccm_rdata2_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_3 (
-	.DIODE(FE_PDN3918_u_soc_u_dccm_rdata4_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_2 (
-	.DIODE(FE_PDN3940_u_soc_u_dccm_rdata2_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__diode_2 DIODE_1 (
-	.DIODE(FE_PDN4046_u_soc_u_dccm_rdata4_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4074_FE_OFN19480_FE_OFN19271_n (
-	.A(FE_OFN19631_FE_OFN19480_FE_OFN19271_n),
-	.X(FE_OFN19778_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4073_n (
-	.A(FE_OFN19737_n),
-	.X(FE_OFN19777_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4072_n (
-	.A(FE_OFN19741_n),
-	.X(FE_OFN19776_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4071_n (
-	.A(FE_OFN19743_n),
-	.X(FE_OFN19775_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4070_FE_OFN19486_FE_OFN19265_n (
-	.A(FE_OFN19633_FE_OFN19486_FE_OFN19265_n),
-	.X(FE_OFN19774_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4069_n (
-	.A(FE_OFN19733_n),
-	.X(FE_OFN19773_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4068_n (
-	.A(FE_OFN19735_n),
-	.X(FE_OFN19772_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4067_n (
-	.A(FE_OFN19739_n),
-	.X(FE_OFN19771_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4066_n (
-	.A(FE_OFN19745_n),
-	.X(FE_OFN19770_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4065_FE_OFN19472_FE_OFN19277_n (
-	.A(FE_OFN19625_FE_OFN19472_FE_OFN19277_n),
-	.X(FE_OFN19769_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4064_FE_OFN19469_FE_OFN19266_n (
-	.A(FE_OFN19627_FE_OFN19469_FE_OFN19266_n),
-	.X(FE_OFN19768_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4063_n (
-	.A(FE_OFN19747_n),
-	.X(FE_OFN19767_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4062_n (
-	.A(FE_OFN19749_n),
-	.X(FE_OFN19766_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4061_u_soc_u_iccm_rdata3_26 (
-	.A(u_soc_u_iccm_rdata3[26]),
-	.X(FE_OFN19765_u_soc_u_iccm_rdata3_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4060_FE_OFN19332_FE_OFN19262_n (
-	.A(FE_OFN19576_FE_OFN19332_FE_OFN19262_n),
-	.X(FE_OFN19764_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4059_FE_OFN3537_n (
-	.A(FE_OFN19621_FE_OFN3537_n),
-	.X(FE_OFN19763_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4058_FE_OFN3537_n (
-	.A(FE_OFN19621_FE_OFN3537_n),
-	.X(FE_OFN19762_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4057_FE_OFN3537_n (
-	.A(FE_OFN19621_FE_OFN3537_n),
-	.X(FE_OFN19761_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4056_FE_OFN19332_FE_OFN19262_n (
-	.A(FE_OFN19573_FE_OFN19332_FE_OFN19262_n),
-	.X(FE_OFN19760_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4055_FE_OFN19154_n (
-	.A(FE_OFN19477_FE_OFN19154_n),
-	.X(FE_OFN19759_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4054_FE_OFN19141_n (
-	.A(FE_OFN19478_FE_OFN19141_n),
-	.X(FE_OFN19758_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4053_FE_OFN19161_n (
-	.A(FE_OFN19246_FE_OFN19161_n),
-	.X(FE_OFN19757_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4052_FE_OFN19156_n (
-	.A(FE_OFN19247_FE_OFN19156_n),
-	.X(FE_OFN19756_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4051_n (
-	.A(FE_OFN19527_n),
-	.X(FE_OFN19755_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4050_n (
-	.A(FE_OFN19531_n),
-	.X(FE_OFN19754_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4049_FE_OFN19131_n (
-	.A(FE_OFN19243_FE_OFN19131_n),
-	.X(FE_OFN19753_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4048_n (
-	.A(FE_OFN19529_n),
-	.X(FE_OFN19752_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4047_n (
-	.A(FE_OFN19526_n),
-	.X(FE_OFN19751_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4046_n (
-	.A(FE_OFN19530_n),
-	.X(FE_OFN19750_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC4045_FE_OFN19268_n (
-	.A(FE_OFN19748_n),
-	.X(FE_OFN19749_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC4044_FE_OFN19268_n (
-	.A(FE_OFN19485_FE_OFN19268_n),
-	.X(FE_OFN19748_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC4043_FE_OFN19267_n (
-	.A(FE_OFN19746_n),
-	.X(FE_OFN19747_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC4042_FE_OFN19267_n (
-	.A(FE_OFN19450_FE_OFN19267_n),
-	.X(FE_OFN19746_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC4041_FE_OFN19273_n (
-	.A(FE_OFN19744_n),
-	.X(FE_OFN19745_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC4040_FE_OFN19273_n (
-	.A(FE_OFN19484_FE_OFN19273_n),
-	.X(FE_OFN19744_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC4039_FE_OFN19276_n (
-	.A(FE_OFN19742_n),
-	.X(FE_OFN19743_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC4038_FE_OFN19276_n (
-	.A(FE_OFN19479_FE_OFN19276_n),
-	.X(FE_OFN19742_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC4037_FE_OFN19275_n (
-	.A(FE_OFN19740_n),
-	.X(FE_OFN19741_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC4036_FE_OFN19275_n (
-	.A(FE_OFN19473_FE_OFN19275_n),
-	.X(FE_OFN19740_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC4035_FE_OFN19270_n (
-	.A(FE_OFN19738_n),
-	.X(FE_OFN19739_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC4034_FE_OFN19270_n (
-	.A(FE_OFN19451_FE_OFN19270_n),
-	.X(FE_OFN19738_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC4033_FE_OFN19272_n (
-	.A(FE_OFN19736_n),
-	.X(FE_OFN19737_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC4032_FE_OFN19272_n (
-	.A(FE_OFN19475_FE_OFN19272_n),
-	.X(FE_OFN19736_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC4031_FE_OFN19269_n (
-	.A(FE_OFN19734_n),
-	.X(FE_OFN19735_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC4030_FE_OFN19269_n (
-	.A(FE_OFN19476_FE_OFN19269_n),
-	.X(FE_OFN19734_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC4029_FE_OFN19274_n (
-	.A(FE_OFN19732_n),
-	.X(FE_OFN19733_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC4028_FE_OFN19274_n (
-	.A(FE_OFN19474_FE_OFN19274_n),
-	.X(FE_OFN19732_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4027_FE_OFN1702_n (
-	.A(FE_OFN18754_FE_OFN1702_n),
-	.X(FE_OFN19731_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC4026_FE_OFN537_u_soc_instr_wdata_12 (
-	.A(FE_OFN537_u_soc_instr_wdata_12),
-	.X(FE_OFN19730_FE_OFN537_u_soc_instr_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4025_n_17982 (
-	.A(n_17982),
-	.X(FE_OFN19729_n_17982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4024_n_2043 (
-	.A(n_2043),
-	.X(FE_OFN19728_n_2043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4023_FE_OFN18696_u_soc_data_wdata_13 (
-	.A(FE_OFN18696_u_soc_data_wdata_13),
-	.X(FE_OFN19727_FE_OFN18696_u_soc_data_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4022_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net (
-	.A(FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net),
-	.X(FE_OFN19726_FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4021_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net (
-	.A(FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net),
-	.X(FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4020_FE_OFN19436_FE_OFN18910_FE_OFN17986_n (
-	.A(FE_OFN19436_FE_OFN18910_FE_OFN17986_n),
-	.X(FE_OFN19724_FE_OFN19436_FE_OFN18910_FE_OFN17986_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4019_FE_OFN19444_FE_OFN18998_FE_OFN17988_n (
-	.A(FE_OFN19444_FE_OFN18998_FE_OFN17988_n),
-	.X(FE_OFN19723_FE_OFN19444_FE_OFN18998_FE_OFN17988_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4018_FE_OFN19430_FE_OFN18907_FE_OFN17999_n (
-	.A(FE_OFN19721_FE_OFN19430_FE_OFN18907_FE_OFN17999_n),
-	.X(FE_OFN19722_FE_OFN19430_FE_OFN18907_FE_OFN17999_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC4017_FE_OFN19430_FE_OFN18907_FE_OFN17999_n (
-	.A(FE_OFN19430_FE_OFN18907_FE_OFN17999_n),
-	.X(FE_OFN19721_FE_OFN19430_FE_OFN18907_FE_OFN17999_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4016_u_soc_u_iccm_rdata4_30 (
-	.A(u_soc_u_iccm_rdata4[30]),
-	.X(FE_OFN19720_u_soc_u_iccm_rdata4_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4015_u_soc_u_dccm_rdata4_2 (
-	.A(FE_PDN4604_u_soc_u_dccm_rdata4_2),
-	.X(FE_OFN19719_u_soc_u_dccm_rdata4_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4014_FE_OFN237_u_soc_data_wdata_7 (
-	.A(FE_OFN237_u_soc_data_wdata_7),
-	.X(FE_OFN19718_FE_OFN237_u_soc_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4013_u_soc_u_iccm_rdata4_27 (
-	.A(u_soc_u_iccm_rdata4[27]),
-	.X(FE_OFN19717_u_soc_u_iccm_rdata4_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4012_u_soc_u_iccm_rdata4_25 (
-	.A(u_soc_u_iccm_rdata4[25]),
-	.X(FE_OFN19716_u_soc_u_iccm_rdata4_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4011_FE_OFN19461_FE_OFN18984_FE_OFN17987_n (
-	.A(FE_OFN19461_FE_OFN18984_FE_OFN17987_n),
-	.X(FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4010_FE_OFN19449_FE_OFN18975_FE_OFN17985_n (
-	.A(FE_OFN19449_FE_OFN18975_FE_OFN17985_n),
-	.X(FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4009_u_soc_data_wdata_28 (
-	.A(u_soc_data_wdata[28]),
-	.X(FE_OFN19713_u_soc_data_wdata_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4008_u_soc_u_dccm_rdata4_4 (
-	.A(FE_PDN4046_u_soc_u_dccm_rdata4_4),
-	.X(FE_OFN19712_u_soc_u_dccm_rdata4_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4007_FE_OFN19245_FE_OFN19152_n (
-	.A(FE_OFN19245_FE_OFN19152_n),
-	.X(FE_OFN19711_FE_OFN19245_FE_OFN19152_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4006_u_soc_instr_addr_0 (
-	.A(u_soc_instr_addr[0]),
-	.X(FE_OFN19710_u_soc_instr_addr_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4005_u_soc_u_dccm_rdata3_4 (
-	.A(FE_PDN4034_u_soc_u_dccm_rdata3_4),
-	.X(FE_OFN19709_u_soc_u_dccm_rdata3_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4004_u_soc_u_dccm_rdata3_3 (
-	.A(FE_PDN4241_u_soc_u_dccm_rdata3_3),
-	.X(FE_OFN19708_u_soc_u_dccm_rdata3_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4003_FE_OFN19244_FE_OFN19155_n (
-	.A(FE_OFN19244_FE_OFN19155_n),
-	.X(FE_OFN19707_FE_OFN19244_FE_OFN19155_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4002_FE_OFN19393_FE_OFN18782_FE_OFN17992_n (
-	.A(FE_OFN19393_FE_OFN18782_FE_OFN17992_n),
-	.X(FE_OFN19706_FE_OFN19393_FE_OFN18782_FE_OFN17992_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC4001_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
-	.A(FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
-	.X(FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC4000_u_soc_u_dccm_rdata3_0 (
-	.A(FE_PDN4096_u_soc_u_dccm_rdata3_0),
-	.X(FE_OFN19704_u_soc_u_dccm_rdata3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3999_u_soc_u_iccm_rdata4_18 (
-	.A(u_soc_u_iccm_rdata4[18]),
-	.X(FE_OFN19703_u_soc_u_iccm_rdata4_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3998_FE_OFN19406_FE_OFN18846_FE_OFN17994_n (
-	.A(FE_OFN19406_FE_OFN18846_FE_OFN17994_n),
-	.X(FE_OFN19702_FE_OFN19406_FE_OFN18846_FE_OFN17994_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3997_u_soc_u_dccm_rdata4_10 (
-	.A(FE_PDN4609_u_soc_u_dccm_rdata4_10),
-	.X(FE_OFN19701_u_soc_u_dccm_rdata4_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3996_u_soc_u_dccm_rdata3_5 (
-	.A(FE_PDN4090_u_soc_u_dccm_rdata3_5),
-	.X(FE_OFN19700_u_soc_u_dccm_rdata3_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3995_u_soc_u_iccm_rdata4_28 (
-	.A(FE_PDN4032_u_soc_u_iccm_rdata4_28),
-	.X(FE_OFN19699_u_soc_u_iccm_rdata4_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3994_FE_OFN19528_n (
-	.A(FE_OFN19528_n),
-	.X(FE_OFN19698_FE_OFN19528_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3993_u_soc_u_dccm_rdata4_6 (
-	.A(u_soc_u_dccm_rdata4[6]),
-	.X(FE_OFN19697_u_soc_u_dccm_rdata4_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3992_u_soc_u_dccm_rdata4_11 (
-	.A(u_soc_u_dccm_rdata4[11]),
-	.X(FE_OFN19696_u_soc_u_dccm_rdata4_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3991_u_soc_u_iccm_rdata4_22 (
-	.A(u_soc_u_iccm_rdata4[22]),
-	.X(FE_OFN19695_u_soc_u_iccm_rdata4_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3990_u_soc_u_dccm_rdata4_7 (
-	.A(u_soc_u_dccm_rdata4[7]),
-	.X(FE_OFN19694_u_soc_u_dccm_rdata4_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3989_u_soc_u_dccm_rdata2_1 (
-	.A(u_soc_u_dccm_rdata2[1]),
-	.X(FE_OFN19693_u_soc_u_dccm_rdata2_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3988_u_soc_u_dccm_rdata4_0 (
-	.A(FE_PDN4051_u_soc_u_dccm_rdata4_0),
-	.X(FE_OFN19692_u_soc_u_dccm_rdata4_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3987_FE_OFN1281_u_soc_instr_wdata_2 (
-	.A(FE_OFN1281_u_soc_instr_wdata_2),
-	.X(FE_OFN19691_FE_OFN1281_u_soc_instr_wdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3986_u_soc_u_dccm_rdata3_1 (
-	.A(u_soc_u_dccm_rdata3[1]),
-	.X(FE_OFN19690_u_soc_u_dccm_rdata3_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3985_u_soc_u_iccm_rdata3_30 (
-	.A(u_soc_u_iccm_rdata3[30]),
-	.X(FE_OFN19689_u_soc_u_iccm_rdata3_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3984_u_soc_u_iccm_rdata3_21 (
-	.A(u_soc_u_iccm_rdata3[21]),
-	.X(FE_OFN19688_u_soc_u_iccm_rdata3_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3983_u_soc_u_iccm_rdata3_17 (
-	.A(u_soc_u_iccm_rdata3[17]),
-	.X(FE_OFN19687_u_soc_u_iccm_rdata3_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3982_FE_OFN19532_n (
-	.A(FE_OFN19532_n),
-	.X(FE_OFN19686_FE_OFN19532_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3981_u_soc_u_dccm_rdata4_13 (
-	.A(FE_PDN4061_u_soc_u_dccm_rdata4_13),
-	.X(FE_OFN19685_u_soc_u_dccm_rdata4_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3980_u_soc_u_dccm_rdata4_5 (
-	.A(FE_PDN19809_u_soc_u_dccm_rdata4_5),
-	.X(FE_OFN19684_u_soc_u_dccm_rdata4_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3979_u_soc_u_iccm_rdata2_26 (
-	.A(u_soc_u_iccm_rdata2[26]),
-	.X(FE_OFN19683_u_soc_u_iccm_rdata2_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3978_u_soc_u_iccm_rdata4_26 (
-	.A(u_soc_u_iccm_rdata4[26]),
-	.X(FE_OFN19682_u_soc_u_iccm_rdata4_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3977_FE_OFN19242_FE_OFN19168_n (
-	.A(FE_OFN19242_FE_OFN19168_n),
-	.X(FE_OFN19681_FE_OFN19242_FE_OFN19168_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3976_n_5953 (
-	.A(n_5953),
-	.X(FE_OFN19680_n_5953), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3975_u_soc_u_iccm_rdata4_15 (
-	.A(FE_PDN4053_u_soc_u_iccm_rdata4_15),
-	.X(FE_OFN19679_u_soc_u_iccm_rdata4_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3974_u_soc_u_iccm_rdata3_29 (
-	.A(FE_PDN4243_u_soc_u_iccm_rdata3_29),
-	.X(FE_OFN19678_u_soc_u_iccm_rdata3_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3973_u_soc_u_dccm_rdata4_19 (
-	.A(FE_PDN4605_u_soc_u_dccm_rdata4_19),
-	.X(FE_OFN19677_u_soc_u_dccm_rdata4_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3972_u_soc_u_iccm_rdata4_11 (
-	.A(FE_PDN4036_u_soc_u_iccm_rdata4_11),
-	.X(FE_OFN19676_u_soc_u_iccm_rdata4_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3971_u_soc_u_iccm_rdata4_5 (
-	.A(FE_PDN3977_u_soc_u_iccm_rdata4_5),
-	.X(FE_OFN19675_u_soc_u_iccm_rdata4_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3970_u_soc_u_iccm_rdata4_7 (
-	.A(u_soc_u_iccm_rdata4[7]),
-	.X(FE_OFN19674_u_soc_u_iccm_rdata4_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3969_u_soc_u_iccm_rdata4_8 (
-	.A(FE_PDN3911_u_soc_u_iccm_rdata4_8),
-	.X(FE_OFN19673_u_soc_u_iccm_rdata4_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3968_u_soc_u_iccm_rdata4_9 (
-	.A(FE_PDN4019_u_soc_u_iccm_rdata4_9),
-	.X(FE_OFN19672_u_soc_u_iccm_rdata4_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3967_FE_OFN19428_FE_OFN18844_FE_OFN17995_n (
-	.A(FE_OFN19428_FE_OFN18844_FE_OFN17995_n),
-	.X(FE_OFN19671_FE_OFN19428_FE_OFN18844_FE_OFN17995_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3966_u_soc_u_dccm_rdata4_18 (
-	.A(u_soc_u_dccm_rdata4[18]),
-	.X(FE_OFN19670_u_soc_u_dccm_rdata4_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3965_u_soc_u_iccm_rdata2_29 (
-	.A(FE_PDN3909_u_soc_u_iccm_rdata2_29),
-	.X(FE_OFN19669_u_soc_u_iccm_rdata2_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3964_u_soc_u_dccm_rdata4_24 (
-	.A(FE_PDN3935_u_soc_u_dccm_rdata4_24),
-	.X(FE_OFN19668_u_soc_u_dccm_rdata4_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3963_FE_OFN19439_FE_OFN19258_n (
-	.A(FE_OFN19439_FE_OFN19258_n),
-	.X(FE_OFN19667_FE_OFN19439_FE_OFN19258_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3962_u_soc_u_dccm_rdata3_15 (
-	.A(u_soc_u_dccm_rdata3[15]),
-	.X(FE_OFN19666_u_soc_u_dccm_rdata3_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3961_FE_OFN457_u_soc_instr_wdata_24 (
-	.A(FE_OFN457_u_soc_instr_wdata_24),
-	.X(FE_OFN19665_FE_OFN457_u_soc_instr_wdata_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3960_u_soc_u_iccm_rdata2_15 (
-	.A(FE_PDN4060_u_soc_u_iccm_rdata2_15),
-	.X(FE_OFN19664_u_soc_u_iccm_rdata2_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3959_u_soc_u_iccm_rdata3_1 (
-	.A(u_soc_u_iccm_rdata3[1]),
-	.X(FE_OFN19663_u_soc_u_iccm_rdata3_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3958_u_soc_u_dccm_rdata4_26 (
-	.A(FE_PDN4732_u_soc_u_dccm_rdata4_26),
-	.X(FE_OFN19662_u_soc_u_dccm_rdata4_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3957_u_soc_u_dccm_rdata4_20 (
-	.A(FE_PDN19856_u_soc_u_dccm_rdata4_20),
-	.X(FE_OFN19661_u_soc_u_dccm_rdata4_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3956_u_soc_u_dccm_rdata3_27 (
-	.A(u_soc_u_dccm_rdata3[27]),
-	.X(FE_OFN19660_u_soc_u_dccm_rdata3_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3955_u_soc_data_wdata_29 (
-	.A(u_soc_data_wdata[29]),
-	.X(FE_OFN19659_u_soc_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3954_u_soc_u_iccm_rdata2_21 (
-	.A(u_soc_u_iccm_rdata2[21]),
-	.X(FE_OFN19658_u_soc_u_iccm_rdata2_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3953_u_soc_u_iccm_csb3 (
-	.A(u_soc_u_iccm_csb3),
-	.X(FE_OFN19657_u_soc_u_iccm_csb3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3952_u_soc_u_dccm_rdata2_11 (
-	.A(FE_PDN3972_u_soc_u_dccm_rdata2_11),
-	.X(FE_OFN19656_u_soc_u_dccm_rdata2_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3951_u_soc_u_iccm_rdata3_26 (
-	.A(FE_OFN19765_u_soc_u_iccm_rdata3_26),
-	.X(FE_OFN19655_u_soc_u_iccm_rdata3_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3950_u_soc_data_csb (
-	.A(u_soc_data_csb),
-	.X(FE_OFN19654_u_soc_data_csb), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3949_u_soc_u_dccm_rdata3_28 (
-	.A(u_soc_u_dccm_rdata3[28]),
-	.X(FE_OFN19653_u_soc_u_dccm_rdata3_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3948_u_soc_u_dccm_rdata3_30 (
-	.A(FE_PDN4244_u_soc_u_dccm_rdata3_30),
-	.X(FE_OFN19652_u_soc_u_dccm_rdata3_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3947_u_soc_u_iccm_rdata4_0 (
-	.A(u_soc_u_iccm_rdata4[0]),
-	.X(FE_OFN19651_u_soc_u_iccm_rdata4_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3946_u_soc_xbar_to_dccm_a_address__10 (
-	.A(\u_soc_xbar_to_dccm[a_address] [10]),
-	.X(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3945_FE_OFN19388_FE_OFN18804_FE_OFN17997_n (
-	.A(FE_OFN19388_FE_OFN18804_FE_OFN17997_n),
-	.X(FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3944_u_soc_u_iccm_rdata3_10 (
-	.A(u_soc_u_iccm_rdata3[10]),
-	.X(FE_OFN19648_u_soc_u_iccm_rdata3_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3943_FE_OFN19209_FE_OFN18796_FE_OFN18186_n (
-	.A(FE_OFN19209_FE_OFN18796_FE_OFN18186_n),
-	.X(FE_OFN19647_FE_OFN19209_FE_OFN18796_FE_OFN18186_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3942_u_soc_u_dccm_rdata3_25 (
-	.A(u_soc_u_dccm_rdata3[25]),
-	.X(FE_OFN19646_u_soc_u_dccm_rdata3_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3941_u_soc_u_dccm_rdata4_25 (
-	.A(u_soc_u_dccm_rdata4[25]),
-	.X(FE_OFN19645_u_soc_u_dccm_rdata4_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3940_u_soc_u_dccm_rdata3_14 (
-	.A(u_soc_u_dccm_rdata3[14]),
-	.X(FE_OFN19644_u_soc_u_dccm_rdata3_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3939_u_soc_u_iccm_rdata2_30 (
-	.A(u_soc_u_iccm_rdata2[30]),
-	.X(FE_OFN19643_u_soc_u_iccm_rdata2_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3938_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7 (
-	.A(FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7),
-	.X(FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3937_u_soc_u_iccm_rdata3_24 (
-	.A(u_soc_u_iccm_rdata3[24]),
-	.X(FE_OFN19641_u_soc_u_iccm_rdata3_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3936_u_soc_u_dccm_rdata2_23 (
-	.A(FE_PDN3976_u_soc_u_dccm_rdata2_23),
-	.X(FE_OFN19640_u_soc_u_dccm_rdata2_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3935_u_soc_u_iccm_rdata4_14 (
-	.A(FE_PDN4058_u_soc_u_iccm_rdata4_14),
-	.X(FE_OFN19639_u_soc_u_iccm_rdata4_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3934_u_soc_u_dccm_rdata2_8 (
-	.A(FE_PDN3985_u_soc_u_dccm_rdata2_8),
-	.X(FE_OFN19638_u_soc_u_dccm_rdata2_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3933_u_soc_u_iccm_rdata4_10 (
-	.A(u_soc_u_iccm_rdata4[10]),
-	.X(FE_OFN19637_u_soc_u_iccm_rdata4_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3867_FE_OFN18746_FE_OFN17984_n (
-	.A(FE_OFN19294_FE_OFN18746_FE_OFN17984_n),
-	.X(FE_OFN3867_FE_OFN18746_FE_OFN17984_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3932_u_soc_u_iccm_rdata2_0 (
-	.A(FE_PDN4028_u_soc_u_iccm_rdata2_0),
-	.X(FE_OFN19636_u_soc_u_iccm_rdata2_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3931_u_soc_u_dccm_rdata2_31 (
-	.A(FE_PDN3967_u_soc_u_dccm_rdata2_31),
-	.X(FE_OFN19635_u_soc_u_dccm_rdata2_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3866_FE_OFN18749_FE_OFN17983_n (
-	.A(FE_OFN19309_FE_OFN18749_FE_OFN17983_n),
-	.X(FE_OFN3866_FE_OFN18749_FE_OFN17983_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3865_n (
-	.A(FE_OFN19508_n),
-	.X(FE_OFN3865_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3930_u_soc_u_dccm_rdata3_13 (
-	.A(u_soc_u_dccm_rdata3[13]),
-	.X(FE_OFN19634_u_soc_u_dccm_rdata3_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3929_FE_OFN19486_FE_OFN19265_n (
-	.A(FE_OFN19632_FE_OFN19486_FE_OFN19265_n),
-	.X(FE_OFN19633_FE_OFN19486_FE_OFN19265_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC3928_FE_OFN19486_FE_OFN19265_n (
-	.A(FE_OFN19486_FE_OFN19265_n),
-	.X(FE_OFN19632_FE_OFN19486_FE_OFN19265_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3927_FE_OFN19480_FE_OFN19271_n (
-	.A(FE_OFN19630_FE_OFN19480_FE_OFN19271_n),
-	.X(FE_OFN19631_FE_OFN19480_FE_OFN19271_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC3926_FE_OFN19480_FE_OFN19271_n (
-	.A(FE_OFN19480_FE_OFN19271_n),
-	.X(FE_OFN19630_FE_OFN19480_FE_OFN19271_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3925_FE_OFN19367_FE_OFN3443_FE_OFN1709_n (
-	.A(FE_OFN19367_FE_OFN3443_FE_OFN1709_n),
-	.X(FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3924_u_soc_u_dccm_rdata3_18 (
-	.A(u_soc_u_dccm_rdata3[18]),
-	.X(FE_OFN19628_u_soc_u_dccm_rdata3_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3923_FE_OFN19469_FE_OFN19266_n (
-	.A(FE_OFN19626_FE_OFN19469_FE_OFN19266_n),
-	.X(FE_OFN19627_FE_OFN19469_FE_OFN19266_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC3922_FE_OFN19469_FE_OFN19266_n (
-	.A(FE_OFN19469_FE_OFN19266_n),
-	.X(FE_OFN19626_FE_OFN19469_FE_OFN19266_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3921_FE_OFN19472_FE_OFN19277_n (
-	.A(FE_OFN19624_FE_OFN19472_FE_OFN19277_n),
-	.X(FE_OFN19625_FE_OFN19472_FE_OFN19277_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC3920_FE_OFN19472_FE_OFN19277_n (
-	.A(FE_OFN19472_FE_OFN19277_n),
-	.X(FE_OFN19624_FE_OFN19472_FE_OFN19277_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3919_u_soc_u_top_u_core_rf_wdata_fwd_wb_28 (
-	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[28]),
-	.X(FE_OFN19623_u_soc_u_top_u_core_rf_wdata_fwd_wb_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3918_u_soc_u_dccm_rdata4_23 (
-	.A(FE_PDN4256_u_soc_u_dccm_rdata4_23),
-	.X(FE_OFN19622_u_soc_u_dccm_rdata4_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3917_FE_OFN3537_n (
-	.A(FE_OFN3537_n),
-	.X(FE_OFN19621_FE_OFN3537_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3916_FE_OFN19566_FE_OFN19260_n (
-	.A(FE_OFN19566_FE_OFN19260_n),
-	.X(FE_OFN19620_FE_OFN19566_FE_OFN19260_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3915_FE_OFN19324_FE_OFN19217_FE_OFN19098_n (
-	.A(FE_OFN19324_FE_OFN19217_FE_OFN19098_n),
-	.X(FE_OFN19619_FE_OFN19324_FE_OFN19217_FE_OFN19098_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3914_FE_OFN19207_FE_OFN19102_n (
-	.A(FE_OFN19207_FE_OFN19102_n),
-	.X(FE_OFN19618_FE_OFN19207_FE_OFN19102_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3913_FE_OFN19286_FE_OFN19061_n (
-	.A(FE_OFN19286_FE_OFN19061_n),
-	.X(FE_OFN19617_FE_OFN19286_FE_OFN19061_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3912_u_soc_instr_addr_4 (
-	.A(u_soc_instr_addr[4]),
-	.X(FE_OFN19616_u_soc_instr_addr_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3911_u_soc_instr_addr_5 (
-	.A(u_soc_instr_addr[5]),
-	.X(FE_OFN19615_u_soc_instr_addr_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3910_u_soc_instr_addr_3 (
-	.A(u_soc_instr_addr[3]),
-	.X(FE_OFN19614_u_soc_instr_addr_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3909_FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2 (
-	.A(FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2),
-	.X(FE_OFN19613_FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3908_FE_OFN19522_n (
-	.A(FE_OFN19522_n),
-	.X(FE_OFN19612_FE_OFN19522_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3907_FE_OFN19344_FE_OFN19205_FE_OFN19097_n (
-	.A(FE_OFN19344_FE_OFN19205_FE_OFN19097_n),
-	.X(FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3906_FE_OFN19514_n (
-	.A(FE_OFN19514_n),
-	.X(FE_OFN19610_FE_OFN19514_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3905_FE_OFN19216_FE_OFN19067_n (
-	.A(FE_OFN19216_FE_OFN19067_n),
-	.X(FE_OFN19609_FE_OFN19216_FE_OFN19067_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3904_FE_OFN18789_FE_OFN18004_n (
-	.A(FE_OFN18789_FE_OFN18004_n),
-	.X(FE_OFN19608_FE_OFN18789_FE_OFN18004_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3903_FE_OFN19282_FE_OFN19089_n (
-	.A(FE_OFN19282_FE_OFN19089_n),
-	.X(FE_OFN19607_FE_OFN19282_FE_OFN19089_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3902_u_soc_u_dccm_rdata2_27 (
-	.A(FE_PDN4008_u_soc_u_dccm_rdata2_27),
-	.X(FE_OFN19606_u_soc_u_dccm_rdata2_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3901_FE_OFN19509_n (
-	.A(FE_OFN19509_n),
-	.X(FE_OFN19605_FE_OFN19509_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3900_FE_OFN19513_n (
-	.A(FE_OFN19513_n),
-	.X(FE_OFN19604_FE_OFN19513_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3899_FE_OFN19512_n (
-	.A(FE_OFN19512_n),
-	.X(FE_OFN19603_FE_OFN19512_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3898_u_soc_instr_addr_2 (
-	.A(u_soc_instr_addr[2]),
-	.X(FE_OFN19602_u_soc_instr_addr_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3897_FE_OFN19202_FE_OFN18777_FE_OFN1689_n (
-	.A(FE_OFN19202_FE_OFN18777_FE_OFN1689_n),
-	.X(FE_OFN19601_FE_OFN19202_FE_OFN18777_FE_OFN1689_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3896_FE_OFN19523_n (
-	.A(FE_OFN19523_n),
-	.X(FE_OFN19600_FE_OFN19523_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3895_FE_OFN19212_FE_OFN19103_n (
-	.A(FE_OFN19212_FE_OFN19103_n),
-	.X(FE_OFN19599_FE_OFN19212_FE_OFN19103_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3894_FE_OFN19204_FE_OFN18791_FE_OFN1687_n (
-	.A(FE_OFN19204_FE_OFN18791_FE_OFN1687_n),
-	.X(FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3893_FE_OFN19310_FE_OFN19076_n (
-	.A(FE_OFN19310_FE_OFN19076_n),
-	.X(FE_OFN19597_FE_OFN19310_FE_OFN19076_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3892_FE_OFN19203_FE_OFN19077_n (
-	.A(FE_OFN19203_FE_OFN19077_n),
-	.X(FE_OFN19596_FE_OFN19203_FE_OFN19077_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3891_FE_OFN19195_FE_OFN19073_n (
-	.A(FE_OFN19195_FE_OFN19073_n),
-	.X(FE_OFN19595_FE_OFN19195_FE_OFN19073_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3890_FE_OFN19517_n (
-	.A(FE_OFN19517_n),
-	.X(FE_OFN19594_FE_OFN19517_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3889_u_soc_u_dccm_rdata2_19 (
-	.A(FE_PDN3939_u_soc_u_dccm_rdata2_19),
-	.X(FE_OFN19593_u_soc_u_dccm_rdata2_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3888_FE_OFN19511_n (
-	.A(FE_OFN19511_n),
-	.X(FE_OFN19592_FE_OFN19511_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3887_u_soc_u_top_u_core_rf_wdata_fwd_wb_21 (
-	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[21]),
-	.X(FE_OFN19591_u_soc_u_top_u_core_rf_wdata_fwd_wb_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3886_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
-	.A(FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2),
-	.X(FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3885_FE_OFN19192_FE_OFN19179_n (
-	.A(FE_OFN19192_FE_OFN19179_n),
-	.X(FE_OFN19589_FE_OFN19192_FE_OFN19179_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3884_FE_OFN19206_FE_OFN18750_FE_OFN1716_n (
-	.A(FE_OFN19206_FE_OFN18750_FE_OFN1716_n),
-	.X(FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3883_FE_OFN19210_FE_OFN19104_n (
-	.A(FE_OFN19210_FE_OFN19104_n),
-	.X(FE_OFN19587_FE_OFN19210_FE_OFN19104_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3882_FE_OFN19358_FE_OFN19213_FE_OFN19090_n (
-	.A(FE_OFN19358_FE_OFN19213_FE_OFN19090_n),
-	.X(FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3881_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7 (
-	.A(FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7),
-	.X(FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3880_u_soc_u_dccm_rdata2_18 (
-	.A(FE_PDN3973_u_soc_u_dccm_rdata2_18),
-	.X(FE_OFN19584_u_soc_u_dccm_rdata2_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3879_FE_OFN19398_FE_OFN19193_FE_OFN19182_n (
-	.A(FE_OFN19398_FE_OFN19193_FE_OFN19182_n),
-	.X(FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3878_FE_OFN19357_FE_OFN19215_FE_OFN19173_n (
-	.A(FE_OFN19357_FE_OFN19215_FE_OFN19173_n),
-	.X(FE_OFN19582_FE_OFN19357_FE_OFN19215_FE_OFN19173_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3877_FE_OFN19190_FE_OFN19180_n (
-	.A(FE_OFN19190_FE_OFN19180_n),
-	.X(FE_OFN19581_FE_OFN19190_FE_OFN19180_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3876_FE_OFN19194_FE_OFN18757_FE_OFN1682_n (
-	.A(FE_OFN19194_FE_OFN18757_FE_OFN1682_n),
-	.X(FE_OFN19580_FE_OFN19194_FE_OFN18757_FE_OFN1682_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3875_FE_OFN19283_FE_OFN19181_n (
-	.A(FE_OFN19283_FE_OFN19181_n),
-	.X(FE_OFN19579_FE_OFN19283_FE_OFN19181_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3874_FE_OFN19288_FE_OFN19191_FE_OFN19096_n (
-	.A(FE_OFN19288_FE_OFN19191_FE_OFN19096_n),
-	.X(FE_OFN19578_FE_OFN19288_FE_OFN19191_FE_OFN19096_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3873_FE_OFN19288_FE_OFN19191_FE_OFN19096_n (
-	.A(FE_OFN19288_FE_OFN19191_FE_OFN19096_n),
-	.X(FE_OFN19577_FE_OFN19288_FE_OFN19191_FE_OFN19096_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3872_FE_OFN19332_FE_OFN19262_n (
-	.A(FE_OFN19575_FE_OFN19332_FE_OFN19262_n),
-	.X(FE_OFN19576_FE_OFN19332_FE_OFN19262_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC3871_FE_OFN19332_FE_OFN19262_n (
-	.A(FE_OFN19574_FE_OFN19332_FE_OFN19262_n),
-	.Y(FE_OFN19575_FE_OFN19332_FE_OFN19262_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3870_FE_OFN19332_FE_OFN19262_n (
-	.A(FE_OFN19572_FE_OFN19332_FE_OFN19262_n),
-	.X(FE_OFN19574_FE_OFN19332_FE_OFN19262_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3869_FE_OFN19332_FE_OFN19262_n (
-	.A(FE_OFN19332_FE_OFN19262_n),
-	.X(FE_OFN19573_FE_OFN19332_FE_OFN19262_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3868_FE_OFN19332_FE_OFN19262_n (
-	.A(FE_OFN19332_FE_OFN19262_n),
-	.Y(FE_OFN19572_FE_OFN19332_FE_OFN19262_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3866_u_soc_u_top_u_core_instr_rdata_id_15 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[15]),
-	.X(FE_OCPN19570_u_soc_u_top_u_core_instr_rdata_id_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OCPC3865_u_soc_u_top_u_core_pc_set (
-	.A(FE_PSN4130_u_soc_u_top_u_core_pc_set),
-	.X(FE_OCPN19569_u_soc_u_top_u_core_pc_set), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_4 FE_RC_214_0 (
-	.A1(n_10337),
-	.A2(n_13492),
-	.B1_N(FE_RN_94_0),
-	.Y(n_10861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_213_0 (
-	.A1(FE_OFN18604_n_11479),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
-	.B1(FE_OFN18570_n_15858),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
-	.X(FE_RN_129_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 FE_RC_212_0 (
-	.A(FE_RN_129_0),
-	.B(n_12654),
-	.Y(n_12756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 FE_RC_211_0 (
-	.A1(FE_OFN18593_n_11626),
-	.A2(FE_PSN4160_n_13101),
-	.B1(n_11896),
-	.Y(n_13505), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_4 FE_RC_210_0 (
-	.A1(FE_PSN4788_n_11593),
-	.A2(u_soc_u_top_u_core_alu_operand_b_ex[0]),
-	.B1(n_13112),
-	.C1(n_13192),
-	.D1(n_13152),
-	.Y(n_13807), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 FE_RC_204_0 (
-	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [7]),
-	.B1(n_8934),
-	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [7]),
-	.C1(n_8868),
-	.C2(n_13347),
-	.Y(n_10162), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_203_0 (
-	.A1(FE_OFN18604_n_11479),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
-	.B1(FE_OFN18570_n_15858),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
-	.X(FE_RN_125_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 FE_RC_202_0 (
-	.A(FE_RN_125_0),
-	.B(n_12611),
-	.Y(n_12699), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 FE_RC_201_0 (
-	.A_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_81),
-	.B(FE_PSN4622_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193),
-	.Y(FE_RN_123_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_200_0 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_81),
-	.Y(FE_RN_124_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 FE_RC_199_0 (
-	.A1(FE_RN_124_0),
-	.A2(FE_PSN4262_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193),
-	.B1(FE_RN_123_0),
-	.Y(\u_soc_lsu_to_xbar[a_address] [27]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3862_FE_OFN19260_n (
-	.A(FE_OFN19189_FE_OFN19180_n),
-	.X(FE_OFN19566_FE_OFN19260_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3861_u_soc_u_dccm_rdata4_14 (
-	.A(FE_PDN4029_u_soc_u_dccm_rdata4_14),
-	.X(FE_OFN19565_u_soc_u_dccm_rdata4_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3860_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net (
-	.A(FE_OFN19377_FE_OFN18824_FE_OFN852_logic_0_25_net),
-	.X(FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3859_u_soc_u_iccm_rdata3_21 (
-	.A(FE_OFN19688_u_soc_u_iccm_rdata3_21),
-	.X(FE_OFN19563_u_soc_u_iccm_rdata3_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_16 FE_OFC3858_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1 (
-	.A(FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1),
-	.X(FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3857_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2 (
-	.A(u_soc_u_dccm_addr3[2]),
-	.X(FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3851_u_soc_u_top_u_core_instr_rdata_id_21 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[21]),
-	.X(FE_OFN19558_u_soc_u_top_u_core_instr_rdata_id_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3849_u_soc_u_top_u_core_instr_rdata_id_18 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[18]),
-	.X(FE_OFN19556_u_soc_u_top_u_core_instr_rdata_id_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3848_n_13387 (
-	.A(FE_PSN4344_n_13387),
-	.X(FE_OFN19555_n_13387), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3846_n_11746 (
-	.A(FE_OFN18268_n_11746),
-	.X(FE_OFN19553_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3844_n_11313 (
-	.A(FE_OFN18356_n_11313),
-	.X(FE_OFN19551_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3843_u_soc_u_top_u_core_rf_waddr_wb_1 (
-	.A(u_soc_u_top_u_core_rf_waddr_wb[1]),
-	.X(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3842_u_soc_u_top_u_core_instr_rdata_id_26 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[26]),
-	.X(FE_OFN19549_u_soc_u_top_u_core_instr_rdata_id_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3839_u_soc_u_top_u_core_instr_rdata_id_17 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[17]),
-	.X(FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3837_n_13394 (
-	.A(n_13394),
-	.X(FE_OFN19544_n_13394), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3836_u_soc_iccm_adapter_inst_mem_wmask_int_0__30 (
-	.A(\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]),
-	.X(FE_OFN19543_u_soc_iccm_adapter_inst_mem_wmask_int_0__30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3835_n_13088 (
-	.A(n_13088),
-	.X(FE_OFN19542_n_13088), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3834_n (
-	.A(FE_OFN18496_n),
-	.X(FE_OFN19541_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3832_n_11355 (
-	.A(FE_PSN4433_n_11355),
-	.Y(FE_OFN18451_n_11355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3831_n_13391 (
-	.A(FE_PSN4389_FE_OFN18507_n_13391),
-	.X(FE_OFN19539_n_13391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3830_n_13391 (
-	.A(n_13391),
-	.X(FE_OFN18507_n_13391), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3829_n_11554 (
-	.A(n_11554),
-	.X(FE_OFN18432_n_11554), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3828_n_11156 (
-	.A(n_11156),
-	.Y(n_11155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3826_u_soc_xbar_to_dccm_a_address__5 (
-	.A(\u_soc_xbar_to_dccm[a_address] [5]),
-	.X(FE_OFN772_u_soc_xbar_to_dccm_a_address__5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3825_n_11514 (
-	.A(FE_OFN18385_n_11514),
-	.X(FE_OFN19537_n_11514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3824_n_11514 (
-	.A(n_11514),
-	.X(FE_OFN18385_n_11514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3823_u_soc_u_top_u_core_alu_operator_ex_3 (
-	.A(u_soc_u_top_u_core_alu_operator_ex[3]),
-	.Y(n_720), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3821_n_11152 (
-	.A(FE_OFN18423_n_11152),
-	.Y(n_11151), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3820_n_11152 (
-	.A(n_11152),
-	.X(FE_OFN18423_n_11152), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3819_FE_RN_86_0 (
-	.A(n_13417),
-	.X(n_15945), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3818_FE_RN_86_0 (
-	.A(FE_RN_86_0),
-	.Y(n_13417), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC3817_n_13073 (
-	.A(n_13421),
-	.Y(FE_OFN18294_n_13073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3816_n_13073 (
-	.A(n_13073),
-	.X(n_13421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3815_n_11513 (
-	.A(n_11513),
-	.X(FE_OFN18635_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3814_n_15882 (
-	.A(n_15882),
-	.X(FE_OFN18272_n_15882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3813_n_13070 (
-	.A(n_13070),
-	.X(FE_OFN1080_n_13070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3812_n_11421 (
-	.A(FE_OFN18472_n_11421),
-	.X(FE_OFN18473_n_11421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3811_n_11421 (
-	.A(FE_PSN4412_FE_OFN18472_n_11421),
-	.X(n_11422), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3810_n_11421 (
-	.A(FE_OFN18472_n_11421),
-	.Y(FE_OFN18296_n_11421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3809_n_11421 (
-	.A(n_11421),
-	.Y(FE_OFN18472_n_11421), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3808_n_10863 (
-	.A(n_10863),
-	.X(FE_OFN18974_n_10863), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3807_u_soc_u_top_u_core_alu_operand_b_ex_20 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[20]),
-	.X(FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3806_n_11479 (
-	.A(FE_PSN4632_n_11479),
-	.X(FE_OFN1058_n_11479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3805_n_11757 (
-	.A(n_11757),
-	.X(FE_OFN18589_n_11757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3804_n_13101 (
-	.A(FE_PSN4160_n_13101),
-	.X(FE_OFN1102_n_13101), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3803_n_11558 (
-	.A(n_11558),
-	.X(FE_OFN18480_n_11558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3801_n_13078 (
-	.A(n_13078),
-	.X(FE_OFN1085_n_13078), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3800_n_11626 (
-	.A(n_11625),
-	.Y(FE_OFN18593_n_11626), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC3799_n_11626 (
-	.A(FE_COEN4862_n_11626),
-	.Y(n_11625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC3798_n_13076 (
-	.A(n_13076),
-	.Y(FE_OFN1083_n_13076), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3797_n_13380 (
-	.A(FE_OFN1105_n_13380),
-	.Y(FE_OFN19534_n_13380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3796_n_13380 (
-	.A(n_13380),
-	.Y(FE_OFN1105_n_13380), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3795_n_577 (
-	.A(FE_OFN1400_n_577),
-	.X(FE_OFN19533_n_577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC3794_n_577 (
-	.A(n_577),
-	.Y(FE_OFN1400_n_577), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3793_n_13425 (
-	.A(n_13425),
-	.X(FE_OFN18315_n_13425), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3792_n_13082 (
-	.A(n_13082),
-	.Y(FE_OFN1086_n_13082), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3791_FE_OFN19150_n (
-	.A(u_soc_u_iccm_addr2[1]),
-	.X(FE_OFN19532_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3790_FE_OFN19153_n (
-	.A(u_soc_u_iccm_addr2[5]),
-	.X(FE_OFN19531_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3789_FE_OFN19248_FE_OFN19151_n (
-	.A(u_soc_u_iccm_addr2[0]),
-	.X(FE_OFN19530_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3788_FE_OFN19132_n (
-	.A(u_soc_u_iccm_addr2[2]),
-	.X(FE_OFN19529_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3787_FE_OFN19134_n (
-	.A(u_soc_u_iccm_addr2[6]),
-	.X(FE_OFN19528_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3786_FE_OFN19133_n (
-	.A(u_soc_u_iccm_addr2[3]),
-	.X(FE_OFN19527_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3785_FE_OFN19142_n (
-	.A(u_soc_u_iccm_addr2[4]),
-	.X(FE_OFN19526_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3783_n_10261 (
-	.A(n_10261),
-	.X(FE_OFN19524_n_10261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3782_n (
-	.A(u_soc_u_dccm_addr1[4]),
-	.X(FE_OFN19523_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3781_n (
-	.A(u_soc_u_dccm_addr4[4]),
-	.X(FE_OFN19522_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_16 FE_OFC3780_FE_OFN18009_n (
-	.A(u_soc_u_dccm_addr4[5]),
-	.X(FE_OFN19521_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_OFC3779_FE_OFN1684_n (
-	.A(u_soc_u_dccm_addr1[2]),
-	.X(FE_OFN19520_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3778_u_soc_u_dccm_addr2_5 (
-	.A(u_soc_u_dccm_addr2[5]),
-	.X(FE_OFN19519_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_OFC3777_FE_OFN1683_n (
-	.A(u_soc_u_dccm_addr1[1]),
-	.X(FE_OFN19518_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3776_FE_OFN18005_n (
-	.A(u_soc_u_dccm_addr4[1]),
-	.X(FE_OFN19517_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3775_n (
-	.A(u_soc_u_dccm_addr2[7]),
-	.X(FE_OFN19516_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3774_FE_OFN1388_u_soc_u_dccm_addr3_1 (
-	.A(u_soc_u_dccm_addr3[1]),
-	.X(FE_OFN19515_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3773_FE_OFN18006_n (
-	.A(u_soc_u_dccm_addr4[2]),
-	.X(FE_OFN19514_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3772_FE_OFN18007_n (
-	.A(u_soc_u_dccm_addr4[3]),
-	.X(FE_OFN19513_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3771_FE_OFN1384_u_soc_u_dccm_addr3_5 (
-	.A(u_soc_u_dccm_addr3[5]),
-	.X(FE_OFN19512_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3770_n (
-	.A(u_soc_u_dccm_addr3[4]),
-	.X(FE_OFN19511_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3769_n (
-	.A(u_soc_u_dccm_addr2[3]),
-	.X(FE_OFN19510_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3768_FE_OFN1386_u_soc_u_dccm_addr3_3 (
-	.A(u_soc_u_dccm_addr3[3]),
-	.X(FE_OFN19509_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3767_n (
-	.A(u_soc_u_dccm_addr2[2]),
-	.X(FE_OFN19508_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3766_n (
-	.A(u_soc_u_dccm_addr2[1]),
-	.X(FE_OFN19507_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3765_n (
-	.A(u_soc_u_dccm_addr2[4]),
-	.X(FE_OFN19506_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3763_FE_OFN112_io_out_37 (
-	.A(FE_OFN112_io_out_37),
-	.X(FE_OFN19504_FE_OFN112_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3762_FE_OFN149_io_out_37 (
-	.A(FE_OFN149_io_out_37),
-	.X(FE_OFN19503_FE_OFN149_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3761_n_10931 (
-	.A(n_10931),
-	.X(FE_OFN19502_n_10931), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3760_FE_OFN59_io_out_37 (
-	.A(FE_OFN59_io_out_37),
-	.X(FE_OFN19501_FE_OFN59_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC3759_FE_OFN496_u_soc_instr_wdata_18 (
-	.A(u_soc_instr_wdata[18]),
-	.X(FE_OFN19500_FE_OFN496_u_soc_instr_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3758_n_10447 (
-	.A(n_10447),
-	.X(FE_OFN19499_n_10447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3756_FE_OFN76_io_out_37 (
-	.A(FE_OFN76_io_out_37),
-	.X(FE_OFN19497_FE_OFN76_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3754_FE_OFN1032_n_8911 (
-	.A(FE_OFN1032_n_8911),
-	.X(FE_OFN19495_FE_OFN1032_n_8911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3749_FE_OFN18932_FE_OFN17993_n (
-	.A(logic_0_20_net),
-	.X(FE_OFN19490_FE_OFN18932_FE_OFN17993_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3748_u_soc_u_dccm_rdata4_1 (
-	.A(u_soc_u_dccm_rdata4[1]),
-	.X(FE_OFN19489_u_soc_u_dccm_rdata4_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3745_FE_OFN19265_n (
-	.A(FE_OFN1329_u_soc_u_iccm_addr4_6),
-	.X(FE_OFN19486_FE_OFN19265_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3744_FE_OFN19268_n (
-	.A(u_soc_u_iccm_addr4[5]),
-	.X(FE_OFN19485_FE_OFN19268_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3743_FE_OFN19273_n (
-	.A(u_soc_u_iccm_addr4[0]),
-	.X(FE_OFN19484_FE_OFN19273_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3742_u_soc_u_iccm_rdata4_29 (
-	.A(FE_PDN4041_u_soc_u_iccm_rdata4_29),
-	.X(FE_OFN19483_u_soc_u_iccm_rdata4_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3741_u_soc_u_dccm_rdata4_3 (
-	.A(FE_PDN19889_u_soc_u_dccm_rdata4_3),
-	.X(FE_OFN19482_u_soc_u_dccm_rdata4_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3740_u_soc_u_iccm_rdata4_24 (
-	.A(u_soc_u_iccm_rdata4[24]),
-	.X(FE_OFN19481_u_soc_u_iccm_rdata4_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3739_FE_OFN19271_n (
-	.A(u_soc_u_iccm_addr1[6]),
-	.X(FE_OFN19480_FE_OFN19271_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3738_FE_OFN19276_n (
-	.A(u_soc_u_iccm_addr1[2]),
-	.X(FE_OFN19479_FE_OFN19276_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3737_FE_OFN19141_n (
-	.A(u_soc_u_iccm_addr3[5]),
-	.X(FE_OFN19478_FE_OFN19141_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3736_FE_OFN19154_n (
-	.A(u_soc_u_iccm_addr3[3]),
-	.X(FE_OFN19477_FE_OFN19154_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3735_FE_OFN19269_n (
-	.A(u_soc_u_iccm_addr1[0]),
-	.X(FE_OFN19476_FE_OFN19269_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3734_FE_OFN19272_n (
-	.A(u_soc_u_iccm_addr1[4]),
-	.X(FE_OFN19475_FE_OFN19272_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3733_FE_OFN19274_n (
-	.A(u_soc_u_iccm_addr1[5]),
-	.X(FE_OFN19474_FE_OFN19274_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3732_FE_OFN19275_n (
-	.A(u_soc_u_iccm_addr1[3]),
-	.X(FE_OFN19473_FE_OFN19275_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3731_FE_OFN19277_n (
-	.A(u_soc_u_iccm_addr1[1]),
-	.X(FE_OFN19472_FE_OFN19277_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3730_u_soc_u_iccm_rdata4_23 (
-	.A(u_soc_u_iccm_rdata4[23]),
-	.X(FE_OFN19471_u_soc_u_iccm_rdata4_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3729_u_soc_u_iccm_rdata4_31 (
-	.A(u_soc_u_iccm_rdata4[31]),
-	.X(FE_OFN19470_u_soc_u_iccm_rdata4_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3728_FE_OFN19266_n (
-	.A(FE_OFN1340_u_soc_u_iccm_addr4_1),
-	.X(FE_OFN19469_FE_OFN19266_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3727_u_soc_u_dccm_rdata4_4 (
-	.A(FE_OFN19712_u_soc_u_dccm_rdata4_4),
-	.X(FE_OFN19468_u_soc_u_dccm_rdata4_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3726_u_soc_u_dccm_rdata4_5 (
-	.A(FE_OFN19684_u_soc_u_dccm_rdata4_5),
-	.X(FE_OFN19467_u_soc_u_dccm_rdata4_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3725_FE_OFN892_n_2004 (
-	.A(FE_OFN892_n_2004),
-	.X(FE_OFN19466_FE_OFN892_n_2004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3724_FE_OFN1746_n (
-	.A(FE_OFN1256_u_soc_data_wdata_18),
-	.X(FE_OFN19465_FE_OFN1746_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3722_FE_OFN1751_n (
-	.A(FE_OFN1751_n),
-	.X(FE_OFN19463_FE_OFN1751_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3721_u_soc_u_iccm_rdata4_30 (
-	.A(FE_OFN19720_u_soc_u_iccm_rdata4_30),
-	.X(FE_OFN19462_u_soc_u_iccm_rdata4_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3720_FE_OFN18984_FE_OFN17987_n (
-	.A(FE_OFN863_logic_0_34_net),
-	.X(FE_OFN19461_FE_OFN18984_FE_OFN17987_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3719_FE_OFN18918_FE_OFN17998_n (
-	.A(FE_OFN18918_FE_OFN17998_n),
-	.X(FE_OFN19460_FE_OFN18918_FE_OFN17998_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3717_u_soc_u_iccm_rdata4_27 (
-	.A(FE_OFN19717_u_soc_u_iccm_rdata4_27),
-	.X(FE_OFN19458_u_soc_u_iccm_rdata4_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3716_u_soc_u_iccm_rdata4_21 (
-	.A(u_soc_u_iccm_rdata4[21]),
-	.X(FE_OFN19457_u_soc_u_iccm_rdata4_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3715_FE_OFN181_u_soc_data_wdata_30 (
-	.A(u_soc_data_wdata[30]),
-	.X(FE_OFN19456_FE_OFN181_u_soc_data_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3714_u_soc_u_iccm_rdata4_18 (
-	.A(FE_OFN19703_u_soc_u_iccm_rdata4_18),
-	.X(FE_OFN19455_u_soc_u_iccm_rdata4_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3713_u_soc_u_iccm_rdata4_19 (
-	.A(FE_PDN4257_u_soc_u_iccm_rdata4_19),
-	.X(FE_OFN19454_u_soc_u_iccm_rdata4_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3712_u_soc_u_dccm_rdata4_8 (
-	.A(FE_PDN4000_u_soc_u_dccm_rdata4_8),
-	.X(FE_OFN19453_u_soc_u_dccm_rdata4_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3710_FE_OFN19270_n (
-	.A(u_soc_u_iccm_addr4[3]),
-	.X(FE_OFN19451_FE_OFN19270_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3709_FE_OFN19267_n (
-	.A(u_soc_u_iccm_addr4[4]),
-	.X(FE_OFN19450_FE_OFN19267_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3708_FE_OFN18975_FE_OFN17985_n (
-	.A(FE_OFN867_logic_0_36_net),
-	.X(FE_OFN19449_FE_OFN18975_FE_OFN17985_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3707_u_soc_u_iccm_rdata4_20 (
-	.A(FE_PDN4528_u_soc_u_iccm_rdata4_20),
-	.X(FE_OFN19448_u_soc_u_iccm_rdata4_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3706_u_soc_u_iccm_rdata4_28 (
-	.A(FE_OFN19699_u_soc_u_iccm_rdata4_28),
-	.X(FE_OFN19447_u_soc_u_iccm_rdata4_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3704_u_soc_u_dccm_rdata4_17 (
-	.A(FE_PDN4223_u_soc_u_dccm_rdata4_17),
-	.X(FE_OFN19445_u_soc_u_dccm_rdata4_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3703_FE_OFN18998_FE_OFN17988_n (
-	.A(FE_OFN861_logic_0_33_net),
-	.X(FE_OFN19444_FE_OFN18998_FE_OFN17988_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3702_n_1431 (
-	.A(n_1431),
-	.X(FE_OFN19443_n_1431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3701_u_soc_u_iccm_rdata4_16 (
-	.A(u_soc_u_iccm_rdata4[16]),
-	.X(FE_OFN19442_u_soc_u_iccm_rdata4_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3700_u_soc_u_dccm_rdata1_17 (
-	.A(u_soc_u_dccm_rdata1[17]),
-	.X(FE_OFN19441_u_soc_u_dccm_rdata1_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3699_u_soc_u_dccm_rdata4_9 (
-	.A(FE_PDN3918_u_soc_u_dccm_rdata4_9),
-	.X(FE_OFN19440_u_soc_u_dccm_rdata4_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3698_FE_OFN19258_n (
-	.A(FE_OFN19189_FE_OFN19180_n),
-	.X(FE_OFN19439_FE_OFN19258_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3697_u_soc_u_iccm_rdata2_12 (
-	.A(u_soc_u_iccm_rdata2[12]),
-	.X(FE_OFN19438_u_soc_u_iccm_rdata2_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3696_u_soc_u_dccm_rdata4_25 (
-	.A(FE_OFN19645_u_soc_u_dccm_rdata4_25),
-	.X(FE_OFN19437_u_soc_u_dccm_rdata4_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3695_FE_OFN18910_FE_OFN17986_n (
-	.A(FE_OFN865_logic_0_35_net),
-	.X(FE_OFN19436_FE_OFN18910_FE_OFN17986_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3694_u_soc_u_dccm_rdata4_22 (
-	.A(FE_PDN19806_u_soc_u_dccm_rdata4_22),
-	.X(FE_OFN19435_u_soc_u_dccm_rdata4_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3693_FE_OFN19218_FE_OFN18888_FE_OFN17996_n (
-	.A(FE_OFN19218_FE_OFN18888_FE_OFN17996_n),
-	.X(FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3691_FE_OFN1756_n (
-	.A(FE_OFN1249_u_soc_data_wdata_22),
-	.X(FE_OFN19432_FE_OFN1756_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3690_u_soc_u_iccm_rdata4_14 (
-	.A(FE_OFN19639_u_soc_u_iccm_rdata4_14),
-	.X(FE_OFN19431_u_soc_u_iccm_rdata4_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3689_FE_OFN18907_FE_OFN17999_n (
-	.A(FE_OFN18907_FE_OFN17999_n),
-	.X(FE_OFN19430_FE_OFN18907_FE_OFN17999_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3687_FE_OFN18844_FE_OFN17995_n (
-	.A(FE_OFN18844_FE_OFN17995_n),
-	.X(FE_OFN19428_FE_OFN18844_FE_OFN17995_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3685_u_soc_u_iccm_rdata2_23 (
-	.A(u_soc_u_iccm_rdata2[23]),
-	.X(FE_OFN19426_u_soc_u_iccm_rdata2_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3684_u_soc_u_dccm_rdata4_10 (
-	.A(FE_OFN19701_u_soc_u_dccm_rdata4_10),
-	.X(FE_OFN19425_u_soc_u_dccm_rdata4_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3683_u_soc_u_iccm_rdata4_8 (
-	.A(FE_OFN19673_u_soc_u_iccm_rdata4_8),
-	.X(FE_OFN19424_u_soc_u_iccm_rdata4_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3682_u_soc_u_dccm_rdata2_17 (
-	.A(FE_PDN3974_u_soc_u_dccm_rdata2_17),
-	.X(FE_OFN19423_u_soc_u_dccm_rdata2_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3681_u_soc_u_dccm_rdata4_16 (
-	.A(FE_PDN19807_u_soc_u_dccm_rdata4_16),
-	.X(FE_OFN19422_u_soc_u_dccm_rdata4_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3680_u_soc_u_iccm_rdata4_0 (
-	.A(FE_OFN19651_u_soc_u_iccm_rdata4_0),
-	.X(FE_OFN19421_u_soc_u_iccm_rdata4_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3679_u_soc_u_iccm_rdata3_28 (
-	.A(u_soc_u_iccm_rdata3[28]),
-	.X(FE_OFN19420_u_soc_u_iccm_rdata3_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3678_u_soc_u_iccm_rdata3_16 (
-	.A(FE_PDN4056_u_soc_u_iccm_rdata3_16),
-	.X(FE_OFN19419_u_soc_u_iccm_rdata3_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3676_u_soc_u_iccm_rdata2_9 (
-	.A(u_soc_u_iccm_rdata2[9]),
-	.X(FE_OFN19417_u_soc_u_iccm_rdata2_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3675_u_soc_u_iccm_rdata3_30 (
-	.A(FE_OFN19689_u_soc_u_iccm_rdata3_30),
-	.X(FE_OFN19416_u_soc_u_iccm_rdata3_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3674_u_soc_u_iccm_rdata3_26 (
-	.A(FE_OFN19655_u_soc_u_iccm_rdata3_26),
-	.X(FE_OFN19415_u_soc_u_iccm_rdata3_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC3673_FE_OFN18748_FE_OFN244_u_soc_data_wdata_6 (
-	.A(FE_OFN18748_FE_OFN244_u_soc_data_wdata_6),
-	.X(FE_OFN19414_FE_OFN18748_FE_OFN244_u_soc_data_wdata_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3672_n_2053 (
-	.A(n_2053),
-	.X(FE_OFN19413_n_2053), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3671_u_soc_u_dccm_rdata3_8 (
-	.A(u_soc_u_dccm_rdata3[8]),
-	.X(FE_OFN19412_u_soc_u_dccm_rdata3_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3670_u_soc_u_iccm_rdata3_24 (
-	.A(FE_OFN19641_u_soc_u_iccm_rdata3_24),
-	.X(FE_OFN19411_u_soc_u_iccm_rdata3_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3537_n (
-	.A(FE_OFN19175_n),
-	.X(FE_OFN3537_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3669_FE_OFN18831_FE_OFN18000_n (
-	.A(FE_OFN19409_FE_OFN18831_FE_OFN18000_n),
-	.X(FE_OFN19410_FE_OFN18831_FE_OFN18000_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3668_FE_OFN18831_FE_OFN18000_n (
-	.A(FE_OFN18830_FE_OFN18000_n),
-	.X(FE_OFN19409_FE_OFN18831_FE_OFN18000_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3667_FE_OFN18774_FE_OFN853_logic_0_26_net (
-	.A(logic_0_26_net),
-	.X(FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3666_FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10 (
-	.A(FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10),
-	.X(FE_OFN19407_FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3665_FE_OFN18846_FE_OFN17994_n (
-	.A(FE_OFN18846_FE_OFN17994_n),
-	.X(FE_OFN19406_FE_OFN18846_FE_OFN17994_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3663_u_soc_u_dccm_rdata3_13 (
-	.A(FE_OFN19634_u_soc_u_dccm_rdata3_13),
-	.X(FE_OFN19404_u_soc_u_dccm_rdata3_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3662_u_soc_u_iccm_rdata2_18 (
-	.A(u_soc_u_iccm_rdata2[18]),
-	.X(FE_OFN19403_u_soc_u_iccm_rdata2_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3661_u_soc_u_iccm_rdata3_12 (
-	.A(u_soc_u_iccm_rdata3[12]),
-	.X(FE_OFN19402_u_soc_u_iccm_rdata3_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3660_u_soc_u_iccm_rdata2_11 (
-	.A(FE_PDN3970_u_soc_u_iccm_rdata2_11),
-	.X(FE_OFN19401_u_soc_u_iccm_rdata2_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3659_u_soc_u_iccm_rdata2_6 (
-	.A(FE_PDN4196_u_soc_u_iccm_rdata2_6),
-	.X(FE_OFN19400_u_soc_u_iccm_rdata2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3658_u_soc_u_dccm_rdata3_5 (
-	.A(FE_OFN19700_u_soc_u_dccm_rdata3_5),
-	.X(FE_OFN19399_u_soc_u_dccm_rdata3_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_OFC3657_FE_OFN19193_FE_OFN19182_n (
-	.A(FE_OFN1322_u_soc_instr_wmask_0),
-	.X(FE_OFN19398_FE_OFN19193_FE_OFN19182_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3656_u_soc_u_iccm_rdata3_18 (
-	.A(FE_PDN4052_u_soc_u_iccm_rdata3_18),
-	.X(FE_OFN19397_u_soc_u_iccm_rdata3_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3655_u_soc_u_dccm_rdata3_1 (
-	.A(FE_OFN19690_u_soc_u_dccm_rdata3_1),
-	.X(FE_OFN19396_u_soc_u_dccm_rdata3_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3654_u_soc_u_dccm_rdata3_6 (
-	.A(FE_PDN4047_u_soc_u_dccm_rdata3_6),
-	.X(FE_OFN19395_u_soc_u_dccm_rdata3_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3653_u_soc_u_iccm_rdata4_13 (
-	.A(u_soc_u_iccm_rdata4[13]),
-	.X(FE_OFN19394_u_soc_u_iccm_rdata4_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3652_FE_OFN18782_FE_OFN17992_n (
-	.A(FE_OFN19392_FE_OFN18782_FE_OFN17992_n),
-	.X(FE_OFN19393_FE_OFN18782_FE_OFN17992_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3651_FE_OFN18782_FE_OFN17992_n (
-	.A(logic_0_27_net),
-	.X(FE_OFN19392_FE_OFN18782_FE_OFN17992_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3650_FE_OFN18925_FE_OFN850_logic_0_23_net (
-	.A(FE_OFN19390_FE_OFN18925_FE_OFN850_logic_0_23_net),
-	.X(FE_OFN19391_FE_OFN18925_FE_OFN850_logic_0_23_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3649_FE_OFN18925_FE_OFN850_logic_0_23_net (
-	.A(FE_OFN850_logic_0_23_net),
-	.X(FE_OFN19390_FE_OFN18925_FE_OFN850_logic_0_23_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3648_FE_OFN240_u_soc_data_wdata_7 (
-	.A(FE_OFN19718_FE_OFN237_u_soc_data_wdata_7),
-	.X(FE_OFN19389_FE_OFN240_u_soc_data_wdata_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3647_FE_OFN18804_FE_OFN17997_n (
-	.A(FE_OFN18804_FE_OFN17997_n),
-	.X(FE_OFN19388_FE_OFN18804_FE_OFN17997_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3645_u_soc_u_dccm_rdata2_13 (
-	.A(FE_PDN3963_u_soc_u_dccm_rdata2_13),
-	.X(FE_OFN19386_u_soc_u_dccm_rdata2_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3644_u_soc_u_dccm_rdata2_14 (
-	.A(FE_PDN3952_u_soc_u_dccm_rdata2_14),
-	.X(FE_OFN19385_u_soc_u_dccm_rdata2_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3643_u_soc_u_dccm_rdata2_0 (
-	.A(FE_PDN3981_u_soc_u_dccm_rdata2_0),
-	.X(FE_OFN19384_u_soc_u_dccm_rdata2_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3642_u_soc_u_iccm_rdata3_29 (
-	.A(FE_OFN19678_u_soc_u_iccm_rdata3_29),
-	.X(FE_OFN19383_u_soc_u_iccm_rdata3_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3641_u_soc_u_dccm_rdata3_18 (
-	.A(FE_OFN19628_u_soc_u_dccm_rdata3_18),
-	.X(FE_OFN19382_u_soc_u_dccm_rdata3_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3640_u_soc_u_iccm_rdata4_17 (
-	.A(u_soc_u_iccm_rdata4[17]),
-	.X(FE_OFN19381_u_soc_u_iccm_rdata4_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3639_u_soc_u_dccm_rdata3_12 (
-	.A(FE_PDN4094_u_soc_u_dccm_rdata3_12),
-	.X(FE_OFN19380_u_soc_u_dccm_rdata3_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3638_u_soc_u_iccm_rdata2_19 (
-	.A(u_soc_u_iccm_rdata2[19]),
-	.X(FE_OFN19379_u_soc_u_iccm_rdata2_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3636_FE_OFN18824_FE_OFN852_logic_0_25_net (
-	.A(logic_0_25_net),
-	.X(FE_OFN19377_FE_OFN18824_FE_OFN852_logic_0_25_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3635_u_soc_u_iccm_rdata2_25 (
-	.A(FE_PDN19811_u_soc_u_iccm_rdata2_25),
-	.X(FE_OFN19376_u_soc_u_iccm_rdata2_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3634_FE_OFN18783_FE_OFN851_logic_0_24_net (
-	.A(FE_OFN19374_FE_OFN18783_FE_OFN851_logic_0_24_net),
-	.X(FE_OFN19375_FE_OFN18783_FE_OFN851_logic_0_24_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3633_FE_OFN18783_FE_OFN851_logic_0_24_net (
-	.A(logic_0_24_net),
-	.X(FE_OFN19374_FE_OFN18783_FE_OFN851_logic_0_24_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_16 FE_OFC3632_FE_OFN19261_n (
-	.A(u_soc_u_dccm_addr1[3]),
-	.X(FE_OFN19373_FE_OFN19261_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3631_u_soc_u_dccm_rdata2_7 (
-	.A(FE_PDN19787_u_soc_u_dccm_rdata2_7),
-	.X(FE_OFN19372_u_soc_u_dccm_rdata2_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3630_u_soc_u_iccm_rdata3_15 (
-	.A(u_soc_u_iccm_rdata3[15]),
-	.X(FE_OFN19371_u_soc_u_iccm_rdata3_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3629_u_soc_u_dccm_rdata3_15 (
-	.A(FE_OFN19666_u_soc_u_dccm_rdata3_15),
-	.X(FE_OFN19370_u_soc_u_dccm_rdata3_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3628_u_soc_u_dccm_rdata3_3 (
-	.A(FE_OFN19708_u_soc_u_dccm_rdata3_3),
-	.X(FE_OFN19369_u_soc_u_dccm_rdata3_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3627_u_soc_u_iccm_rdata2_27 (
-	.A(u_soc_u_iccm_rdata2[27]),
-	.X(FE_OFN19368_u_soc_u_iccm_rdata2_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3626_FE_OFN3443_FE_OFN1709_n (
-	.A(u_soc_u_iccm_addr2[7]),
-	.X(FE_OFN19367_FE_OFN3443_FE_OFN1709_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3625_u_soc_u_dccm_rdata2_12 (
-	.A(FE_PDN3959_u_soc_u_dccm_rdata2_12),
-	.X(FE_OFN19366_u_soc_u_dccm_rdata2_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3624_u_soc_u_iccm_rdata3_17 (
-	.A(FE_OFN19687_u_soc_u_iccm_rdata3_17),
-	.X(FE_OFN19365_u_soc_u_iccm_rdata3_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3623_u_soc_u_iccm_rdata3_1 (
-	.A(FE_OFN19663_u_soc_u_iccm_rdata3_1),
-	.X(FE_OFN19364_u_soc_u_iccm_rdata3_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3622_u_soc_u_dccm_rdata3_2 (
-	.A(u_soc_u_dccm_rdata3[2]),
-	.X(FE_OFN19363_u_soc_u_dccm_rdata3_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3621_u_soc_u_iccm_rdata3_10 (
-	.A(FE_OFN19648_u_soc_u_iccm_rdata3_10),
-	.X(FE_OFN19362_u_soc_u_iccm_rdata3_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3620_u_soc_u_dccm_rdata2_3 (
-	.A(FE_PDN4050_u_soc_u_dccm_rdata2_3),
-	.X(FE_OFN19361_u_soc_u_dccm_rdata2_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3619_u_soc_u_iccm_rdata2_30 (
-	.A(FE_OFN19643_u_soc_u_iccm_rdata2_30),
-	.X(FE_OFN19360_u_soc_u_iccm_rdata2_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3618_u_soc_u_iccm_rdata3_13 (
-	.A(u_soc_u_iccm_rdata3[13]),
-	.X(FE_OFN19359_u_soc_u_iccm_rdata3_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3617_FE_OFN19213_FE_OFN19090_n (
-	.A(FE_OFN18649_u_soc_data_wmask_3),
-	.X(FE_OFN19358_FE_OFN19213_FE_OFN19090_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_OFC3616_FE_OFN19215_FE_OFN19173_n (
-	.A(FE_OFN1322_u_soc_instr_wmask_0),
-	.X(FE_OFN19357_FE_OFN19215_FE_OFN19173_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3615_u_soc_u_iccm_rdata3_14 (
-	.A(u_soc_u_iccm_rdata3[14]),
-	.X(FE_OFN19356_u_soc_u_iccm_rdata3_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3614_u_soc_u_iccm_rdata2_20 (
-	.A(u_soc_u_iccm_rdata2[20]),
-	.X(FE_OFN19355_u_soc_u_iccm_rdata2_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3613_u_soc_u_iccm_rdata2_10 (
-	.A(u_soc_u_iccm_rdata2[10]),
-	.X(FE_OFN19354_u_soc_u_iccm_rdata2_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3612_u_soc_u_iccm_rdata2_7 (
-	.A(u_soc_u_iccm_rdata2[7]),
-	.X(FE_OFN19353_u_soc_u_iccm_rdata2_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3611_u_soc_u_iccm_rdata2_16 (
-	.A(u_soc_u_iccm_rdata2[16]),
-	.X(FE_OFN19352_u_soc_u_iccm_rdata2_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3610_u_soc_u_dccm_rdata3_4 (
-	.A(FE_OFN19709_u_soc_u_dccm_rdata3_4),
-	.X(FE_OFN19351_u_soc_u_dccm_rdata3_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3609_u_soc_data_wdata_14 (
-	.A(u_soc_data_wdata[14]),
-	.X(FE_OFN19350_u_soc_data_wdata_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3608_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7 (
-	.A(u_soc_u_iccm_addr3[7]),
-	.X(FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3607_u_soc_u_dccm_rdata3_14 (
-	.A(FE_OFN19644_u_soc_u_dccm_rdata3_14),
-	.X(FE_OFN19348_u_soc_u_dccm_rdata3_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3606_u_soc_u_dccm_rdata2_10 (
-	.A(FE_PDN3966_u_soc_u_dccm_rdata2_10),
-	.X(FE_OFN19347_u_soc_u_dccm_rdata2_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3605_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7 (
-	.A(u_soc_u_dccm_addr3[7]),
-	.X(FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3604_u_soc_u_iccm_rdata2_0 (
-	.A(FE_OFN19636_u_soc_u_iccm_rdata2_0),
-	.X(FE_OFN19345_u_soc_u_iccm_rdata2_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3603_FE_OFN19205_FE_OFN19097_n (
-	.A(FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0),
-	.X(FE_OFN19344_FE_OFN19205_FE_OFN19097_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3602_u_soc_u_iccm_rdata2_31 (
-	.A(u_soc_u_iccm_rdata2[31]),
-	.X(FE_OFN19343_u_soc_u_iccm_rdata2_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3601_u_soc_u_iccm_rdata2_24 (
-	.A(u_soc_u_iccm_rdata2[24]),
-	.X(FE_OFN19342_u_soc_u_iccm_rdata2_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3600_u_soc_u_iccm_rdata2_13 (
-	.A(u_soc_u_iccm_rdata2[13]),
-	.X(FE_OFN19341_u_soc_u_iccm_rdata2_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3599_u_soc_u_dccm_rdata2_6 (
-	.A(FE_PDN19793_u_soc_u_dccm_rdata2_6),
-	.X(FE_OFN19340_u_soc_u_dccm_rdata2_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3598_u_soc_u_iccm_rdata2_8 (
-	.A(FE_PDN4247_u_soc_u_iccm_rdata2_8),
-	.X(FE_OFN19339_u_soc_u_iccm_rdata2_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3597_u_soc_u_iccm_rdata2_29 (
-	.A(FE_OFN19669_u_soc_u_iccm_rdata2_29),
-	.X(FE_OFN19338_u_soc_u_iccm_rdata2_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3596_u_soc_u_dccm_rdata2_16 (
-	.A(FE_PDN3951_u_soc_u_dccm_rdata2_16),
-	.X(FE_OFN19337_u_soc_u_dccm_rdata2_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3595_u_soc_u_dccm_rdata2_4 (
-	.A(FE_PDN4035_u_soc_u_dccm_rdata2_4),
-	.X(FE_OFN19336_u_soc_u_dccm_rdata2_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3594_u_soc_u_dccm_rdata2_1 (
-	.A(FE_OFN19693_u_soc_u_dccm_rdata2_1),
-	.X(FE_OFN19335_u_soc_u_dccm_rdata2_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3593_u_soc_u_iccm_rdata2_22 (
-	.A(FE_PDN4001_u_soc_u_iccm_rdata2_22),
-	.X(FE_OFN19334_u_soc_u_iccm_rdata2_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3592_u_soc_u_dccm_rdata2_11 (
-	.A(FE_OFN19656_u_soc_u_dccm_rdata2_11),
-	.X(FE_OFN19333_u_soc_u_dccm_rdata2_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3591_FE_OFN19262_n (
-	.A(FE_OFN1322_u_soc_instr_wmask_0),
-	.X(FE_OFN19332_FE_OFN19262_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3590_u_soc_u_dccm_rdata3_27 (
-	.A(FE_OFN19660_u_soc_u_dccm_rdata3_27),
-	.X(FE_OFN19331_u_soc_u_dccm_rdata3_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3589_u_soc_u_iccm_rdata2_3 (
-	.A(u_soc_u_iccm_rdata2[3]),
-	.X(FE_OFN19330_u_soc_u_iccm_rdata2_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3588_u_soc_u_dccm_rdata2_9 (
-	.A(FE_PDN3950_u_soc_u_dccm_rdata2_9),
-	.X(FE_OFN19329_u_soc_u_dccm_rdata2_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3587_u_soc_u_dccm_rdata3_0 (
-	.A(FE_OFN19704_u_soc_u_dccm_rdata3_0),
-	.X(FE_OFN19328_u_soc_u_dccm_rdata3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3586_u_soc_u_iccm_rdata2_14 (
-	.A(u_soc_u_iccm_rdata2[14]),
-	.X(FE_OFN19327_u_soc_u_iccm_rdata2_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3585_u_soc_u_iccm_rdata3_0 (
-	.A(FE_PDN4018_u_soc_u_iccm_rdata3_0),
-	.X(FE_OFN19326_u_soc_u_iccm_rdata3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3584_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2 (
-	.A(FE_OFN1309_u_soc_data_wmask_2),
-	.X(FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3583_FE_OFN19217_FE_OFN19098_n (
-	.A(FE_OFN18656_FE_OFN1312_u_soc_data_wmask_1),
-	.X(FE_OFN19324_FE_OFN19217_FE_OFN19098_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3582_u_soc_data_addr_5 (
-	.A(u_soc_data_addr[5]),
-	.X(FE_OFN19323_u_soc_data_addr_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3581_u_soc_u_iccm_rdata2_21 (
-	.A(FE_OFN19658_u_soc_u_iccm_rdata2_21),
-	.X(FE_OFN19322_u_soc_u_iccm_rdata2_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3580_u_soc_u_iccm_rdata2_4 (
-	.A(u_soc_u_iccm_rdata2[4]),
-	.X(FE_OFN19321_u_soc_u_iccm_rdata2_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3579_u_soc_u_dccm_rdata3_30 (
-	.A(FE_OFN19652_u_soc_u_dccm_rdata3_30),
-	.X(FE_OFN19320_u_soc_u_dccm_rdata3_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3578_u_soc_data_addr_3 (
-	.A(u_soc_data_addr[3]),
-	.X(FE_OFN19319_u_soc_data_addr_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3577_u_soc_u_dccm_rdata3_28 (
-	.A(FE_OFN19653_u_soc_u_dccm_rdata3_28),
-	.X(FE_OFN19318_u_soc_u_dccm_rdata3_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3576_u_soc_data_addr_7 (
-	.A(u_soc_data_addr[7]),
-	.X(FE_OFN19317_u_soc_data_addr_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3575_u_soc_data_addr_2 (
-	.A(u_soc_data_addr[2]),
-	.X(FE_OFN19316_u_soc_data_addr_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3574_u_soc_u_iccm_rdata2_26 (
-	.A(FE_OFN19683_u_soc_u_iccm_rdata2_26),
-	.X(FE_OFN19315_u_soc_u_iccm_rdata2_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3573_u_soc_data_addr_1 (
-	.A(u_soc_data_addr[1]),
-	.X(FE_OFN19314_u_soc_data_addr_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3572_u_soc_u_dccm_rdata3_29 (
-	.A(FE_PDN4531_u_soc_u_dccm_rdata3_29),
-	.X(FE_OFN19313_u_soc_u_dccm_rdata3_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3571_u_soc_u_dccm_rdata3_24 (
-	.A(u_soc_u_dccm_rdata3[24]),
-	.X(FE_OFN19312_u_soc_u_dccm_rdata3_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3570_u_soc_u_iccm_rdata2_15 (
-	.A(FE_OFN19664_u_soc_u_iccm_rdata2_15),
-	.X(FE_OFN19311_u_soc_u_iccm_rdata2_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3569_FE_OFN19076_n (
-	.A(u_soc_u_dccm_addr4[6]),
-	.X(FE_OFN19310_FE_OFN19076_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3568_FE_OFN18749_FE_OFN17983_n (
-	.A(logic_0_58_net),
-	.X(FE_OFN19309_FE_OFN18749_FE_OFN17983_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3567_u_soc_u_iccm_rdata2_17 (
-	.A(u_soc_u_iccm_rdata2[17]),
-	.X(FE_OFN19308_u_soc_u_iccm_rdata2_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3566_u_soc_u_dccm_rdata2_29 (
-	.A(FE_PDN3934_u_soc_u_dccm_rdata2_29),
-	.X(FE_OFN19307_u_soc_u_dccm_rdata2_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3565_u_soc_u_iccm_rdata2_5 (
-	.A(u_soc_u_iccm_rdata2[5]),
-	.X(FE_OFN19306_u_soc_u_iccm_rdata2_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3564_u_soc_u_dccm_rdata3_26 (
-	.A(u_soc_u_dccm_rdata3[26]),
-	.X(FE_OFN19305_u_soc_u_dccm_rdata3_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3563_u_soc_u_dccm_rdata2_5 (
-	.A(FE_PDN4015_u_soc_u_dccm_rdata2_5),
-	.X(FE_OFN19304_u_soc_u_dccm_rdata2_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3562_u_soc_u_dccm_rdata3_25 (
-	.A(FE_OFN19646_u_soc_u_dccm_rdata3_25),
-	.X(FE_OFN19303_u_soc_u_dccm_rdata3_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3560_u_soc_u_iccm_rdata2_28 (
-	.A(u_soc_u_iccm_rdata2[28]),
-	.X(FE_OFN19301_u_soc_u_iccm_rdata2_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3559_u_soc_u_iccm_rdata2_1 (
-	.A(FE_PDN4014_u_soc_u_iccm_rdata2_1),
-	.X(FE_OFN19300_u_soc_u_iccm_rdata2_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3558_u_soc_u_dccm_rdata3_31 (
-	.A(u_soc_u_dccm_rdata3[31]),
-	.X(FE_OFN19299_u_soc_u_dccm_rdata3_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3556_u_soc_u_dccm_rdata2_8 (
-	.A(FE_OFN19638_u_soc_u_dccm_rdata2_8),
-	.X(FE_OFN19297_u_soc_u_dccm_rdata2_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3555_FE_OFN1741_n (
-	.A(FE_OFN1260_u_soc_data_wdata_16),
-	.X(FE_OFN19296_FE_OFN1741_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3554_u_soc_u_dccm_rdata2_23 (
-	.A(FE_OFN19640_u_soc_u_dccm_rdata2_23),
-	.X(FE_OFN19295_u_soc_u_dccm_rdata2_23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3553_FE_OFN18746_FE_OFN17984_n (
+   sky130_fd_sc_hd__buf_4 FE_PDC4100_logic_0_57_net (
 	.A(logic_0_57_net),
-	.X(FE_OFN19294_FE_OFN18746_FE_OFN17984_n), 
+	.X(FE_PDN4100_logic_0_57_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3552_u_soc_u_dccm_rdata2_25 (
-	.A(FE_PDN3962_u_soc_u_dccm_rdata2_25),
-	.X(FE_OFN19293_u_soc_u_dccm_rdata2_25), 
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4099_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27 (
+	.A(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.X(FE_PDN4099_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3551_u_soc_u_dccm_rdata2_30 (
-	.A(FE_PDN3931_u_soc_u_dccm_rdata2_30),
-	.X(FE_OFN19292_u_soc_u_dccm_rdata2_30), 
+   sky130_fd_sc_hd__buf_6 FE_PDC4098_u_soc_u_iccm_rdata1_26 (
+	.A(u_soc_u_iccm_rdata1[26]),
+	.X(FE_PDN4098_u_soc_u_iccm_rdata1_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3550_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 (
-	.A(u_soc_u_dccm_addr3[0]),
-	.X(FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0), 
+   sky130_fd_sc_hd__buf_12 FE_PDC3834_FE_OFN18739_FE_OFN17999_n (
+	.A(FE_OFN18739_FE_OFN17999_n),
+	.X(FE_PDN19251_FE_OFN18739_FE_OFN17999_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3548_u_soc_u_dccm_rdata2_24 (
-	.A(FE_PDN4010_u_soc_u_dccm_rdata2_24),
-	.X(FE_OFN19289_u_soc_u_dccm_rdata2_24), 
+   sky130_fd_sc_hd__buf_6 FE_PDC3833_FE_OFN908_u_soc_main_swith_host_lsu_dev_select_outstanding_1 (
+	.A(FE_PDN3720_FE_OFN908_u_soc_main_swith_host_lsu_dev_select_outstanding_1),
+	.X(FE_PDN19250_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3547_FE_OFN19191_FE_OFN19096_n (
-	.A(FE_OFN19057_n),
-	.X(FE_OFN19288_FE_OFN19191_FE_OFN19096_n), 
+   sky130_fd_sc_hd__buf_1 FE_PDC4097_FE_OFN1035_u_soc_uart_to_xbar_d_data__3 (
+	.A(FE_PDN3768_FE_OFN1035_u_soc_uart_to_xbar_d_data__3),
+	.X(FE_PDN4097_FE_OFN1035_u_soc_uart_to_xbar_d_data__3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3545_FE_OFN19061_n (
-	.A(u_soc_u_dccm_addr3[6]),
-	.X(FE_OFN19286_FE_OFN19061_n), 
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4096_FE_OFN1703_u_soc_xbar_to_dccm_a_data__21 (
+	.A(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.X(FE_PDN4096_FE_OFN1703_u_soc_xbar_to_dccm_a_data__21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3544_u_soc_data_addr_4 (
-	.A(FE_PSN4555_u_soc_data_addr_4),
-	.X(FE_OFN19285_u_soc_data_addr_4), 
+   sky130_fd_sc_hd__buf_4 FE_PDC4095_FE_OFN529_u_soc_instr_wdata_29 (
+	.A(FE_OFN529_u_soc_instr_wdata_29),
+	.X(FE_PDN4095_FE_OFN529_u_soc_instr_wdata_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3543_u_soc_u_iccm_rdata2_2 (
-	.A(u_soc_u_iccm_rdata2[2]),
-	.X(FE_OFN19284_u_soc_u_iccm_rdata2_2), 
+   sky130_fd_sc_hd__buf_12 FE_PDC4094_FE_OFN909_u_soc_main_swith_host_lsu_dev_select_outstanding_0 (
+	.A(FE_PDN3789_FE_OFN909_u_soc_main_swith_host_lsu_dev_select_outstanding_0),
+	.X(FE_PDN4094_FE_OFN909_u_soc_main_swith_host_lsu_dev_select_outstanding_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3542_FE_OFN19181_n (
-	.A(FE_OFN1322_u_soc_instr_wmask_0),
-	.X(FE_OFN19283_FE_OFN19181_n), 
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3832_u_soc_u_tcam_rdata_3 (
+	.A(u_soc_u_tcam_rdata[3]),
+	.X(FE_PDN19249_u_soc_u_tcam_rdata_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3541_FE_OFN19089_n (
-	.A(FE_OFN18649_u_soc_data_wmask_3),
-	.X(FE_OFN19282_FE_OFN19089_n), 
+   sky130_fd_sc_hd__buf_2 FE_PDC4093_logic_0_58_net (
+	.A(logic_0_58_net),
+	.X(FE_PDN4093_logic_0_58_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3540_FE_OFN19059_n (
-	.A(u_soc_u_dccm_addr2[0]),
-	.X(FE_OFN19281_FE_OFN19059_n), 
+   sky130_fd_sc_hd__buf_12 FE_PDC4092_FE_OFN19195_n (
+	.A(FE_PDN4037_FE_OFN19195_n),
+	.X(FE_PDN4092_FE_OFN19195_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3539_FE_OFN19064_n (
-	.A(u_soc_u_dccm_addr2[6]),
-	.X(FE_OFN19280_FE_OFN19064_n), 
+   sky130_fd_sc_hd__buf_12 FE_PDC4091_FE_OFN19196_n (
+	.A(FE_PDN4049_FE_OFN19196_n),
+	.X(FE_PDN4091_FE_OFN19196_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3538_u_soc_u_dccm_rdata2_31 (
-	.A(FE_OFN19635_u_soc_u_dccm_rdata2_31),
-	.X(FE_OFN19279_u_soc_u_dccm_rdata2_31), 
+   sky130_fd_sc_hd__buf_1 FE_PDC4090_FE_OFN1706_u_soc_xbar_to_dccm_a_data__18 (
+	.A(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.X(FE_PDN4090_FE_OFN1706_u_soc_xbar_to_dccm_a_data__18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00072 (
-	.A(CTS_91),
-	.X(CTS_90), 
+   sky130_fd_sc_hd__buf_2 FE_PDC4089_n_17943 (
+	.A(n_17943),
+	.X(FE_PDN4089_n_17943), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00070 (
-	.A(CTS_91),
-	.X(CTS_89), 
+   sky130_fd_sc_hd__buf_12 FE_PDC4088_FE_OFN19092_FE_OFN18920_FE_OFN18885_n (
+	.A(FE_PDN4052_FE_OFN19092_FE_OFN18920_FE_OFN18885_n),
+	.X(FE_PDN4088_FE_OFN19092_FE_OFN18920_FE_OFN18885_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00066 (
-	.A(CTS_91),
-	.X(CTS_88), 
+   sky130_fd_sc_hd__buf_2 FE_PDC4087_FE_OFN229_u_soc_data_wdata_22 (
+	.A(FE_OFN229_u_soc_data_wdata_22),
+	.X(FE_PDN4087_FE_OFN229_u_soc_data_wdata_22), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00062 (
-	.A(CTS_91),
-	.X(FE_USKN4848_CTS_87), 
+   sky130_fd_sc_hd__buf_2 FE_PDC4086_FE_OFN1034_u_soc_uart_to_xbar_d_data__4 (
+	.A(FE_PDN3790_FE_OFN1034_u_soc_uart_to_xbar_d_data__4),
+	.X(FE_PDN4086_FE_OFN1034_u_soc_uart_to_xbar_d_data__4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00060 (
-	.A(CTS_91),
-	.X(CTS_86), 
+   sky130_fd_sc_hd__buf_2 FE_PDC4085_FE_OFN1707_u_soc_xbar_to_dccm_a_data__17 (
+	.A(FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.X(FE_PDN4085_FE_OFN1707_u_soc_xbar_to_dccm_a_data__17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00058 (
-	.A(CTS_91),
-	.X(CTS_93), 
+   sky130_fd_sc_hd__buf_4 FE_PDC4084_FE_OFN325_u_soc_data_wdata_9 (
+	.A(FE_OFN325_u_soc_data_wdata_9),
+	.X(FE_PDN4084_FE_OFN325_u_soc_data_wdata_9), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00056 (
-	.A(CTS_91),
-	.X(CTS_94), 
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4083_FE_OFN18888_n (
+	.A(FE_PDN3787_FE_OFN18888_n),
+	.X(FE_PDN4083_FE_OFN18888_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00079 (
-	.A(u_soc_u_top_u_core_clk),
-	.X(CTS_91), 
+   sky130_fd_sc_hd__buf_2 FE_PDC4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16 (
+	.A(FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.X(FE_PDN4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00068 (
-	.A(CTS_83),
-	.X(CTS_82), 
+   sky130_fd_sc_hd__buf_12 FE_PDC3831_FE_OFN18745_FE_OFN17991_n (
+	.A(FE_PDN3882_FE_OFN18745_FE_OFN17991_n),
+	.X(FE_PDN19248_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00064 (
-	.A(CTS_83),
-	.X(CTS_81), 
+   sky130_fd_sc_hd__buf_12 FE_PDC4081_n (
+	.A(FE_PDN4042_n),
+	.X(FE_PDN4081_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00054 (
-	.A(CTS_83),
-	.X(CTS_80), 
+   sky130_fd_sc_hd__buf_12 FE_PDC4080_FE_OFN1663_u_soc_dccm_adapter_data_mem_error_internal (
+	.A(FE_OFN1663_u_soc_dccm_adapter_data_mem_error_internal),
+	.X(FE_PDN4080_FE_OFN1663_u_soc_dccm_adapter_data_mem_error_internal), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00052 (
-	.A(CTS_83),
-	.X(CTS_79), 
+   sky130_fd_sc_hd__buf_12 FE_PDC4079_FE_OFN19015_n (
+	.A(FE_PDN4041_FE_OFN19015_n),
+	.X(FE_PDN4079_FE_OFN19015_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00050 (
-	.A(CTS_83),
-	.X(CTS_85), 
+   sky130_fd_sc_hd__buf_12 FE_PDC4078_n (
+	.A(FE_PDN19239_n),
+	.X(FE_PDN4078_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00048 (
-	.A(CTS_83),
-	.X(CTS_92), 
+   sky130_fd_sc_hd__buf_1 FE_PDC4077_FE_OFN1719_u_soc_xbar_to_dccm_a_data__6 (
+	.A(FE_OFN1719_u_soc_xbar_to_dccm_a_data__6),
+	.X(FE_PDN4077_FE_OFN1719_u_soc_xbar_to_dccm_a_data__6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00077 (
-	.A(u_soc_u_top_u_core_clk),
-	.X(CTS_83), 
+   sky130_fd_sc_hd__buf_2 FE_PDC4076_FE_OFN359_u_soc_data_wdata_4 (
+	.A(FE_OFN359_u_soc_data_wdata_4),
+	.X(FE_PDN4076_FE_OFN359_u_soc_data_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_buf_00674 (
-	.A(wb_clk_i),
-	.X(CTS_96), 
+   sky130_fd_sc_hd__buf_4 FE_PDC4075_u_soc_xbar_to_dccm_a_data_10 (
+	.A(\u_soc_xbar_to_dccm[a_data] [10]),
+	.X(FE_PDN4075_u_soc_xbar_to_dccm_a_data_10), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00624 (
-	.A(CTS_74),
-	.X(CTS_76), 
+   sky130_fd_sc_hd__buf_8 FE_PDC4074_FE_OFN308_u_soc_data_wdata_11 (
+	.A(FE_OFN308_u_soc_data_wdata_11),
+	.X(FE_PDN4074_FE_OFN308_u_soc_data_wdata_11), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00538 (
-	.A(CTS_74),
-	.X(CTS_77), 
+   sky130_fd_sc_hd__buf_4 FE_PDC4073_FE_RN_105_0 (
+	.A(FE_RN_105_0),
+	.X(FE_PDN4073_FE_RN_105_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00656 (
-	.A(CTS_78),
-	.X(CTS_74), 
+   sky130_fd_sc_hd__buf_12 FE_PDC4072_FE_OFN19135_FE_OFN18990_FE_OFN17994_n (
+	.A(FE_PDN19240_FE_OFN19135_FE_OFN18990_FE_OFN17994_n),
+	.X(FE_PDN4072_FE_OFN19135_FE_OFN18990_FE_OFN17994_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 CTS_ccl_buf_00668 (
-	.A(CTS_84),
-	.X(CTS_78), 
+   sky130_fd_sc_hd__buf_8 FE_PDC4071_FE_OFN18643_FE_OFN18074_n (
+	.A(FE_OFN18643_FE_OFN18074_n),
+	.X(FE_PDN4071_FE_OFN18643_FE_OFN18074_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_buf_00673 (
-	.A(wb_clk_i),
-	.X(CTS_84), 
+   sky130_fd_sc_hd__buf_8 FE_PDC4070_FE_OFN266_u_soc_data_wdata_17 (
+	.A(FE_OFN266_u_soc_data_wdata_17),
+	.X(FE_PDN4070_FE_OFN266_u_soc_data_wdata_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00616 (
-	.A(CTS_70),
-	.X(CTS_69), 
+   sky130_fd_sc_hd__buf_4 FE_PDC4069_FE_OFN1595_n_7979 (
+	.A(FE_OFN1595_n_7979),
+	.X(FE_PDN4069_FE_OFN1595_n_7979), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00614 (
-	.A(CTS_70),
-	.X(CTS_68), 
+   sky130_fd_sc_hd__buf_8 FE_PDC4068_FE_OFN302_u_soc_data_wdata_12 (
+	.A(FE_OFN302_u_soc_data_wdata_12),
+	.X(FE_PDN4068_FE_OFN302_u_soc_data_wdata_12), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00612 (
-	.A(CTS_70),
-	.X(CTS_67), 
+   sky130_fd_sc_hd__inv_2 FE_PDC3830_io_out_6 (
+	.A(FE_PDN19245_io_out_6),
+	.Y(FE_PDN19247_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00610 (
-	.A(CTS_70),
-	.X(CTS_66), 
+   sky130_fd_sc_hd__inv_12 FE_PDC3829_io_out_6 (
+	.A(FE_PDN19247_n),
+	.Y(FE_PDN19246_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00608 (
-	.A(CTS_70),
-	.X(CTS_65), 
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3828_io_out_6 (
+	.A(FE_PDN19246_n),
+	.X(FE_RN_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00606 (
-	.A(CTS_70),
-	.X(CTS_64), 
+   sky130_fd_sc_hd__buf_8 FE_PDC4067_FE_OFN222_u_soc_data_wdata_23 (
+	.A(FE_OFN222_u_soc_data_wdata_23),
+	.X(FE_PDN4067_FE_OFN222_u_soc_data_wdata_23), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00604 (
-	.A(CTS_70),
-	.X(CTS_63), 
+   sky130_fd_sc_hd__buf_2 FE_PDC4066_FE_OFN473_u_soc_u_dccm_rdata2_6 (
+	.A(FE_OFN473_u_soc_u_dccm_rdata2_6),
+	.X(FE_PDN4066_FE_OFN473_u_soc_u_dccm_rdata2_6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00602 (
-	.A(CTS_70),
-	.X(CTS_62), 
+   sky130_fd_sc_hd__buf_8 FE_PDC4065_FE_OFN313_u_soc_data_wdata_10 (
+	.A(FE_OFN313_u_soc_data_wdata_10),
+	.X(FE_PDN4065_FE_OFN313_u_soc_data_wdata_10), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00600 (
-	.A(CTS_70),
-	.X(CTS_61), 
+   sky130_fd_sc_hd__buf_8 FE_PDC4064_FE_OFN285_u_soc_data_wdata_14 (
+	.A(FE_OFN285_u_soc_data_wdata_14),
+	.X(FE_PDN4064_FE_OFN285_u_soc_data_wdata_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00598 (
-	.A(CTS_70),
-	.X(CTS_60), 
+   sky130_fd_sc_hd__buf_8 FE_PDC4063_FE_OFN349_u_soc_data_wdata_5 (
+	.A(FE_OFN349_u_soc_data_wdata_5),
+	.X(FE_PDN4063_FE_OFN349_u_soc_data_wdata_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00596 (
-	.A(CTS_70),
+   sky130_fd_sc_hd__buf_4 FE_PDC4062_FE_OFN1769_n_7264 (
+	.A(FE_OFN1769_n_7264),
+	.X(FE_PDN4062_FE_OFN1769_n_7264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4061_FE_OFN412_u_soc_u_dccm_rdata1_20 (
+	.A(FE_OFN412_u_soc_u_dccm_rdata1_20),
+	.X(FE_PDN4061_FE_OFN412_u_soc_u_dccm_rdata1_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4060_u_soc_xbar_to_dccm_a_data_30 (
+	.A(\u_soc_xbar_to_dccm[a_data] [30]),
+	.X(FE_PDN4060_u_soc_xbar_to_dccm_a_data_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC4059_FE_OFN1781_n_7804 (
+	.A(FE_OFN1781_n_7804),
+	.X(FE_PDN4059_FE_OFN1781_n_7804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4058_FE_OFN292_u_soc_data_wdata_13 (
+	.A(FE_OFN292_u_soc_data_wdata_13),
+	.X(FE_PDN4058_FE_OFN292_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC4057_u_soc_u_dccm_rdata4_30 (
+	.A(FE_PDN3694_u_soc_u_dccm_rdata4_30),
+	.X(FE_PDN4057_u_soc_u_dccm_rdata4_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26 (
+	.A(FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.X(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PHC4054_u_soc_u_top_u_core_load_store_unit_i_rdata_q_10 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_rdata_q[10]),
+	.X(FE_PHN4054_u_soc_u_top_u_core_load_store_unit_i_rdata_q_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PHC4053_u_soc_u_top_u_core_load_store_unit_i_rdata_q_9 (
+	.A(u_soc_u_top_u_core_load_store_unit_i_rdata_q[9]),
+	.X(FE_PHN4053_u_soc_u_top_u_core_load_store_unit_i_rdata_q_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_185 (
+	.DIODE(FE_PDN19249_u_soc_u_tcam_rdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4052_FE_OFN19092_FE_OFN18920_FE_OFN18885_n (
+	.A(FE_PDN3830_FE_OFN19092_FE_OFN18920_FE_OFN18885_n),
+	.X(FE_PDN4052_FE_OFN19092_FE_OFN18920_FE_OFN18885_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3826_u_soc_u_tcam_rdata_4 (
+	.A(FE_PDN19252_u_soc_u_tcam_rdata_4),
+	.X(FE_PDN19243_u_soc_u_tcam_rdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC4051_FE_OFN491_u_soc_u_dccm_rdata4_22 (
+	.A(FE_OFN491_u_soc_u_dccm_rdata4_22),
+	.X(FE_PDN4051_FE_OFN491_u_soc_u_dccm_rdata4_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3825_u_soc_u_tcam_rdata_3 (
+	.A(FE_PDN19249_u_soc_u_tcam_rdata_3),
+	.X(FE_PDN19242_u_soc_u_tcam_rdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC4050_u_soc_data_addr_6 (
+	.A(u_soc_data_addr[6]),
+	.X(FE_PDN4050_u_soc_data_addr_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PDC3824_FE_OFN19135_FE_OFN18990_FE_OFN17994_n (
+	.A(FE_OFN19135_FE_OFN18990_FE_OFN17994_n),
+	.Y(FE_PDN19241_FE_OFN19135_FE_OFN18990_FE_OFN17994_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 FE_PDC3823_FE_OFN19135_FE_OFN18990_FE_OFN17994_n (
+	.A(FE_PDN19241_FE_OFN19135_FE_OFN18990_FE_OFN17994_n),
+	.Y(FE_PDN19240_FE_OFN19135_FE_OFN18990_FE_OFN17994_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4049_FE_OFN19196_n (
+	.A(FE_PDN3826_FE_OFN19196_n),
+	.X(FE_PDN4049_FE_OFN19196_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4048_FE_OFN19000_FE_OFN18869_n (
+	.A(FE_OFN19000_FE_OFN18869_n),
+	.X(FE_PDN4048_FE_OFN19000_FE_OFN18869_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4047_FE_OFN19132_FE_OFN18953_FE_OFN18884_n (
+	.A(FE_OFN19132_FE_OFN18953_FE_OFN18884_n),
+	.X(FE_PDN4047_FE_OFN19132_FE_OFN18953_FE_OFN18884_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC4046_FE_PSBN19219_FE_PSN3983_n_5667 (
+	.A(FE_PSBN19219_FE_PSN3983_n_5667),
+	.X(FE_PDN4046_FE_PSBN19219_FE_PSN3983_n_5667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4045_FE_OFN18767_FE_OFN18069_n (
+	.A(FE_PDN3816_FE_OFN18767_FE_OFN18069_n),
+	.X(FE_PDN4045_FE_OFN18767_FE_OFN18069_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4044_FE_OFN18881_n (
+	.A(FE_PDN3807_FE_OFN18881_n),
+	.X(FE_PDN4044_FE_OFN18881_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4043_FE_OFN18873_n (
+	.A(FE_PDN3813_FE_OFN18873_n),
+	.X(FE_PDN4043_FE_OFN18873_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4042_n (
+	.A(FE_PDN19213_n),
+	.X(FE_PDN4042_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4041_FE_OFN19015_n (
+	.A(FE_PDN3864_FE_OFN19015_n),
+	.X(FE_PDN4041_FE_OFN19015_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4040_FE_OFN19092_FE_OFN18920_FE_OFN18885_n (
+	.A(FE_PDN3830_FE_OFN19092_FE_OFN18920_FE_OFN18885_n),
+	.X(FE_PDN4040_FE_OFN19092_FE_OFN18920_FE_OFN18885_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4039_FE_OFN18888_n (
+	.A(FE_PDN4083_FE_OFN18888_n),
+	.X(FE_PDN4039_FE_OFN18888_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3822_FE_OFN18755_FE_OFN18001_n (
+	.A(FE_PDN3865_FE_OFN18755_FE_OFN18001_n),
+	.X(FE_PDN19239_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4037_FE_OFN19195_n (
+	.A(FE_PDN3681_FE_OFN19195_n),
+	.X(FE_PDN4037_FE_OFN19195_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC4036_u_soc_u_dccm_rdata2_0 (
+	.A(u_soc_u_dccm_rdata2[0]),
+	.X(FE_PDN4036_u_soc_u_dccm_rdata2_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3821_FE_OFN18734_logic_0_18_net (
+	.A(FE_PDN3827_FE_OFN18734_logic_0_18_net),
+	.X(FE_PDN19238_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PHC4034_u_soc_u_uart_u_uart_core_read_fifo_raddr_6 (
+	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.X(FE_PHN4034_u_soc_u_uart_u_uart_core_read_fifo_raddr_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PHC4033_io_in_33 (
+	.A(io_in[33]),
+	.X(FE_PHN4033_io_in_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_184 (
+	.DIODE(FE_OFN1693_u_soc_xbar_to_dccm_a_data__31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_183 (
+	.DIODE(FE_PDN19242_u_soc_u_tcam_rdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_182 (
+	.DIODE(FE_PSN4030_u_soc_iccm_adapter_inst_mem_wmask_int_0_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC4032_FE_OFN18344_n_11478 (
+	.A(FE_OFN18344_n_11478),
+	.X(FE_PSN4032_FE_OFN18344_n_11478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4031_u_soc_xbar_to_dccm_a_address_7 (
+	.A(\u_soc_xbar_to_dccm[a_address] [7]),
+	.X(FE_PSN4031_u_soc_xbar_to_dccm_a_address_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4030_u_soc_iccm_adapter_inst_mem_wmask_int_0_30 (
+	.A(\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]),
+	.X(FE_PSN4030_u_soc_iccm_adapter_inst_mem_wmask_int_0_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4029_CTS_59 (
+	.A(FE_USKN4029_CTS_59),
 	.X(CTS_59), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00594 (
-	.A(CTS_70),
-	.X(CTS_72), 
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4028_CTS_63 (
+	.A(FE_USKN4028_CTS_63),
+	.X(CTS_63), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00562 (
-	.A(CTS_70),
-	.X(CTS_73), 
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4027_CTS_78 (
+	.A(FE_USKN4027_CTS_78),
+	.X(CTS_78), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00664 (
-	.A(CTS_75),
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4026_CTS_70 (
+	.A(FE_USKN4026_CTS_70),
 	.X(CTS_70), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00626 (
-	.A(CTS_56),
-	.X(CTS_55), 
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4025_CTS_68 (
+	.A(FE_USKN4025_CTS_68),
+	.X(FE_USKN3998_CTS_68), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00590 (
-	.A(CTS_56),
-	.X(CTS_54), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00584 (
-	.A(CTS_56),
-	.X(CTS_53), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00576 (
-	.A(CTS_56),
-	.X(FE_USKN4660_CTS_52), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00512 (
-	.A(CTS_56),
-	.X(CTS_51), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00508 (
-	.A(CTS_56),
-	.X(CTS_50), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00504 (
-	.A(CTS_56),
-	.X(CTS_49), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00500 (
-	.A(CTS_56),
-	.X(CTS_48), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00498 (
-	.A(CTS_56),
-	.X(CTS_58), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00496 (
-	.A(CTS_56),
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4024_CTS_71 (
+	.A(FE_USKN4024_CTS_71),
 	.X(CTS_71), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00662 (
-	.A(CTS_75),
-	.X(FE_USKN4673_CTS_56), 
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4023_CTS_55 (
+	.A(FE_USKN4023_CTS_55),
+	.X(CTS_55), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00574 (
-	.A(CTS_45),
-	.X(CTS_44), 
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4022_CTS_60 (
+	.A(FE_USKN4022_CTS_60),
+	.X(CTS_60), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00570 (
-	.A(CTS_45),
-	.X(CTS_43), 
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4021_CTS_61 (
+	.A(FE_USKN4021_CTS_61),
+	.X(CTS_61), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00568 (
-	.A(CTS_45),
-	.X(CTS_42), 
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4020_CTS_61 (
+	.A(FE_USKN4020_CTS_61),
+	.X(FE_USKN4005_CTS_61), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00552 (
-	.A(CTS_45),
-	.X(CTS_41), 
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4019_CTS_76 (
+	.A(FE_USKN4019_CTS_76),
+	.X(CTS_76), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00542 (
-	.A(CTS_45),
-	.X(CTS_40), 
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4018_CTS_76 (
+	.A(FE_USKN4018_CTS_76),
+	.X(FE_USKN3997_CTS_76), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00540 (
-	.A(CTS_45),
-	.X(CTS_39), 
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4017_CTS_79 (
+	.A(FE_USKN4017_CTS_79),
+	.X(FE_USKN3996_CTS_79), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00530 (
-	.A(CTS_45),
-	.X(CTS_38), 
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4016_CTS_79 (
+	.A(FE_USKN4016_CTS_79),
+	.X(FE_USKN4004_CTS_79), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00528 (
-	.A(CTS_45),
-	.X(CTS_37), 
+   sky130_fd_sc_hd__buf_6 FE_PSBC3820_n_8751 (
+	.A(n_8751),
+	.X(FE_PSBN19237_n_8751), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00526 (
-	.A(CTS_45),
-	.X(CTS_47), 
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4015_FE_OFN1862_n_8745 (
+	.A(FE_OFN1862_n_8745),
+	.X(FE_PSN4015_FE_OFN1862_n_8745), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00518 (
-	.A(CTS_45),
+   sky130_fd_sc_hd__buf_6 FE_PSC4014_FE_OFN1862_n_8745 (
+	.A(FE_OFN1862_n_8745),
+	.X(FE_PSN4014_FE_OFN1862_n_8745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PSBC3819_n_8776 (
+	.A(n_8776),
+	.Y(FE_PSBN19236_n_8776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_PSBC3818_n_8776 (
+	.A(FE_PSBN19236_n_8776),
+	.Y(FE_PSBN19235_n_8776), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_PSBC3817_n_8547 (
+	.A(n_8547),
+	.Y(FE_PSBN19234_n_8547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_12 FE_PSBC3816_n_8547 (
+	.A(FE_PSBN19234_n_8547),
+	.Y(FE_PSBN19233_n_8547), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_PSBC3815_FE_PSN3910_n_8750 (
+	.A(FE_PSN3910_n_8750),
+	.Y(FE_PSBN19232_FE_PSN3910_n_8750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSBC3814_FE_PSN3910_n_8750 (
+	.A(FE_PSN3910_n_8750),
+	.X(FE_PSBN19231_FE_PSN3910_n_8750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_PSBC3813_FE_PSN3910_n_8750 (
+	.A(FE_PSBN19232_FE_PSN3910_n_8750),
+	.Y(FE_PSBN19230_FE_PSN3910_n_8750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PSBC3812_n_6735 (
+	.A(n_6735),
+	.Y(FE_PSBN19229_n_6735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_PSBC3811_n_6735 (
+	.A(FE_PSBN19229_n_6735),
+	.Y(FE_PSBN19228_n_6735), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_PSBC3808_u_soc_u_top_u_core_pc_set (
+	.A(u_soc_u_top_u_core_pc_set),
+	.Y(FE_PSBN19225_u_soc_u_top_u_core_pc_set), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_PSBC3807_u_soc_u_top_u_core_pc_set (
+	.A(FE_PSBN19225_u_soc_u_top_u_core_pc_set),
+	.Y(FE_PSBN19224_u_soc_u_top_u_core_pc_set), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC4013_u_soc_xbar_to_dccm_a_address_10 (
+	.A(\u_soc_xbar_to_dccm[a_address] [10]),
+	.X(FE_PSN4013_u_soc_xbar_to_dccm_a_address_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_USKC4012_CTS_15 (
+	.A(CTS_15),
+	.X(FE_USKN4012_CTS_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_USKC4011_CTS_15 (
+	.A(CTS_15),
+	.X(FE_USKN4011_CTS_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4010_CTS_86 (
+	.A(FE_USKN4010_CTS_86),
+	.X(CTS_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_USKC4009_CTS_15 (
+	.A(CTS_15),
+	.X(FE_USKN4009_CTS_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_USKC4008_CTS_49 (
+	.A(CTS_49),
+	.X(FE_USKN4008_CTS_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_USKC4007_CTS_15 (
+	.A(CTS_15),
+	.X(FE_USKN4007_CTS_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4006_CTS_62 (
+	.A(FE_USKN4006_CTS_62),
+	.X(CTS_62), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4005_CTS_61 (
+	.A(FE_USKN4005_CTS_61),
+	.X(FE_USKN4021_CTS_61), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC4004_CTS_79 (
+	.A(FE_USKN4004_CTS_79),
+	.X(FE_USKN4017_CTS_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_USKC4003_CTS_15 (
+	.A(CTS_15),
+	.X(FE_USKN4003_CTS_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_USKC4002_CTS_15 (
+	.A(CTS_15),
+	.X(FE_USKN4002_CTS_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_USKC4001_CTS_49 (
+	.A(CTS_49),
+	.X(FE_USKN4001_CTS_49), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC4000_CTS_95 (
+	.A(FE_USKN4000_CTS_95),
+	.X(CTS_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC3999_CTS_96 (
+	.A(FE_USKN3999_CTS_96),
+	.X(CTS_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC3998_CTS_68 (
+	.A(FE_USKN3998_CTS_68),
+	.X(CTS_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_USKC3997_CTS_76 (
+	.A(FE_USKN3997_CTS_76),
+	.X(FE_USKN4019_CTS_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_USKC3996_CTS_79 (
+	.A(FE_USKN3996_CTS_79),
+	.X(CTS_79), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PSC3995_n_8758 (
+	.A(FE_PSN3977_n_8758),
+	.X(FE_PSN3995_n_8758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3994_u_soc_lsu_to_xbar_a_address_31 (
+	.A(\u_soc_lsu_to_xbar[a_address] [31]),
+	.X(FE_PSN3994_u_soc_lsu_to_xbar_a_address_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PSBC3806_n_5667 (
+	.A(n_5667),
+	.Y(FE_PSBN19223_n_5667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_PSBC3805_n_5667 (
+	.A(FE_PSBN19223_n_5667),
+	.Y(FE_PSBN19222_n_5667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 FE_PSBC3804_n_5667 (
+	.A(FE_PSBN19222_n_5667),
+	.Y(FE_PSBN19221_n_5667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3991_n_8756 (
+	.A(n_8756),
+	.X(FE_PSN3991_n_8756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_PSBC3802_FE_PSN3983_n_5667 (
+	.A(FE_PSBN19221_n_5667),
+	.Y(FE_PSBN19219_FE_PSN3983_n_5667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC3990_FE_OFN1775_n_7797 (
+	.A(FE_OFN1775_n_7797),
+	.X(FE_PSN3990_FE_OFN1775_n_7797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3988_n_5667 (
+	.A(FE_PSBN19222_n_5667),
+	.X(FE_PSN3988_n_5667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3987_n_8748 (
+	.A(n_8748),
+	.X(FE_PSN3987_n_8748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3986_FE_OFN1195_n_6865 (
+	.A(FE_OFN1195_n_6865),
+	.X(FE_PSN3986_FE_OFN1195_n_6865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3985_FE_OFN1872_n_8755 (
+	.A(FE_OFN1872_n_8755),
+	.X(FE_PSN3985_FE_OFN1872_n_8755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC3982_n_8777 (
+	.A(n_8777),
+	.X(FE_PSN3982_n_8777), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3981_n_8745 (
+	.A(FE_PSN3913_n_8745),
+	.X(FE_PSN3981_n_8745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC3978_FE_OFN1865_n_8748 (
+	.A(FE_PSN3987_n_8748),
+	.X(FE_PSN3978_FE_OFN1865_n_8748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3977_n_8758 (
+	.A(n_8758),
+	.X(FE_PSN3977_n_8758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3976_n_8545 (
+	.A(n_8545),
+	.X(FE_PSN3976_n_8545), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3975_FE_OFN18519_n_10344 (
+	.A(FE_OFN18519_n_10344),
+	.X(FE_PSN3975_FE_OFN18519_n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3974_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141),
+	.X(FE_PSN3974_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC3973_u_soc_u_top_u_core_instr_rdata_id_22 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[22]),
+	.X(FE_PSN3973_u_soc_u_top_u_core_instr_rdata_id_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC3972_FE_OFN1193_n_6857 (
+	.A(FE_OFN1193_n_6857),
+	.X(FE_PSN3972_FE_OFN1193_n_6857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3971_n_13405 (
+	.A(n_13405),
+	.X(FE_PSN3971_n_13405), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3969_FE_OFN18519_n_10344 (
+	.A(FE_OFN18519_n_10344),
+	.X(FE_PSN3969_FE_OFN18519_n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3968_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173),
+	.X(FE_PSN3968_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3967_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139),
+	.X(FE_PSN3967_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3966_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152),
+	.X(FE_PSN3966_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3965_u_soc_u_top_u_core_alu_operand_b_ex_13 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[13]),
+	.X(FE_PSN3965_u_soc_u_top_u_core_alu_operand_b_ex_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3964_n_8745 (
+	.A(FE_PSN3913_n_8745),
+	.X(FE_PSN3964_n_8745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC3963_n_5848 (
+	.A(n_5848),
+	.X(FE_PSN3963_n_5848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC3962_n_8775 (
+	.A(n_8775),
+	.X(FE_PSN3962_n_8775), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC3961_n_8774 (
+	.A(n_8774),
+	.X(FE_PSN3961_n_8774), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC3960_n_6729 (
+	.A(n_6729),
+	.X(FE_PSN3960_n_6729), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC3959_n_8750 (
+	.A(FE_PSN3910_n_8750),
+	.X(FE_PSN3959_n_8750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3958_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284),
+	.X(FE_PSN3958_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3957_FE_OFN18519_n_10344 (
+	.A(FE_OFN18519_n_10344),
+	.X(FE_PSN3957_FE_OFN18519_n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3956_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183),
+	.X(FE_PSN3956_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3955_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169),
+	.X(FE_PSN3955_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3954_n_8751 (
+	.A(FE_PSBN19237_n_8751),
+	.X(FE_PSN3954_n_8751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3953_n_10564 (
+	.A(n_10564),
+	.X(FE_PSN3953_n_10564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3952_FE_OFN18519_n_10344 (
+	.A(FE_OFN18519_n_10344),
+	.X(FE_PSN3952_FE_OFN18519_n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3951_n_13409 (
+	.A(n_13409),
+	.X(FE_PSN3951_n_13409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC3950_FE_OFN1549_n_3013 (
+	.A(FE_OFN1549_n_3013),
+	.X(FE_PSN3950_FE_OFN1549_n_3013), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3949_n_8755 (
+	.A(n_8755),
+	.X(FE_PSN3949_n_8755), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3948_FE_OFN18519_n_10344 (
+	.A(FE_OFN18519_n_10344),
+	.X(FE_PSN3948_FE_OFN18519_n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3947_u_soc_u_tcam_n_27 (
+	.A(u_soc_u_tcam_n_27),
+	.X(FE_PSN3947_u_soc_u_tcam_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PSC3946_n_13094 (
+	.A(n_13094),
+	.X(FE_PSN3946_n_13094), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3945_FE_OFN1873_n_8756 (
+	.A(FE_OFN1873_n_8756),
+	.X(FE_PSN3945_FE_OFN1873_n_8756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC3944_n_8711 (
+	.A(n_8711),
+	.X(FE_PSN3944_n_8711), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3943_FE_OFN18224_n_15897 (
+	.A(FE_OFN18224_n_15897),
+	.X(FE_PSN3943_FE_OFN18224_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC3942_FE_OFN18398_n_11421 (
+	.A(FE_OFN18398_n_11421),
+	.X(FE_PSN3942_FE_OFN18398_n_11421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3941_FE_OFN18519_n_10344 (
+	.A(FE_OFN18519_n_10344),
+	.X(FE_PSN3941_FE_OFN18519_n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3940_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197),
+	.X(FE_PSN3940_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC3939_n_13040 (
+	.A(n_13040),
+	.X(FE_PSN3939_n_13040), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3938_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
+	.X(FE_PSN3938_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC3937_u_soc_lsu_to_xbar_a_address_30 (
+	.A(\u_soc_lsu_to_xbar[a_address] [30]),
+	.X(FE_PSN3937_u_soc_lsu_to_xbar_a_address_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC3936_n_7974 (
+	.A(n_7974),
+	.X(FE_PSN3936_n_7974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3935_FE_OFN18224_n_15897 (
+	.A(FE_OFN18224_n_15897),
+	.X(FE_PSN3935_FE_OFN18224_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3934_FE_OFN18519_n_10344 (
+	.A(FE_OFN18519_n_10344),
+	.X(FE_PSN3934_FE_OFN18519_n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3933_FE_OFN877_u_soc_lsu_to_xbar_a_address__31 (
+	.A(\u_soc_lsu_to_xbar[a_address] [31]),
+	.X(FE_PSN3933_FE_OFN877_u_soc_lsu_to_xbar_a_address__31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC3932_n_3131 (
+	.A(n_3131),
+	.X(FE_PSN3932_n_3131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PSC3931_FE_OFN18224_n_15897 (
+	.A(FE_OFN18224_n_15897),
+	.X(FE_PSN3931_FE_OFN18224_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3930_FE_OFN18519_n_10344 (
+	.A(FE_PSN3957_FE_OFN18519_n_10344),
+	.X(FE_PSN3930_FE_OFN18519_n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3929_n_8759 (
+	.A(n_8759),
+	.X(FE_PSN3929_n_8759), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC3928_n_8753 (
+	.A(n_8753),
+	.X(FE_PSN3928_n_8753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3927_FE_OFN1875_n_8758 (
+	.A(FE_OFN1875_n_8758),
+	.X(FE_PSN3927_FE_OFN1875_n_8758), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3926_FE_OFN1866_n_8749 (
+	.A(FE_OFN1866_n_8749),
+	.X(FE_PSN3926_FE_OFN1866_n_8749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC3925_n_6224 (
+	.A(FE_PSN3899_n_6224),
+	.X(FE_PSN3925_n_6224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3924_n_6734 (
+	.A(FE_PSN3911_n_6734),
+	.X(FE_PSN3924_n_6734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3923_FE_OFN1599_n_8548 (
+	.A(FE_OFN1599_n_8548),
+	.X(FE_PSN3923_FE_OFN1599_n_8548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC3922_n_6737 (
+	.A(n_6737),
+	.X(FE_PSN3922_n_6737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC3921_FE_OFN1597_n_8546 (
+	.A(FE_OFN1597_n_8546),
+	.X(FE_PSN3921_FE_OFN1597_n_8546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC3920_n_6736 (
+	.A(n_6736),
+	.X(FE_PSN3920_n_6736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3919_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287),
+	.X(FE_PSN3919_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3918_n_10330 (
+	.A(n_10330),
+	.X(FE_PSN3918_n_10330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_PSC3917_n_11478 (
+	.A(n_11478),
+	.X(FE_PSN3917_n_11478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC3916_n_8742 (
+	.A(n_8742),
+	.X(FE_PSN3916_n_8742), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3915_n_6225 (
+	.A(n_6225),
+	.X(FE_PSN3915_n_6225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC3913_n_8745 (
+	.A(n_8745),
+	.X(FE_PSN3913_n_8745), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3912_FE_OFN877_u_soc_lsu_to_xbar_a_address__31 (
+	.A(\u_soc_lsu_to_xbar[a_address] [31]),
+	.X(FE_PSN3912_FE_OFN877_u_soc_lsu_to_xbar_a_address__31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC3911_n_6734 (
+	.A(n_6734),
+	.X(FE_PSN3911_n_6734), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC3910_n_8750 (
+	.A(n_8750),
+	.X(FE_PSN3910_n_8750), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3909_n_8746 (
+	.A(n_8746),
+	.X(FE_PSN3909_n_8746), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3908_n_6737 (
+	.A(FE_PSN3922_n_6737),
+	.X(FE_PSN3908_n_6737), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC3907_n_8687 (
+	.A(n_8687),
+	.X(FE_PSN3907_n_8687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PSC3906_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286),
+	.X(FE_PSN3906_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3905_FE_OFN1868_n_8751 (
+	.A(FE_PSBN19237_n_8751),
+	.X(FE_PSN3905_FE_OFN1868_n_8751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3904_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288),
+	.X(FE_PSN3904_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3903_n_6222 (
+	.A(n_6222),
+	.X(FE_PSN3903_n_6222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3902_u_soc_u_dccm_bank_sel_1 (
+	.A(FE_PSN3883_u_soc_u_dccm_bank_sel_1),
+	.X(FE_PSN3902_u_soc_u_dccm_bank_sel_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3901_n_8690 (
+	.A(n_8690),
+	.X(FE_PSN3901_n_8690), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC3899_n_6224 (
+	.A(n_6224),
+	.X(FE_PSN3899_n_6224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3898_u_soc_u_dccm_bank_sel_1 (
+	.A(FE_PSN3883_u_soc_u_dccm_bank_sel_1),
+	.X(FE_PSN3898_u_soc_u_dccm_bank_sel_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3897_n_8546 (
+	.A(n_8546),
+	.X(FE_PSN3897_n_8546), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3896_n_6727 (
+	.A(n_6727),
+	.X(FE_PSN3896_n_6727), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3895_u_soc_u_dccm_bank_sel_0 (
+	.A(u_soc_u_dccm_bank_sel[0]),
+	.X(FE_PSN3895_u_soc_u_dccm_bank_sel_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC3894_n_3028 (
+	.A(n_3028),
+	.X(FE_PSN3894_n_3028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PSC3893_n_5850 (
+	.A(n_5850),
+	.X(FE_PSN3893_n_5850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PSC3892_n_8549 (
+	.A(n_8549),
+	.X(FE_PSN3892_n_8549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3891_n_8548 (
+	.A(n_8548),
+	.X(FE_PSN3891_n_8548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PSC3890_n_8664 (
+	.A(n_8664),
+	.X(FE_PSN3890_n_8664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3889_FE_PDN3659_FE_OFN1565_n_5667 (
+	.A(FE_PDN3659_FE_OFN1565_n_5667),
+	.X(FE_PSN3889_FE_PDN3659_FE_OFN1565_n_5667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PSC3886_n_1231 (
+	.A(n_1231),
+	.X(FE_PSN3886_n_1231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PSC3884_n_7799 (
+	.A(n_7799),
+	.X(FE_PSN3884_n_7799), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PSC3883_u_soc_u_dccm_bank_sel_1 (
+	.A(u_soc_u_dccm_bank_sel[1]),
+	.X(FE_PSN3883_u_soc_u_dccm_bank_sel_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3882_FE_OFN18745_FE_OFN17991_n (
+	.A(FE_PDN3751_FE_OFN18745_FE_OFN17991_n),
+	.X(FE_PDN3882_FE_OFN18745_FE_OFN17991_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3881_FE_OFN416_u_soc_u_dccm_rdata1_18 (
+	.A(FE_PDN3675_FE_OFN416_u_soc_u_dccm_rdata1_18),
+	.X(FE_PDN3881_FE_OFN416_u_soc_u_dccm_rdata1_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3880_FE_OFN396_u_soc_u_dccm_rdata1_28 (
+	.A(FE_PDN3660_FE_OFN396_u_soc_u_dccm_rdata1_28),
+	.X(FE_PDN3880_FE_OFN396_u_soc_u_dccm_rdata1_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3879_FE_OFN410_u_soc_u_dccm_rdata1_21 (
+	.A(FE_PDN3672_FE_OFN410_u_soc_u_dccm_rdata1_21),
+	.X(FE_PDN3879_FE_OFN410_u_soc_u_dccm_rdata1_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3878_FE_OFN402_u_soc_u_dccm_rdata1_25 (
+	.A(FE_PDN3662_FE_OFN402_u_soc_u_dccm_rdata1_25),
+	.X(FE_PDN3878_FE_OFN402_u_soc_u_dccm_rdata1_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC3877_FE_OFN776_u_soc_u_iccm_rdata1_2 (
+	.A(FE_PDN3673_FE_OFN776_u_soc_u_iccm_rdata1_2),
+	.X(FE_PDN3877_FE_OFN776_u_soc_u_iccm_rdata1_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3876_FE_OFN745_u_soc_u_iccm_rdata1_25 (
+	.A(FE_PDN3669_FE_OFN745_u_soc_u_iccm_rdata1_25),
+	.X(FE_PDN3876_FE_OFN745_u_soc_u_iccm_rdata1_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3875_FE_OFN556_u_soc_instr_wdata_26 (
+	.A(FE_OFN556_u_soc_instr_wdata_26),
+	.X(FE_PDN3875_FE_OFN556_u_soc_instr_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3798_u_soc_u_tcam_rdata_2 (
+	.A(u_soc_u_tcam_rdata[2]),
+	.X(FE_PDN19215_u_soc_u_tcam_rdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3797_u_soc_u_tcam_rdata_3 (
+	.A(FE_PDN19242_u_soc_u_tcam_rdata_3),
+	.X(FE_PDN19214_u_soc_u_tcam_rdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3873_FE_OFN430_u_soc_u_dccm_rdata1_11 (
+	.A(FE_OFN430_u_soc_u_dccm_rdata1_11),
+	.X(FE_PDN3873_FE_OFN430_u_soc_u_dccm_rdata1_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3796_FE_OFN18740_FE_OFN18000_n (
+	.A(FE_PDN3691_FE_OFN18740_FE_OFN18000_n),
+	.X(FE_PDN19213_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3872_FE_OFN18868_n (
+	.A(FE_PDN3773_FE_OFN18868_n),
+	.X(FE_PDN3872_FE_OFN18868_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3871_FE_OFN396_u_soc_u_dccm_rdata1_28 (
+	.A(FE_OFN396_u_soc_u_dccm_rdata1_28),
+	.X(FE_PDN3871_FE_OFN396_u_soc_u_dccm_rdata1_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3870_FE_OFN416_u_soc_u_dccm_rdata1_18 (
+	.A(FE_OFN416_u_soc_u_dccm_rdata1_18),
+	.X(FE_PDN3870_FE_OFN416_u_soc_u_dccm_rdata1_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3869_u_soc_u_dccm_rdata2_0 (
+	.A(FE_PDN4036_u_soc_u_dccm_rdata2_0),
+	.X(FE_PDN3869_u_soc_u_dccm_rdata2_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC3868_FE_OFN402_u_soc_u_dccm_rdata1_25 (
+	.A(FE_OFN402_u_soc_u_dccm_rdata1_25),
+	.X(FE_PDN3868_FE_OFN402_u_soc_u_dccm_rdata1_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC3867_FE_OFN398_u_soc_u_dccm_rdata1_27 (
+	.A(FE_OFN398_u_soc_u_dccm_rdata1_27),
+	.X(FE_PDN3867_FE_OFN398_u_soc_u_dccm_rdata1_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3866_u_soc_u_iccm_rdata1_26 (
+	.A(FE_PDN4098_u_soc_u_iccm_rdata1_26),
+	.X(FE_PDN3866_u_soc_u_iccm_rdata1_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3865_FE_OFN18755_FE_OFN18001_n (
+	.A(FE_PDN3761_FE_OFN18755_FE_OFN18001_n),
+	.X(FE_PDN3865_FE_OFN18755_FE_OFN18001_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3864_FE_OFN19015_n (
+	.A(FE_PDN19208_FE_OFN19015_n),
+	.X(FE_PDN3864_FE_OFN19015_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3863_FE_OFN776_u_soc_u_iccm_rdata1_2 (
+	.A(FE_OFN776_u_soc_u_iccm_rdata1_2),
+	.X(FE_PDN3863_FE_OFN776_u_soc_u_iccm_rdata1_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3862_FE_OFN404_u_soc_u_dccm_rdata1_24 (
+	.A(FE_OFN404_u_soc_u_dccm_rdata1_24),
+	.X(FE_PDN3862_FE_OFN404_u_soc_u_dccm_rdata1_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3861_FE_OFN745_u_soc_u_iccm_rdata1_25 (
+	.A(FE_OFN745_u_soc_u_iccm_rdata1_25),
+	.X(FE_PDN3861_FE_OFN745_u_soc_u_iccm_rdata1_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3860_FE_OFN410_u_soc_u_dccm_rdata1_21 (
+	.A(FE_OFN410_u_soc_u_dccm_rdata1_21),
+	.X(FE_PDN3860_FE_OFN410_u_soc_u_dccm_rdata1_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3859_FE_OFN742_u_soc_u_iccm_rdata1_27 (
+	.A(FE_OFN742_u_soc_u_iccm_rdata1_27),
+	.X(FE_PDN3859_FE_OFN742_u_soc_u_iccm_rdata1_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3858_FE_OFN18636_FE_OFN18062_n (
+	.A(FE_OFN18636_FE_OFN18062_n),
+	.X(FE_PDN3858_FE_OFN18636_FE_OFN18062_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3857_FE_OFN381_u_soc_data_wdata_1 (
+	.A(FE_OFN381_u_soc_data_wdata_1),
+	.X(FE_PDN3857_FE_OFN381_u_soc_data_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3856_FE_OFN345_u_soc_data_wdata_6 (
+	.A(FE_OFN345_u_soc_data_wdata_6),
+	.X(FE_PDN3856_FE_OFN345_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3855_FE_OFN325_u_soc_data_wdata_9 (
+	.A(FE_PDN4084_FE_OFN325_u_soc_data_wdata_9),
+	.X(FE_PDN3855_FE_OFN325_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3854_n_2363 (
+	.A(n_2363),
+	.X(FE_PDN3854_n_2363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3853_FE_OFN19198_n (
+	.A(FE_OFN19198_n),
+	.X(FE_PDN3853_FE_OFN19198_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3852_FE_OFN183_u_soc_data_wdata_30 (
+	.A(FE_OFN183_u_soc_data_wdata_30),
+	.X(FE_PDN3852_FE_OFN183_u_soc_data_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3851_FE_OFN18774_FE_OFN1721_u_soc_xbar_to_dccm_a_data__5 (
+	.A(FE_OFN18774_FE_OFN1721_u_soc_xbar_to_dccm_a_data__5),
+	.X(FE_PDN3851_FE_OFN18774_FE_OFN1721_u_soc_xbar_to_dccm_a_data__5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3850_FE_OFN1452_n_1879 (
+	.A(FE_OFN1452_n_1879),
+	.X(FE_PDN3850_FE_OFN1452_n_1879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3849_FE_OFN935_u_soc_data_wmask_1 (
+	.A(FE_OFN935_u_soc_data_wmask_1),
+	.X(FE_PDN3849_FE_OFN935_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3848_FE_OFN367_u_soc_data_wdata_3 (
+	.A(FE_OFN367_u_soc_data_wdata_3),
+	.X(FE_PDN3848_FE_OFN367_u_soc_data_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC3847_n_6223 (
+	.A(n_6223),
+	.X(FE_PDN3847_n_6223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC3846_n_6222 (
+	.A(FE_PSN3903_n_6222),
+	.X(FE_PDN3846_n_6222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3845_FE_OFN685_u_soc_instr_wdata_7 (
+	.A(FE_OFN685_u_soc_instr_wdata_7),
+	.X(FE_PDN3845_FE_OFN685_u_soc_instr_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3844_n_6225 (
+	.A(FE_PSN3915_n_6225),
+	.X(FE_PDN3844_n_6225), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3843_FE_OFN332_u_soc_data_wdata_8 (
+	.A(FE_OFN332_u_soc_data_wdata_8),
+	.X(FE_PDN3843_FE_OFN332_u_soc_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3842_FE_OFN337_u_soc_data_wdata_7 (
+	.A(FE_OFN337_u_soc_data_wdata_7),
+	.X(FE_PDN3842_FE_OFN337_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3841_FE_OFN343_u_soc_data_wdata_6 (
+	.A(FE_OFN343_u_soc_data_wdata_6),
+	.X(FE_PDN3841_FE_OFN343_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3839_FE_OFN352_u_soc_data_wdata_5 (
+	.A(FE_OFN352_u_soc_data_wdata_5),
+	.X(FE_PDN3839_FE_OFN352_u_soc_data_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3838_FE_OFN18751_FE_OFN422_u_soc_u_dccm_rdata1_15 (
+	.A(FE_OFN18751_FE_OFN422_u_soc_u_dccm_rdata1_15),
+	.X(FE_PDN3838_FE_OFN18751_FE_OFN422_u_soc_u_dccm_rdata1_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3837_FE_OFN323_u_soc_data_wdata_9 (
+	.A(FE_OFN323_u_soc_data_wdata_9),
+	.X(FE_PDN3837_FE_OFN323_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3836_FE_OFN19163_n (
+	.A(FE_OFN19163_n),
+	.X(FE_PDN3836_FE_OFN19163_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3835_FE_OFN763_u_soc_u_iccm_rdata1_10 (
+	.A(FE_OFN763_u_soc_u_iccm_rdata1_10),
+	.X(FE_PDN3835_FE_OFN763_u_soc_u_iccm_rdata1_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3833_u_soc_u_iccm_addr1_0 (
+	.A(u_soc_u_iccm_addr1[0]),
+	.X(FE_PDN3833_u_soc_u_iccm_addr1_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3795_io_out_16 (
+	.A(FE_PDN19212_io_out_16),
+	.X(io_out[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3832_FE_OFN1031_u_soc_uart_to_xbar_d_data__7 (
+	.A(FE_OFN1031_u_soc_uart_to_xbar_d_data__7),
+	.X(FE_PDN3832_FE_OFN1031_u_soc_uart_to_xbar_d_data__7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3794_u_soc_u_tcam_rdata_3 (
+	.A(FE_PDN19214_u_soc_u_tcam_rdata_3),
+	.X(FE_PDN19211_u_soc_u_tcam_rdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3831_FE_OFN1037_u_soc_uart_to_xbar_d_data__1 (
+	.A(FE_OFN1037_u_soc_uart_to_xbar_d_data__1),
+	.X(FE_PDN3831_FE_OFN1037_u_soc_uart_to_xbar_d_data__1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3830_FE_OFN19092_FE_OFN18920_FE_OFN18885_n (
+	.A(FE_OFN19092_FE_OFN18920_FE_OFN18885_n),
+	.X(FE_PDN3830_FE_OFN19092_FE_OFN18920_FE_OFN18885_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3829_FE_OFN1703_u_soc_xbar_to_dccm_a_data__21 (
+	.A(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.X(FE_PDN3829_FE_OFN1703_u_soc_xbar_to_dccm_a_data__21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3828_FE_OFN18778_FE_OFN18010_n (
+	.A(FE_OFN18778_FE_OFN18010_n),
+	.X(FE_PDN3828_FE_OFN18778_FE_OFN18010_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3827_FE_OFN18734_logic_0_18_net (
+	.A(FE_OFN18734_logic_0_18_net),
+	.X(FE_PDN3827_FE_OFN18734_logic_0_18_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3826_FE_OFN19196_n (
+	.A(FE_OFN19196_n),
+	.X(FE_PDN3826_FE_OFN19196_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3825_logic_0_4_net (
+	.A(logic_0_4_net),
+	.X(FE_PDN3825_logic_0_4_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3824_FE_OFN1724_u_soc_xbar_to_dccm_a_data__2 (
+	.A(FE_OFN1724_u_soc_xbar_to_dccm_a_data__2),
+	.X(FE_PDN3824_FE_OFN1724_u_soc_xbar_to_dccm_a_data__2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3823_FE_OFN18838_n (
+	.A(FE_OFN18838_n),
+	.X(FE_PDN3823_FE_OFN18838_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3822_FE_OFN19077_FE_OFN18977_n (
+	.A(FE_OFN19077_FE_OFN18977_n),
+	.X(FE_PDN3822_FE_OFN19077_FE_OFN18977_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3821_FE_OFN1036_u_soc_uart_to_xbar_d_data__2 (
+	.A(FE_OFN1036_u_soc_uart_to_xbar_d_data__2),
+	.X(FE_PDN3821_FE_OFN1036_u_soc_uart_to_xbar_d_data__2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3820_FE_OFN18720_FE_OFN18070_n (
+	.A(FE_OFN18720_FE_OFN18070_n),
+	.X(FE_PDN3820_FE_OFN18720_FE_OFN18070_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3819_FE_OFN422_u_soc_u_dccm_rdata1_15 (
+	.A(FE_OFN422_u_soc_u_dccm_rdata1_15),
+	.X(FE_PDN3819_FE_OFN422_u_soc_u_dccm_rdata1_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3818_n_17962 (
+	.A(n_17962),
+	.X(FE_PDN3818_n_17962), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3817_FE_OFN18683_FE_OFN1889_n (
+	.A(FE_OFN18683_FE_OFN1889_n),
+	.X(FE_PDN3817_FE_OFN18683_FE_OFN1889_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3816_FE_OFN18767_FE_OFN18069_n (
+	.A(FE_OFN18767_FE_OFN18069_n),
+	.X(FE_PDN3816_FE_OFN18767_FE_OFN18069_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_PDC3815_io_out_17 (
+	.A(FE_PDN3814_io_out_17),
+	.X(io_out[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3813_FE_OFN18873_n (
+	.A(FE_OFN18873_n),
+	.X(FE_PDN3813_FE_OFN18873_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3812_FE_OFN18644_FE_OFN18074_n (
+	.A(FE_OFN18644_FE_OFN18074_n),
+	.X(FE_PDN3812_FE_OFN18644_FE_OFN18074_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3810_FE_OFN216_u_soc_data_wdata_24 (
+	.A(FE_OFN216_u_soc_data_wdata_24),
+	.X(FE_PDN3810_FE_OFN216_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3809_logic_0_2_net (
+	.A(logic_0_2_net),
+	.X(FE_PDN3809_logic_0_2_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3808_FE_OFN18872_n (
+	.A(FE_OFN18872_n),
+	.X(FE_PDN3808_FE_OFN18872_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3807_FE_OFN18881_n (
+	.A(FE_OFN18881_n),
+	.X(FE_PDN3807_FE_OFN18881_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3806_n_17952 (
+	.A(n_17952),
+	.X(FE_PDN3806_n_17952), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3805_FE_OFN621_u_soc_instr_wdata_16 (
+	.A(FE_OFN621_u_soc_instr_wdata_16),
+	.X(FE_PDN3805_FE_OFN621_u_soc_instr_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3804_FE_OFN1032_u_soc_uart_to_xbar_d_data__6 (
+	.A(FE_OFN1032_u_soc_uart_to_xbar_d_data__6),
+	.X(FE_PDN3804_FE_OFN1032_u_soc_uart_to_xbar_d_data__6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3803_n_17976 (
+	.A(n_17976),
+	.X(FE_PDN3803_n_17976), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3801_FE_OFN1723_u_soc_xbar_to_dccm_a_data__3 (
+	.A(FE_OFN1723_u_soc_xbar_to_dccm_a_data__3),
+	.X(FE_PDN3801_FE_OFN1723_u_soc_xbar_to_dccm_a_data__3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3793_logic_0_34_net (
+	.A(logic_0_34_net),
+	.X(FE_PDN19210_logic_0_34_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13 (
+	.A(FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.X(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3799_FE_OFN19074_FE_OFN18989_FE_OFN18978_n (
+	.A(FE_OFN19074_FE_OFN18989_FE_OFN18978_n),
+	.X(FE_PDN3799_FE_OFN19074_FE_OFN18989_FE_OFN18978_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3798_FE_OFN947_u_soc_instr_wmask_0 (
+	.A(FE_OFN947_u_soc_instr_wmask_0),
+	.X(FE_PDN3798_FE_OFN947_u_soc_instr_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3797_n_17974 (
+	.A(n_17974),
+	.X(FE_PDN3797_n_17974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3796_FE_OFN1_io_out_37 (
+	.A(FE_OFN1_io_out_37),
+	.X(FE_PDN3796_FE_OFN1_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3795_logic_0_47_net (
+	.A(logic_0_47_net),
+	.X(FE_PDN3795_logic_0_47_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3794_FE_OFN1033_u_soc_uart_to_xbar_d_data__5 (
+	.A(FE_OFN1033_u_soc_uart_to_xbar_d_data__5),
+	.X(FE_PDN3794_FE_OFN1033_u_soc_uart_to_xbar_d_data__5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3792_FE_OFN195_u_soc_data_wdata_28 (
+	.A(FE_OFN195_u_soc_data_wdata_28),
+	.X(FE_PDN3792_FE_OFN195_u_soc_data_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3790_FE_OFN1034_u_soc_uart_to_xbar_d_data__4 (
+	.A(FE_OFN1034_u_soc_uart_to_xbar_d_data__4),
+	.X(FE_PDN3790_FE_OFN1034_u_soc_uart_to_xbar_d_data__4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3789_FE_OFN909_u_soc_main_swith_host_lsu_dev_select_outstanding_0 (
+	.A(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
+	.X(FE_PDN3789_FE_OFN909_u_soc_main_swith_host_lsu_dev_select_outstanding_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3788_FE_OFN1499_n_2160 (
+	.A(FE_OFN1499_n_2160),
+	.X(FE_PDN3788_FE_OFN1499_n_2160), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3787_FE_OFN18888_n (
+	.A(FE_OFN18888_n),
+	.X(FE_PDN3787_FE_OFN18888_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3786_u_soc_u_dccm_rdata2_0 (
+	.A(FE_PDN3869_u_soc_u_dccm_rdata2_0),
+	.X(FE_PDN3786_u_soc_u_dccm_rdata2_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3784_FE_OFN919_u_soc_data_wmask_3 (
+	.A(FE_OFN919_u_soc_data_wmask_3),
+	.X(FE_PDN3784_FE_OFN919_u_soc_data_wmask_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3783_u_soc_u_top_u_core_fetch_enable_q (
+	.A(u_soc_u_top_u_core_fetch_enable_q),
+	.X(FE_PDN3783_u_soc_u_top_u_core_fetch_enable_q), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3782_FE_OFN489_u_soc_u_dccm_rdata4_24 (
+	.A(FE_OFN489_u_soc_u_dccm_rdata4_24),
+	.X(FE_PDN3782_FE_OFN489_u_soc_u_dccm_rdata4_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_PDC3781_u_soc_u_iccm_addr1_7 (
+	.A(u_soc_u_iccm_addr1[7]),
+	.X(FE_PDN3781_u_soc_u_iccm_addr1_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3780_FE_OFN942_u_soc_data_wmask_0 (
+	.A(FE_OFN942_u_soc_data_wmask_0),
+	.X(FE_PDN3780_FE_OFN942_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3779_FE_OFN181_u_soc_data_wdata_30 (
+	.A(FE_OFN181_u_soc_data_wdata_30),
+	.X(FE_PDN3779_FE_OFN181_u_soc_data_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3777_FE_OFN512_u_soc_u_dccm_rdata4_1 (
+	.A(FE_OFN512_u_soc_u_dccm_rdata4_1),
+	.X(FE_PDN3777_FE_OFN512_u_soc_u_dccm_rdata4_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3776_FE_OFN189_u_soc_data_wdata_29 (
+	.A(FE_OFN189_u_soc_data_wdata_29),
+	.X(FE_PDN3776_FE_OFN189_u_soc_data_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3775_FE_OFN1721_u_soc_xbar_to_dccm_a_data__5 (
+	.A(FE_OFN1721_u_soc_xbar_to_dccm_a_data__5),
+	.X(FE_PDN3775_FE_OFN1721_u_soc_xbar_to_dccm_a_data__5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3774_FE_OFN488_u_soc_u_dccm_rdata4_25 (
+	.A(FE_OFN488_u_soc_u_dccm_rdata4_25),
+	.X(FE_PDN3774_FE_OFN488_u_soc_u_dccm_rdata4_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3773_FE_OFN18868_n (
+	.A(FE_OFN18868_n),
+	.X(FE_PDN3773_FE_OFN18868_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3772_FE_OFN511_u_soc_u_dccm_rdata4_2 (
+	.A(FE_OFN511_u_soc_u_dccm_rdata4_2),
+	.X(FE_PDN3772_FE_OFN511_u_soc_u_dccm_rdata4_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3771_FE_OFN735_u_soc_instr_wdata_0 (
+	.A(FE_OFN735_u_soc_instr_wdata_0),
+	.X(FE_PDN3771_FE_OFN735_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3770_u_soc_uart_to_xbar_d_data_15 (
+	.A(\u_soc_uart_to_xbar[d_data] [15]),
+	.X(FE_PDN3770_u_soc_uart_to_xbar_d_data_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3769_FE_OFN509_u_soc_u_dccm_rdata4_4 (
+	.A(FE_OFN509_u_soc_u_dccm_rdata4_4),
+	.X(FE_PDN3769_FE_OFN509_u_soc_u_dccm_rdata4_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3768_FE_OFN1035_u_soc_uart_to_xbar_d_data__3 (
+	.A(FE_OFN1035_u_soc_uart_to_xbar_d_data__3),
+	.X(FE_PDN3768_FE_OFN1035_u_soc_uart_to_xbar_d_data__3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3767_FE_OFN441_u_soc_u_dccm_rdata1_5 (
+	.A(FE_OFN441_u_soc_u_dccm_rdata1_5),
+	.X(FE_PDN3767_FE_OFN441_u_soc_u_dccm_rdata1_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3766_FE_OFN1787_n_10155 (
+	.A(FE_OFN1787_n_10155),
+	.X(FE_PDN3766_FE_OFN1787_n_10155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC3764_u_soc_u_iccm_rdata1_26 (
+	.A(FE_PDN3866_u_soc_u_iccm_rdata1_26),
+	.X(FE_PDN3764_u_soc_u_iccm_rdata1_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3763_FE_OFN234_u_soc_data_wdata_22 (
+	.A(FE_OFN234_u_soc_data_wdata_22),
+	.X(FE_PDN3763_FE_OFN234_u_soc_data_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3762_FE_OFN513_u_soc_u_dccm_rdata4_0 (
+	.A(FE_OFN513_u_soc_u_dccm_rdata4_0),
+	.X(FE_PDN3762_FE_OFN513_u_soc_u_dccm_rdata4_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_PDC3792_FE_OFN19015_n (
+	.A(FE_OFN19015_n),
+	.Y(FE_PDN19209_FE_OFN19015_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_8 FE_PDC3791_FE_OFN19015_n (
+	.A(FE_PDN19209_FE_OFN19015_n),
+	.Y(FE_PDN19208_FE_OFN19015_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3761_FE_OFN18755_FE_OFN18001_n (
+	.A(FE_OFN18755_FE_OFN18001_n),
+	.X(FE_PDN3761_FE_OFN18755_FE_OFN18001_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3760_FE_OFN604_u_soc_instr_wdata_19 (
+	.A(FE_OFN604_u_soc_instr_wdata_19),
+	.X(FE_PDN3760_FE_OFN604_u_soc_instr_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3759_FE_OFN444_u_soc_u_dccm_rdata1_2 (
+	.A(FE_OFN444_u_soc_u_dccm_rdata1_2),
+	.X(FE_PDN3759_FE_OFN444_u_soc_u_dccm_rdata1_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3758_FE_OFN502_u_soc_u_dccm_rdata4_11 (
+	.A(FE_OFN502_u_soc_u_dccm_rdata4_11),
+	.X(FE_PDN3758_FE_OFN502_u_soc_u_dccm_rdata4_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3756_FE_OFN274_u_soc_data_wdata_16 (
+	.A(FE_OFN274_u_soc_data_wdata_16),
+	.X(FE_PDN3756_FE_OFN274_u_soc_data_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3753_FE_OFN628_u_soc_instr_wdata_15 (
+	.A(FE_OFN628_u_soc_instr_wdata_15),
+	.X(FE_PDN3753_FE_OFN628_u_soc_instr_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3752_FE_OFN329_u_soc_data_wdata_8 (
+	.A(FE_OFN329_u_soc_data_wdata_8),
+	.X(FE_PDN3752_FE_OFN329_u_soc_data_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3751_FE_OFN18745_FE_OFN17991_n (
+	.A(FE_OFN18745_FE_OFN17991_n),
+	.X(FE_PDN3751_FE_OFN18745_FE_OFN17991_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3750_FE_OFN713_u_soc_instr_wdata_3 (
+	.A(FE_OFN713_u_soc_instr_wdata_3),
+	.X(FE_PDN3750_FE_OFN713_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3749_FE_OFN443_u_soc_u_dccm_rdata1_3 (
+	.A(FE_OFN443_u_soc_u_dccm_rdata1_3),
+	.X(FE_PDN3749_FE_OFN443_u_soc_u_dccm_rdata1_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3748_FE_OFN739_u_soc_u_iccm_rdata1_30 (
+	.A(FE_OFN739_u_soc_u_iccm_rdata1_30),
+	.X(FE_PDN3748_FE_OFN739_u_soc_u_iccm_rdata1_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3747_FE_OFN505_u_soc_u_dccm_rdata4_8 (
+	.A(FE_OFN505_u_soc_u_dccm_rdata4_8),
+	.X(FE_PDN3747_FE_OFN505_u_soc_u_dccm_rdata4_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3746_FE_OFN1088_n_1433 (
+	.A(FE_OFN1088_n_1433),
+	.X(FE_PDN3746_FE_OFN1088_n_1433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3745_FE_OFN507_u_soc_u_dccm_rdata4_6 (
+	.A(FE_OFN507_u_soc_u_dccm_rdata4_6),
+	.X(FE_PDN3745_FE_OFN507_u_soc_u_dccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3744_FE_OFN655_u_soc_instr_wdata_11 (
+	.A(FE_OFN655_u_soc_instr_wdata_11),
+	.X(FE_PDN3744_FE_OFN655_u_soc_instr_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3743_FE_OFN374_u_soc_data_wdata_2 (
+	.A(FE_OFN374_u_soc_data_wdata_2),
+	.X(FE_PDN3743_FE_OFN374_u_soc_data_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3742_FE_OFN747_u_soc_u_iccm_rdata1_23 (
+	.A(FE_OFN747_u_soc_u_iccm_rdata1_23),
+	.X(FE_PDN3742_FE_OFN747_u_soc_u_iccm_rdata1_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3741_FE_OFN261_u_soc_data_wdata_18 (
+	.A(FE_OFN261_u_soc_data_wdata_18),
+	.X(FE_PDN3741_FE_OFN261_u_soc_data_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3740_FE_OFN764_u_soc_u_iccm_rdata1_9 (
+	.A(FE_OFN764_u_soc_u_iccm_rdata1_9),
+	.X(FE_PDN3740_FE_OFN764_u_soc_u_iccm_rdata1_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3739_FE_OFN582_u_soc_instr_wdata_22 (
+	.A(FE_OFN582_u_soc_instr_wdata_22),
+	.X(FE_PDN3739_FE_OFN582_u_soc_instr_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3738_FE_OFN530_u_soc_instr_wdata_29 (
+	.A(FE_OFN530_u_soc_instr_wdata_29),
+	.X(FE_PDN3738_FE_OFN530_u_soc_instr_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3737_FE_OFN18737_FE_OFN17996_n (
+	.A(FE_OFN18737_FE_OFN17996_n),
+	.X(FE_PDN3737_FE_OFN18737_FE_OFN17996_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3736_FE_OFN501_u_soc_u_dccm_rdata4_12 (
+	.A(FE_OFN501_u_soc_u_dccm_rdata4_12),
+	.X(FE_PDN3736_FE_OFN501_u_soc_u_dccm_rdata4_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3735_FE_OFN741_u_soc_u_iccm_rdata1_28 (
+	.A(FE_OFN741_u_soc_u_iccm_rdata1_28),
+	.X(FE_PDN3735_FE_OFN741_u_soc_u_iccm_rdata1_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3734_FE_OFN365_u_soc_data_wdata_3 (
+	.A(FE_OFN365_u_soc_data_wdata_3),
+	.X(FE_PDN3734_FE_OFN365_u_soc_data_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3733_FE_OFN706_u_soc_instr_wdata_4 (
+	.A(FE_OFN706_u_soc_instr_wdata_4),
+	.X(FE_PDN3733_FE_OFN706_u_soc_instr_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3732_FE_OFN18780_FE_OFN17995_n (
+	.A(FE_OFN18780_FE_OFN17995_n),
+	.X(FE_PDN3732_FE_OFN18780_FE_OFN17995_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3731_FE_OFN699_u_soc_instr_wdata_5 (
+	.A(FE_OFN699_u_soc_instr_wdata_5),
+	.X(FE_PDN3731_FE_OFN699_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3730_FE_OFN469_u_soc_u_dccm_rdata2_10 (
+	.A(FE_OFN469_u_soc_u_dccm_rdata2_10),
+	.X(FE_PDN3730_FE_OFN469_u_soc_u_dccm_rdata2_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3729_FE_OFN17998_n (
+	.A(FE_OFN17998_n),
+	.X(FE_PDN3729_FE_OFN17998_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3728_FE_OFN765_u_soc_u_iccm_rdata1_8 (
+	.A(FE_OFN765_u_soc_u_iccm_rdata1_8),
+	.X(FE_PDN3728_FE_OFN765_u_soc_u_iccm_rdata1_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3727_FE_OFN676_u_soc_instr_wdata_8 (
+	.A(FE_OFN676_u_soc_instr_wdata_8),
+	.X(FE_PDN3727_FE_OFN676_u_soc_instr_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3726_FE_OFN504_u_soc_u_dccm_rdata4_9 (
+	.A(FE_OFN504_u_soc_u_dccm_rdata4_9),
+	.X(FE_PDN3726_FE_OFN504_u_soc_u_dccm_rdata4_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3725_FE_OFN317_u_soc_data_wdata_10 (
+	.A(FE_OFN317_u_soc_data_wdata_10),
+	.X(FE_PDN3725_FE_OFN317_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3724_FE_OFN497_u_soc_u_dccm_rdata4_16 (
+	.A(FE_OFN497_u_soc_u_dccm_rdata4_16),
+	.X(FE_PDN3724_FE_OFN497_u_soc_u_dccm_rdata4_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3723_FE_OFN465_u_soc_u_dccm_rdata2_14 (
+	.A(FE_OFN465_u_soc_u_dccm_rdata2_14),
+	.X(FE_PDN3723_FE_OFN465_u_soc_u_dccm_rdata2_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3722_FE_OFN379_u_soc_data_wdata_1 (
+	.A(FE_OFN379_u_soc_data_wdata_1),
+	.X(FE_PDN3722_FE_OFN379_u_soc_data_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3721_FE_OFN463_u_soc_u_dccm_rdata2_16 (
+	.A(FE_OFN463_u_soc_u_dccm_rdata2_16),
+	.X(FE_PDN3721_FE_OFN463_u_soc_u_dccm_rdata2_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_PDC3720_FE_OFN908_u_soc_main_swith_host_lsu_dev_select_outstanding_1 (
+	.A(FE_OFN908_u_soc_main_swith_host_lsu_dev_select_outstanding_1),
+	.X(FE_PDN3720_FE_OFN908_u_soc_main_swith_host_lsu_dev_select_outstanding_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3719_FE_OFN464_u_soc_u_dccm_rdata2_15 (
+	.A(FE_OFN464_u_soc_u_dccm_rdata2_15),
+	.X(FE_PDN3719_FE_OFN464_u_soc_u_dccm_rdata2_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3718_FE_OFN498_u_soc_u_dccm_rdata4_15 (
+	.A(FE_OFN498_u_soc_u_dccm_rdata4_15),
+	.X(FE_PDN3718_FE_OFN498_u_soc_u_dccm_rdata4_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3717_FE_OFN503_u_soc_u_dccm_rdata4_10 (
+	.A(FE_OFN503_u_soc_u_dccm_rdata4_10),
+	.X(FE_PDN3717_FE_OFN503_u_soc_u_dccm_rdata4_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3716_FE_OFN351_u_soc_data_wdata_5 (
+	.A(FE_OFN351_u_soc_data_wdata_5),
+	.X(FE_PDN3716_FE_OFN351_u_soc_data_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3715_FE_OFN759_u_soc_u_iccm_rdata1_14 (
+	.A(FE_OFN759_u_soc_u_iccm_rdata1_14),
+	.X(FE_PDN3715_FE_OFN759_u_soc_u_iccm_rdata1_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3714_FE_OFN561_u_soc_instr_wdata_25 (
+	.A(FE_OFN561_u_soc_instr_wdata_25),
+	.X(FE_PDN3714_FE_OFN561_u_soc_instr_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3713_FE_OFN358_u_soc_data_wdata_4 (
+	.A(FE_OFN358_u_soc_data_wdata_4),
+	.X(FE_PDN3713_FE_OFN358_u_soc_data_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3712_FE_OFN472_u_soc_u_dccm_rdata2_7 (
+	.A(FE_OFN472_u_soc_u_dccm_rdata2_7),
+	.X(FE_PDN3712_FE_OFN472_u_soc_u_dccm_rdata2_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3711_FE_OFN1278_u_soc_data_we (
+	.A(FE_OFN1278_u_soc_data_we),
+	.X(FE_PDN3711_FE_OFN1278_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3710_FE_OFN683_u_soc_instr_wdata_7 (
+	.A(FE_OFN683_u_soc_instr_wdata_7),
+	.X(FE_PDN3710_FE_OFN683_u_soc_instr_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3709_FE_OFN493_u_soc_u_dccm_rdata4_20 (
+	.A(FE_OFN493_u_soc_u_dccm_rdata4_20),
+	.X(FE_PDN3709_FE_OFN493_u_soc_u_dccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3708_FE_OFN750_u_soc_u_iccm_rdata1_21 (
+	.A(FE_OFN750_u_soc_u_iccm_rdata1_21),
+	.X(FE_PDN3708_FE_OFN750_u_soc_u_iccm_rdata1_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3790_n_17941 (
+	.A(n_17941),
+	.X(FE_PDN19207_n_17941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_PDC3789_n_17941 (
+	.A(FE_PDN19207_n_17941),
+	.Y(FE_PDN19206_n_17941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_PDC3788_n_17941 (
+	.A(FE_PDN19206_n_17941),
+	.Y(FE_PDN19205_n_17941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3787_n_17941 (
+	.A(FE_PDN19205_n_17941),
+	.X(FE_PDN19204_n_17941), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3707_FE_OFN495_u_soc_u_dccm_rdata4_18 (
+	.A(FE_OFN495_u_soc_u_dccm_rdata4_18),
+	.X(FE_PDN3707_FE_OFN495_u_soc_u_dccm_rdata4_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3706_FE_OFN761_u_soc_u_iccm_rdata1_12 (
+	.A(FE_OFN761_u_soc_u_iccm_rdata1_12),
+	.X(FE_PDN3706_FE_OFN761_u_soc_u_iccm_rdata1_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3705_FE_OFN18715_FE_OFN414_u_soc_u_dccm_rdata1_19 (
+	.A(FE_OFN18715_FE_OFN414_u_soc_u_dccm_rdata1_19),
+	.X(FE_PDN3705_FE_OFN18715_FE_OFN414_u_soc_u_dccm_rdata1_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3704_FE_OFN177_u_soc_data_wdata_31 (
+	.A(FE_OFN177_u_soc_data_wdata_31),
+	.X(FE_PDN3704_FE_OFN177_u_soc_data_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3703_FE_OFN455_u_soc_u_dccm_rdata2_24 (
+	.A(FE_OFN455_u_soc_u_dccm_rdata2_24),
+	.X(FE_PDN3703_FE_OFN455_u_soc_u_dccm_rdata2_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3702_FE_OFN18976_n (
+	.A(FE_OFN18976_n),
+	.X(FE_PDN3702_FE_OFN18976_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3701_u_soc_xbar_to_dccm_a_data_14 (
+	.A(\u_soc_xbar_to_dccm[a_data] [14]),
+	.X(FE_PDN3701_u_soc_xbar_to_dccm_a_data_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3700_FE_OFN18870_n (
+	.A(FE_OFN18870_n),
+	.X(FE_PDN3700_FE_OFN18870_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3699_n_17939 (
+	.A(n_17939),
+	.X(FE_PDN3699_n_17939), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3698_u_soc_xbar_to_dccm_a_data_29 (
+	.A(\u_soc_xbar_to_dccm[a_data] [29]),
+	.X(FE_PDN3698_u_soc_xbar_to_dccm_a_data_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3697_n_17938 (
+	.A(n_17938),
+	.X(FE_PDN3697_n_17938), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3696_n_17936 (
+	.A(n_17936),
+	.X(FE_PDN3696_n_17936), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3695_n_17935 (
+	.A(n_17935),
+	.X(FE_PDN3695_n_17935), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3694_u_soc_u_dccm_rdata4_30 (
+	.A(u_soc_u_dccm_rdata4[30]),
+	.X(FE_PDN3694_u_soc_u_dccm_rdata4_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_1 FE_PDC3693_u_soc_data_addr_0 (
+	.A(u_soc_data_addr[0]),
+	.X(FE_PDN3693_u_soc_data_addr_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3692_n_17940 (
+	.A(n_17940),
+	.X(FE_PDN3692_n_17940), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3691_FE_OFN18740_FE_OFN18000_n (
+	.A(FE_OFN18740_FE_OFN18000_n),
+	.X(FE_PDN3691_FE_OFN18740_FE_OFN18000_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3690_FE_OFN662_u_soc_instr_wdata_10 (
+	.A(FE_OFN662_u_soc_instr_wdata_10),
+	.X(FE_PDN3690_FE_OFN662_u_soc_instr_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3689_FE_OFN564_u_soc_instr_wdata_24 (
+	.A(FE_OFN564_u_soc_instr_wdata_24),
+	.X(FE_PDN3689_FE_OFN564_u_soc_instr_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3688_FE_OFN491_u_soc_u_dccm_rdata4_22 (
+	.A(FE_PDN4051_FE_OFN491_u_soc_u_dccm_rdata4_22),
+	.X(FE_PDN3688_FE_OFN491_u_soc_u_dccm_rdata4_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3786_u_soc_u_iccm_rdata1_10 (
+	.A(u_soc_u_iccm_rdata1[10]),
+	.X(FE_PDN19203_u_soc_u_iccm_rdata1_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3686_u_soc_uart_to_xbar_d_data_14 (
+	.A(\u_soc_uart_to_xbar[d_data] [14]),
+	.X(FE_PDN3686_u_soc_uart_to_xbar_d_data_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3684_FE_OFN300_u_soc_data_wdata_12 (
+	.A(FE_OFN300_u_soc_data_wdata_12),
+	.X(FE_PDN3684_FE_OFN300_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3683_FE_OFN430_u_soc_u_dccm_rdata1_11 (
+	.A(FE_PDN3873_FE_OFN430_u_soc_u_dccm_rdata1_11),
+	.X(FE_PDN3683_FE_OFN430_u_soc_u_dccm_rdata1_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3681_FE_OFN19195_n (
+	.A(FE_OFN19195_n),
+	.X(FE_PDN3681_FE_OFN19195_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27 (
+	.A(FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.X(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3679_FE_OFN384_u_soc_data_wdata_0 (
+	.A(FE_OFN384_u_soc_data_wdata_0),
+	.X(FE_PDN3679_FE_OFN384_u_soc_data_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3678_FE_OFN398_u_soc_u_dccm_rdata1_27 (
+	.A(FE_PDN3867_FE_OFN398_u_soc_u_dccm_rdata1_27),
+	.X(FE_PDN3678_FE_OFN398_u_soc_u_dccm_rdata1_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3677_FE_OFN1089_n_1438 (
+	.A(FE_OFN1089_n_1438),
+	.X(FE_PDN3677_FE_OFN1089_n_1438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3676_FE_OFN432_u_soc_u_dccm_rdata1_10 (
+	.A(FE_OFN432_u_soc_u_dccm_rdata1_10),
+	.X(FE_PDN3676_FE_OFN432_u_soc_u_dccm_rdata1_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3675_FE_OFN416_u_soc_u_dccm_rdata1_18 (
+	.A(FE_PDN3870_FE_OFN416_u_soc_u_dccm_rdata1_18),
+	.X(FE_PDN3675_FE_OFN416_u_soc_u_dccm_rdata1_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31 (
+	.A(FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.X(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3673_FE_OFN776_u_soc_u_iccm_rdata1_2 (
+	.A(FE_PDN3863_FE_OFN776_u_soc_u_iccm_rdata1_2),
+	.X(FE_PDN3673_FE_OFN776_u_soc_u_iccm_rdata1_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3672_FE_OFN410_u_soc_u_dccm_rdata1_21 (
+	.A(FE_PDN3860_FE_OFN410_u_soc_u_dccm_rdata1_21),
+	.X(FE_PDN3672_FE_OFN410_u_soc_u_dccm_rdata1_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3671_FE_OFN933_u_soc_data_wmask_1 (
+	.A(FE_OFN933_u_soc_data_wmask_1),
+	.X(FE_PDN3671_FE_OFN933_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3670_FE_OFN1782_n_7806 (
+	.A(FE_OFN1782_n_7806),
+	.X(FE_PDN3670_FE_OFN1782_n_7806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3669_FE_OFN745_u_soc_u_iccm_rdata1_25 (
+	.A(FE_PDN3861_FE_OFN745_u_soc_u_iccm_rdata1_25),
+	.X(FE_PDN3669_FE_OFN745_u_soc_u_iccm_rdata1_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3668_FE_OFN306_u_soc_data_wdata_11 (
+	.A(FE_OFN306_u_soc_data_wdata_11),
+	.X(FE_PDN3668_FE_OFN306_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3667_FE_OFN742_u_soc_u_iccm_rdata1_27 (
+	.A(FE_PDN3859_FE_OFN742_u_soc_u_iccm_rdata1_27),
+	.X(FE_PDN3667_FE_OFN742_u_soc_u_iccm_rdata1_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3666_FE_OFN342_u_soc_data_wdata_6 (
+	.A(FE_OFN342_u_soc_data_wdata_6),
+	.X(FE_PDN3666_FE_OFN342_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3665_FE_OFN335_u_soc_data_wdata_7 (
+	.A(FE_OFN335_u_soc_data_wdata_7),
+	.X(FE_PDN3665_FE_OFN335_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3664_FE_OFN320_u_soc_data_wdata_9 (
+	.A(FE_OFN320_u_soc_data_wdata_9),
+	.X(FE_PDN3664_FE_OFN320_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3663_FE_OFN1564_n_5664 (
+	.A(FE_OFN1564_n_5664),
+	.X(FE_PDN3663_FE_OFN1564_n_5664), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3662_FE_OFN402_u_soc_u_dccm_rdata1_25 (
+	.A(FE_PDN3868_FE_OFN402_u_soc_u_dccm_rdata1_25),
+	.X(FE_PDN3662_FE_OFN402_u_soc_u_dccm_rdata1_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3661_FE_OFN404_u_soc_u_dccm_rdata1_24 (
+	.A(FE_PDN3862_FE_OFN404_u_soc_u_dccm_rdata1_24),
+	.X(FE_PDN3661_FE_OFN404_u_soc_u_dccm_rdata1_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3660_FE_OFN396_u_soc_u_dccm_rdata1_28 (
+	.A(FE_PDN3871_FE_OFN396_u_soc_u_dccm_rdata1_28),
+	.X(FE_PDN3660_FE_OFN396_u_soc_u_dccm_rdata1_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_PDC3659_FE_OFN1565_n_5667 (
+	.A(FE_PDN4046_FE_PSBN19219_FE_PSN3983_n_5667),
+	.X(FE_PDN3659_FE_OFN1565_n_5667), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3658_FE_OFN204_u_soc_data_wdata_26 (
+	.A(FE_OFN204_u_soc_data_wdata_26),
+	.X(FE_PDN3658_FE_OFN204_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3657_FE_OFN1284_u_soc_prog_rst_ni (
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
+	.X(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_PDC3656_FE_OFN1717_u_soc_xbar_to_dccm_a_data__7 (
+	.A(FE_OFN1717_u_soc_xbar_to_dccm_a_data__7),
+	.X(FE_PDN3656_FE_OFN1717_u_soc_xbar_to_dccm_a_data__7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_PDC3785_logic_0_53_net (
+	.A(logic_0_53_net),
+	.X(FE_PDN19202_logic_0_53_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3784_logic_0_53_net (
+	.A(FE_PDN19202_logic_0_53_net),
+	.X(FE_PDN19201_logic_0_53_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_PDC3655_FE_OFN1591_n_7974 (
+	.A(FE_OFN1591_n_7974),
+	.X(FE_PDN3655_FE_OFN1591_n_7974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_PDC3783_logic_0_52_net (
+	.A(logic_0_52_net),
+	.X(FE_PDN19200_logic_0_52_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_PDC3782_logic_0_52_net (
+	.A(FE_PDN19200_logic_0_52_net),
+	.X(FE_PDN19199_logic_0_52_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_181 (
+	.DIODE(\u_soc_xbar_to_dccm[a_data] [15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_179 (
+	.DIODE(rx), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_178 (
+	.DIODE(FE_OFN180_u_soc_data_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_177 (
+	.DIODE(io_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_176 (
+	.DIODE(io_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_175 (
+	.DIODE(io_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_174 (
+	.DIODE(io_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_173 (
+	.DIODE(io_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_172 (
+	.DIODE(io_in[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_171 (
+	.DIODE(FE_PHN4033_io_in_33), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_170 (
+	.DIODE(io_in[32]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_169 (
+	.DIODE(n_17961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_168 (
+	.DIODE(n_17961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_167 (
+	.DIODE(n_17961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_166 (
+	.DIODE(n_17961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_165 (
+	.DIODE(n_17961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_164 (
+	.DIODE(n_17961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_163 (
+	.DIODE(n_17961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_162 (
+	.DIODE(io_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_161 (
+	.DIODE(io_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_160 (
+	.DIODE(io_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_159 (
+	.DIODE(io_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_158 (
+	.DIODE(io_in[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_157 (
+	.DIODE(io_in[5]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_156 (
+	.DIODE(n_17982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_155 (
+	.DIODE(n_17982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_154 (
+	.DIODE(n_17982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_153 (
+	.DIODE(n_17982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_152 (
+	.DIODE(n_17937), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_151 (
+	.DIODE(FE_OFN440_u_soc_u_dccm_rdata1_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_150 (
+	.DIODE(FE_OFN447_u_soc_u_dccm_rdata1_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_149 (
+	.DIODE(FE_OFN424_u_soc_u_dccm_rdata1_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_148 (
+	.DIODE(FE_PDN4100_logic_0_57_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_147 (
+	.DIODE(n_17960), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_146 (
+	.DIODE(FE_PDN4086_FE_OFN1034_u_soc_uart_to_xbar_d_data__4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_145 (
+	.DIODE(FE_PDN4097_FE_OFN1035_u_soc_uart_to_xbar_d_data__3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_144 (
+	.DIODE(FE_PDN4089_n_17943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_143 (
+	.DIODE(FE_PDN19211_u_soc_u_tcam_rdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_141 (
+	.DIODE(n_17969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_140 (
+	.DIODE(n_17969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_139 (
+	.DIODE(n_17969), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_138 (
+	.DIODE(n_17966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_137 (
+	.DIODE(n_17966), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_136 (
+	.DIODE(n_17964), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_135 (
+	.DIODE(n_17965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_134 (
+	.DIODE(n_17965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_133 (
+	.DIODE(n_17965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_132 (
+	.DIODE(n_17965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_131 (
+	.DIODE(n_17965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_130 (
+	.DIODE(n_17967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_129 (
+	.DIODE(n_17968), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_128 (
+	.DIODE(n_17963), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_127 (
+	.DIODE(FE_OFN1796_n_10535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_126 (
+	.DIODE(FE_OFN793_u_soc_u_iccm_rdata4_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_125 (
+	.DIODE(FE_OFN803_u_soc_u_iccm_rdata4_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_124 (
+	.DIODE(FE_OFN816_u_soc_u_iccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_123 (
+	.DIODE(FE_OFN817_u_soc_u_iccm_rdata4_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_122 (
+	.DIODE(FE_OFN821_u_soc_u_iccm_rdata4_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_121 (
+	.DIODE(FE_OFN629_u_soc_instr_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_120 (
+	.DIODE(n_7807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_119 (
+	.DIODE(FE_OFN695_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_118 (
+	.DIODE(FE_OFN665_u_soc_instr_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_117 (
+	.DIODE(FE_OFN740_u_soc_u_iccm_rdata1_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_116 (
+	.DIODE(FE_OFN718_u_soc_instr_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_115 (
+	.DIODE(FE_OFN594_u_soc_instr_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_114 (
+	.DIODE(FE_PDN4066_FE_OFN473_u_soc_u_dccm_rdata2_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_113 (
+	.DIODE(n_1324), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_112 (
+	.DIODE(FE_OFN660_u_soc_instr_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_111 (
+	.DIODE(FE_OFN795_u_soc_u_iccm_rdata4_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_110 (
+	.DIODE(FE_OFN688_u_soc_instr_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_109 (
+	.DIODE(FE_OFN674_u_soc_instr_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_108 (
+	.DIODE(FE_OFN466_u_soc_u_dccm_rdata2_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_107 (
+	.DIODE(FE_OFN532_u_soc_instr_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_106 (
+	.DIODE(FE_OFN1531_n_2388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_105 (
+	.DIODE(FE_OFN372_u_soc_data_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_104 (
+	.DIODE(FE_OFN805_u_soc_u_iccm_rdata4_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_103 (
+	.DIODE(FE_OFN461_u_soc_u_dccm_rdata2_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_102 (
+	.DIODE(FE_OFN800_u_soc_u_iccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_101 (
+	.DIODE(FE_OFN533_u_soc_instr_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_100 (
+	.DIODE(FE_OFN1528_n_2349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_99 (
+	.DIODE(FE_OFN214_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_98 (
+	.DIODE(FE_OFN452_u_soc_u_dccm_rdata2_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_97 (
+	.DIODE(FE_OFN474_u_soc_u_dccm_rdata2_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_96 (
+	.DIODE(FE_OFN19148_FE_OFN1722_u_soc_xbar_to_dccm_a_data__4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_95 (
+	.DIODE(FE_OFN232_u_soc_data_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_94 (
+	.DIODE(FE_OFN790_u_soc_u_iccm_rdata4_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_93 (
+	.DIODE(n_2381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_92 (
+	.DIODE(FE_OFN456_u_soc_u_dccm_rdata2_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_91 (
+	.DIODE(FE_OFN794_u_soc_u_iccm_rdata4_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_90 (
+	.DIODE(FE_OFN593_u_soc_instr_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_89 (
+	.DIODE(FE_OFN225_u_soc_data_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_88 (
+	.DIODE(FE_OFN457_u_soc_u_dccm_rdata2_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_87 (
+	.DIODE(FE_OFN632_u_soc_instr_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_86 (
+	.DIODE(FE_OFN1530_n_2374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_85 (
+	.DIODE(FE_OFN801_u_soc_u_iccm_rdata4_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_84 (
+	.DIODE(FE_OFN590_u_soc_instr_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_83 (
+	.DIODE(n_2395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_82 (
+	.DIODE(FE_OFN248_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_81 (
+	.DIODE(FE_OFN671_u_soc_instr_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_80 (
+	.DIODE(FE_OFN492_u_soc_u_dccm_rdata4_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_79 (
+	.DIODE(FE_OFN601_u_soc_instr_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_78 (
+	.DIODE(FE_OFN458_u_soc_u_dccm_rdata2_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_77 (
+	.DIODE(FE_OFN615_u_soc_instr_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_76 (
+	.DIODE(n_1320), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_75 (
+	.DIODE(FE_OFN813_u_soc_u_iccm_rdata4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_73 (
+	.DIODE(n_1336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_71 (
+	.DIODE(FE_OFN459_u_soc_u_dccm_rdata2_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_70 (
+	.DIODE(FE_OFN494_u_soc_u_dccm_rdata4_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_69 (
+	.DIODE(n_17975), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_68 (
+	.DIODE(n_1342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_67 (
+	.DIODE(FE_OFN198_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_66 (
+	.DIODE(FE_OFN490_u_soc_u_dccm_rdata4_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_64 (
+	.DIODE(FE_OFN797_u_soc_u_iccm_rdata4_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_63 (
+	.DIODE(FE_OFN500_u_soc_u_dccm_rdata4_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_62 (
+	.DIODE(FE_OFN799_u_soc_u_iccm_rdata4_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_60 (
+	.DIODE(FE_OFN784_u_soc_u_iccm_rdata2_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_59 (
+	.DIODE(FE_OFN785_u_soc_u_iccm_rdata2_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_58 (
+	.DIODE(FE_OFN786_u_soc_u_iccm_rdata2_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_57 (
+	.DIODE(FE_OFN796_u_soc_u_iccm_rdata4_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_56 (
+	.DIODE(FE_OFN486_u_soc_u_dccm_rdata4_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_55 (
+	.DIODE(FE_OFN810_u_soc_u_iccm_rdata4_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_54 (
+	.DIODE(FE_OFN787_u_soc_u_iccm_rdata2_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_53 (
+	.DIODE(FE_OFN807_u_soc_u_iccm_rdata4_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_52 (
+	.DIODE(FE_PSN3892_n_8549), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_51 (
+	.DIODE(FE_OFN806_u_soc_u_iccm_rdata4_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_50 (
+	.DIODE(FE_OFN210_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_49 (
+	.DIODE(FE_OFN789_u_soc_u_iccm_rdata4_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_48 (
+	.DIODE(FE_OFN508_u_soc_u_dccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_47 (
+	.DIODE(FE_OFN637_u_soc_instr_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_46 (
+	.DIODE(FE_OFN700_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_45 (
+	.DIODE(FE_OFN715_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_42 (
+	.DIODE(FE_OFN818_u_soc_u_iccm_rdata4_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_40 (
+	.DIODE(FE_OFN781_u_soc_u_iccm_rdata2_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_39 (
+	.DIODE(FE_OFN708_u_soc_instr_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_38 (
+	.DIODE(FE_OFN646_u_soc_instr_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_37 (
+	.DIODE(FE_OFN657_u_soc_instr_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_36 (
+	.DIODE(FE_OFN653_u_soc_instr_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_35 (
+	.DIODE(FE_OFN680_u_soc_instr_wdata_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_34 (
+	.DIODE(FE_OFN586_u_soc_instr_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_33 (
+	.DIODE(FE_PSN3891_n_8548), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_32 (
+	.DIODE(n_1204), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_31 (
+	.DIODE(FE_PDN3694_u_soc_u_dccm_rdata4_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_30 (
+	.DIODE(u_soc_u_iccm_rdata4[31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_29 (
+	.DIODE(u_soc_u_iccm_rdata4[28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_28 (
+	.DIODE(u_soc_u_iccm_rdata4[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_27 (
+	.DIODE(u_soc_u_iccm_rdata4[12]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_26 (
+	.DIODE(u_soc_u_iccm_rdata4[25]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_25 (
+	.DIODE(u_soc_u_iccm_rdata4[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_24 (
+	.DIODE(u_soc_u_iccm_rdata4[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_23 (
+	.DIODE(u_soc_u_dccm_rdata4[17]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_22 (
+	.DIODE(u_soc_u_iccm_rdata4[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_21 (
+	.DIODE(u_soc_u_iccm_rdata4[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_20 (
+	.DIODE(u_soc_u_iccm_rdata3[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_19 (
+	.DIODE(u_soc_u_dccm_rdata4[14]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_18 (
+	.DIODE(u_soc_u_dccm_rdata4[2]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_17 (
+	.DIODE(u_soc_u_iccm_rdata4[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_16 (
+	.DIODE(u_soc_u_iccm_rdata4[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_15 (
+	.DIODE(u_soc_u_dccm_rdata4[11]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_14 (
+	.DIODE(u_soc_u_dccm_rdata4[6]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_13 (
+	.DIODE(u_soc_u_dccm_rdata4[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_12 (
+	.DIODE(u_soc_u_dccm_rdata4[19]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_11 (
+	.DIODE(u_soc_u_dccm_rdata4[9]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_10 (
+	.DIODE(u_soc_u_dccm_rdata4[21]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_9 (
+	.DIODE(u_soc_u_iccm_rdata3[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_8 (
+	.DIODE(u_soc_u_dccm_rdata4[7]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_7 (
+	.DIODE(u_soc_u_dccm_rdata4[8]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_6 (
+	.DIODE(u_soc_u_dccm_rdata4[20]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_5 (
+	.DIODE(u_soc_u_dccm_rdata4[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_4 (
+	.DIODE(u_soc_u_dccm_rdata4[13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_3 (
+	.DIODE(u_soc_u_dccm_rdata4[10]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_2 (
+	.DIODE(u_soc_u_iccm_rdata4[15]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__diode_2 DIODE_1 (
+	.DIODE(u_soc_u_iccm_rdata4[27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3781_n (
+	.A(FE_OFN19197_n),
+	.X(FE_OFN19198_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3780_n (
+	.A(FE_OFN19196_n),
+	.X(FE_OFN19197_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3779_n (
+	.A(FE_OFN19165_n),
+	.X(FE_OFN19196_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3778_n (
+	.A(FE_OFN19168_n),
+	.X(FE_OFN19195_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3777_n (
+	.A(FE_OFN19170_n),
+	.X(FE_OFN19194_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3776_n (
+	.A(FE_OFN19171_n),
+	.X(FE_OFN19193_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3775_n (
+	.A(FE_OFN19174_n),
+	.X(FE_OFN19192_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3774_n (
+	.A(FE_OFN19176_n),
+	.X(FE_OFN19191_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3773_FE_OFN18989_FE_OFN18978_n (
+	.A(FE_OFN19073_FE_OFN18989_FE_OFN18978_n),
+	.X(FE_OFN19190_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3772_FE_OFN18943_FE_OFN18710_FE_OFN1911_n (
+	.A(FE_OFN19118_FE_OFN18943_FE_OFN18710_FE_OFN1911_n),
+	.X(FE_OFN19189_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3771_FE_OFN18980_n (
+	.A(FE_OFN19131_FE_OFN18980_n),
+	.X(FE_OFN19188_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3770_FE_OFN18977_n (
+	.A(FE_OFN19075_FE_OFN18977_n),
+	.X(FE_OFN19187_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3769_FE_OFN18909_FE_OFN18863_n (
+	.A(FE_OFN19106_FE_OFN18909_FE_OFN18863_n),
+	.X(FE_OFN19186_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3768_FE_OFN18926_FE_OFN18867_n (
+	.A(FE_OFN19107_FE_OFN18926_FE_OFN18867_n),
+	.X(FE_OFN19185_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3767_FE_OFN18932_FE_OFN18862_n (
+	.A(FE_OFN19111_FE_OFN18932_FE_OFN18862_n),
+	.X(FE_OFN19184_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3766_FE_OFN18905_FE_OFN18879_n (
+	.A(FE_OFN19081_FE_OFN18905_FE_OFN18879_n),
+	.X(FE_OFN19183_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3765_FE_OFN18922_FE_OFN18665_FE_OFN1890_n (
+	.A(FE_OFN19094_FE_OFN18922_FE_OFN18665_FE_OFN1890_n),
+	.X(FE_OFN19182_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3764_FE_OFN18983_n (
+	.A(FE_OFN19087_FE_OFN18983_n),
+	.X(FE_OFN19181_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3763_FE_OFN18940_FE_OFN18685_FE_OFN1891_n (
+	.A(FE_OFN19130_FE_OFN18940_FE_OFN18685_FE_OFN1891_n),
+	.X(FE_OFN19180_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3762_FE_OFN18984_n (
+	.A(FE_OFN19125_FE_OFN18984_n),
+	.X(FE_OFN19179_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3761_FE_OFN18908_FE_OFN18663_FE_OFN18034_n (
+	.A(FE_OFN19102_FE_OFN18908_FE_OFN18663_FE_OFN18034_n),
+	.X(FE_OFN19178_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3760_FE_OFN18913_FE_OFN18669_FE_OFN18032_n (
+	.A(FE_OFN19103_FE_OFN18913_FE_OFN18669_FE_OFN18032_n),
+	.X(FE_OFN19177_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3759_FE_OFN18904_FE_OFN18875_n (
+	.A(FE_OFN19101_FE_OFN18904_FE_OFN18875_n),
+	.X(FE_OFN19176_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3758_FE_OFN18906_FE_OFN18866_n (
+	.A(FE_OFN19105_FE_OFN18906_FE_OFN18866_n),
+	.X(FE_OFN19175_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3757_FE_OFN18902_FE_OFN18877_n (
+	.A(FE_OFN19100_FE_OFN18902_FE_OFN18877_n),
+	.X(FE_OFN19174_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3756_FE_OFN18927_FE_OFN18864_n (
+	.A(FE_OFN19108_FE_OFN18927_FE_OFN18864_n),
+	.X(FE_OFN19173_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3755_FE_OFN18930_FE_OFN18865_n (
+	.A(FE_OFN19109_FE_OFN18930_FE_OFN18865_n),
+	.X(FE_OFN19172_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3754_FE_OFN18900_FE_OFN18756_FE_OFN1885_n (
+	.A(FE_OFN19098_FE_OFN18900_FE_OFN18756_FE_OFN1885_n),
+	.X(FE_OFN19171_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3753_FE_OFN18901_FE_OFN18887_n (
+	.A(FE_OFN19099_FE_OFN18901_FE_OFN18887_n),
+	.X(FE_OFN19170_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3752_FE_OFN18931_FE_OFN18831_n (
+	.A(FE_OFN19110_FE_OFN18931_FE_OFN18831_n),
+	.X(FE_OFN19169_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3751_n (
+	.A(FE_OFN19166_n),
+	.X(FE_OFN19168_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3750_n (
+	.A(FE_OFN19161_n),
+	.X(FE_OFN19167_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3749_n (
+	.A(FE_OFN19161_n),
+	.X(FE_OFN19166_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3748_FE_OFN18989_FE_OFN18978_n (
+	.A(FE_OFN19164_n),
+	.X(FE_OFN19165_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3747_FE_OFN18989_FE_OFN18978_n (
+	.A(FE_OFN19073_FE_OFN18989_FE_OFN18978_n),
+	.X(FE_OFN19164_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3746_n (
+	.A(FE_OFN18859_n),
+	.X(FE_OFN19163_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3745_n (
+	.A(FE_OFN19161_n),
+	.X(FE_OFN19162_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3744_n (
+	.A(FE_OFN18975_n),
+	.X(FE_OFN19161_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3743_n_10234 (
+	.A(n_10234),
+	.X(FE_OFN19160_n_10234), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3742_n_5686 (
+	.A(n_5686),
+	.X(FE_OFN19159_n_5686), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3739_FE_OFN430_u_soc_u_dccm_rdata1_11 (
+	.A(FE_PDN3683_FE_OFN430_u_soc_u_dccm_rdata1_11),
+	.X(FE_OFN19156_FE_OFN430_u_soc_u_dccm_rdata1_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3736_u_soc_u_dccm_rdata4_28 (
+	.A(u_soc_u_dccm_rdata4[28]),
+	.X(FE_OFN19153_u_soc_u_dccm_rdata4_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3735_u_soc_data_wdata_24 (
+	.A(u_soc_data_wdata[24]),
+	.X(FE_OFN19152_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3734_u_soc_data_wdata_27 (
+	.A(u_soc_data_wdata[27]),
+	.X(FE_OFN19151_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3733_u_soc_u_dccm_rdata4_26 (
+	.A(u_soc_u_dccm_rdata4[26]),
+	.X(FE_OFN19150_u_soc_u_dccm_rdata4_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3732_FE_OFN19006_FE_OFN18882_n (
+	.A(FE_OFN19006_FE_OFN18882_n),
+	.X(FE_OFN19149_FE_OFN19006_FE_OFN18882_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3731_FE_OFN1722_u_soc_xbar_to_dccm_a_data__4 (
+	.A(FE_OFN1722_u_soc_xbar_to_dccm_a_data__4),
+	.X(FE_OFN19148_FE_OFN1722_u_soc_xbar_to_dccm_a_data__4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3730_FE_OFN19004_FE_OFN18871_n (
+	.A(FE_OFN19004_FE_OFN18871_n),
+	.X(FE_OFN19147_FE_OFN19004_FE_OFN18871_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3729_u_soc_u_dccm_rdata4_25 (
+	.A(u_soc_u_dccm_rdata4[25]),
+	.X(FE_OFN19146_u_soc_u_dccm_rdata4_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3728_FE_OFN18844_n (
+	.A(FE_OFN18844_n),
+	.X(FE_OFN19145_FE_OFN18844_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3727_FE_OFN18999_FE_OFN18876_n (
+	.A(FE_OFN18999_FE_OFN18876_n),
+	.X(FE_OFN19144_FE_OFN18999_FE_OFN18876_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3726_FE_OFN19001_FE_OFN18637_FE_OFN18062_n (
+	.A(FE_OFN19001_FE_OFN18637_FE_OFN18062_n),
+	.X(FE_OFN19143_FE_OFN19001_FE_OFN18637_FE_OFN18062_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3725_FE_OFN18982_n (
+	.A(FE_OFN18982_n),
+	.X(FE_OFN19142_FE_OFN18982_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3724_u_soc_data_wdata_31 (
+	.A(u_soc_data_wdata[31]),
+	.X(FE_OFN19141_u_soc_data_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3723_n_6883 (
+	.A(n_6883),
+	.X(FE_OFN19140_n_6883), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3722_n_6871 (
+	.A(n_6871),
+	.X(FE_OFN19139_n_6871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3721_FE_OFN19071_FE_OFN18957_FE_OFN18748_FE_OFN17989_n (
+	.A(FE_OFN19071_FE_OFN18957_FE_OFN18748_FE_OFN17989_n),
+	.X(FE_OFN19138_FE_OFN19071_FE_OFN18957_FE_OFN18748_FE_OFN17989_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3720_u_soc_data_wdata_29 (
+	.A(u_soc_data_wdata[29]),
+	.X(FE_OFN19137_u_soc_data_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3719_n_5974 (
+	.A(n_5974),
+	.X(FE_OFN19136_n_5974), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3718_FE_OFN18990_FE_OFN17994_n (
+	.A(FE_OFN18990_FE_OFN17994_n),
+	.X(FE_OFN19135_FE_OFN18990_FE_OFN17994_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3717_FE_OFN18955_FE_OFN18883_n (
+	.A(FE_OFN18955_FE_OFN18883_n),
+	.X(FE_OFN19134_FE_OFN18955_FE_OFN18883_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3716_n_7611 (
+	.A(n_7611),
+	.X(FE_OFN19133_n_7611), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3715_FE_OFN18953_FE_OFN18884_n (
+	.A(FE_OFN18953_FE_OFN18884_n),
+	.X(FE_OFN19132_FE_OFN18953_FE_OFN18884_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3714_FE_OFN18980_n (
+	.A(FE_OFN18980_n),
+	.X(FE_OFN19131_FE_OFN18980_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3713_FE_OFN18940_FE_OFN18685_FE_OFN1891_n (
+	.A(FE_OFN19129_FE_OFN18940_FE_OFN18685_FE_OFN1891_n),
+	.X(FE_OFN19130_FE_OFN18940_FE_OFN18685_FE_OFN1891_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3712_FE_OFN18940_FE_OFN18685_FE_OFN1891_n (
+	.A(FE_OFN18940_FE_OFN18685_FE_OFN1891_n),
+	.X(FE_OFN19129_FE_OFN18940_FE_OFN18685_FE_OFN1891_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3711_FE_OFN18936_FE_OFN18834_n (
+	.A(FE_OFN19127_FE_OFN18936_FE_OFN18834_n),
+	.X(FE_OFN19128_FE_OFN18936_FE_OFN18834_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3710_FE_OFN18936_FE_OFN18834_n (
+	.A(FE_OFN18936_FE_OFN18834_n),
+	.X(FE_OFN19127_FE_OFN18936_FE_OFN18834_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3709_FE_OFN436_u_soc_u_dccm_rdata1_8 (
+	.A(FE_OFN436_u_soc_u_dccm_rdata1_8),
+	.X(FE_OFN19126_FE_OFN436_u_soc_u_dccm_rdata1_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3708_FE_OFN18984_n (
+	.A(FE_OFN18984_n),
+	.X(FE_OFN19125_FE_OFN18984_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3707_FE_OFN18934_FE_OFN18682_FE_OFN1892_n (
+	.A(FE_OFN19123_FE_OFN18934_FE_OFN18682_FE_OFN1892_n),
+	.X(FE_OFN19124_FE_OFN18934_FE_OFN18682_FE_OFN1892_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3706_FE_OFN18934_FE_OFN18682_FE_OFN1892_n (
+	.A(FE_OFN18934_FE_OFN18682_FE_OFN1892_n),
+	.X(FE_OFN19123_FE_OFN18934_FE_OFN18682_FE_OFN1892_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3705_FE_OFN18938_FE_OFN18679_FE_OFN1896_n (
+	.A(FE_OFN19121_FE_OFN18938_FE_OFN18679_FE_OFN1896_n),
+	.X(FE_OFN19122_FE_OFN18938_FE_OFN18679_FE_OFN1896_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3704_FE_OFN18938_FE_OFN18679_FE_OFN1896_n (
+	.A(FE_OFN18938_FE_OFN18679_FE_OFN1896_n),
+	.X(FE_OFN19121_FE_OFN18938_FE_OFN18679_FE_OFN1896_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3703_FE_OFN18945_FE_OFN18688_FE_OFN1894_n (
+	.A(FE_OFN19119_FE_OFN18945_FE_OFN18688_FE_OFN1894_n),
+	.X(FE_OFN19120_FE_OFN18945_FE_OFN18688_FE_OFN1894_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3702_FE_OFN18945_FE_OFN18688_FE_OFN1894_n (
+	.A(FE_OFN18945_FE_OFN18688_FE_OFN1894_n),
+	.X(FE_OFN19119_FE_OFN18945_FE_OFN18688_FE_OFN1894_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3701_FE_OFN18943_FE_OFN18710_FE_OFN1911_n (
+	.A(FE_OFN18943_FE_OFN18710_FE_OFN1911_n),
+	.X(FE_OFN19118_FE_OFN18943_FE_OFN18710_FE_OFN1911_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3700_FE_OFN18948_FE_OFN18840_n (
+	.A(FE_OFN18948_FE_OFN18840_n),
+	.X(FE_OFN19117_FE_OFN18948_FE_OFN18840_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3699_FE_OFN18972_n (
+	.A(FE_OFN18972_n),
+	.X(FE_OFN19116_FE_OFN18972_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3698_FE_OFN18965_n (
+	.A(FE_OFN18965_n),
+	.X(FE_OFN19115_FE_OFN18965_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3697_FE_OFN18949_FE_OFN18702_FE_OFN18014_n (
+	.A(FE_OFN18949_FE_OFN18702_FE_OFN18014_n),
+	.X(FE_OFN19114_FE_OFN18949_FE_OFN18702_FE_OFN18014_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3696_FE_OFN19070_FE_OFN18942_FE_OFN18698_FE_OFN1903_n (
+	.A(FE_OFN19070_FE_OFN18942_FE_OFN18698_FE_OFN1903_n),
+	.X(FE_OFN19113_FE_OFN19070_FE_OFN18942_FE_OFN18698_FE_OFN1903_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3695_FE_OFN434_u_soc_u_dccm_rdata1_9 (
+	.A(FE_OFN434_u_soc_u_dccm_rdata1_9),
+	.X(FE_OFN19112_FE_OFN434_u_soc_u_dccm_rdata1_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3694_FE_OFN18932_FE_OFN18862_n (
+	.A(FE_OFN18932_FE_OFN18862_n),
+	.X(FE_OFN19111_FE_OFN18932_FE_OFN18862_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3693_FE_OFN18931_FE_OFN18831_n (
+	.A(FE_OFN18931_FE_OFN18831_n),
+	.X(FE_OFN19110_FE_OFN18931_FE_OFN18831_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3692_FE_OFN18930_FE_OFN18865_n (
+	.A(FE_OFN18930_FE_OFN18865_n),
+	.X(FE_OFN19109_FE_OFN18930_FE_OFN18865_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3691_FE_OFN18927_FE_OFN18864_n (
+	.A(FE_OFN18927_FE_OFN18864_n),
+	.X(FE_OFN19108_FE_OFN18927_FE_OFN18864_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3690_FE_OFN18926_FE_OFN18867_n (
+	.A(FE_OFN18926_FE_OFN18867_n),
+	.X(FE_OFN19107_FE_OFN18926_FE_OFN18867_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3689_FE_OFN18909_FE_OFN18863_n (
+	.A(FE_OFN18909_FE_OFN18863_n),
+	.X(FE_OFN19106_FE_OFN18909_FE_OFN18863_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3688_FE_OFN18906_FE_OFN18866_n (
+	.A(FE_OFN18906_FE_OFN18866_n),
+	.X(FE_OFN19105_FE_OFN18906_FE_OFN18866_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3687_u_soc_xbar_to_dccm_a_data__1 (
+	.A(\u_soc_xbar_to_dccm[a_data] [1]),
+	.X(FE_OFN19104_u_soc_xbar_to_dccm_a_data__1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3686_FE_OFN18913_FE_OFN18669_FE_OFN18032_n (
+	.A(FE_OFN18913_FE_OFN18669_FE_OFN18032_n),
+	.X(FE_OFN19103_FE_OFN18913_FE_OFN18669_FE_OFN18032_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3685_FE_OFN18908_FE_OFN18663_FE_OFN18034_n (
+	.A(FE_OFN18908_FE_OFN18663_FE_OFN18034_n),
+	.X(FE_OFN19102_FE_OFN18908_FE_OFN18663_FE_OFN18034_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3684_FE_OFN18904_FE_OFN18875_n (
+	.A(FE_OFN18904_FE_OFN18875_n),
+	.X(FE_OFN19101_FE_OFN18904_FE_OFN18875_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3683_FE_OFN18902_FE_OFN18877_n (
+	.A(FE_OFN18902_FE_OFN18877_n),
+	.X(FE_OFN19100_FE_OFN18902_FE_OFN18877_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3682_FE_OFN18901_FE_OFN18887_n (
+	.A(FE_OFN18901_FE_OFN18887_n),
+	.X(FE_OFN19099_FE_OFN18901_FE_OFN18887_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3681_FE_OFN18900_FE_OFN18756_FE_OFN1885_n (
+	.A(FE_OFN18900_FE_OFN18756_FE_OFN1885_n),
+	.X(FE_OFN19098_FE_OFN18900_FE_OFN18756_FE_OFN1885_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3680_FE_OFN18925_FE_OFN18861_n (
+	.A(FE_OFN18925_FE_OFN18861_n),
+	.X(FE_OFN19097_FE_OFN18925_FE_OFN18861_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3679_FE_OFN18929_FE_OFN18677_FE_OFN1893_n (
+	.A(FE_OFN19095_FE_OFN18929_FE_OFN18677_FE_OFN1893_n),
+	.X(FE_OFN19096_FE_OFN18929_FE_OFN18677_FE_OFN1893_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3678_FE_OFN18929_FE_OFN18677_FE_OFN1893_n (
+	.A(FE_OFN18929_FE_OFN18677_FE_OFN1893_n),
+	.X(FE_OFN19095_FE_OFN18929_FE_OFN18677_FE_OFN1893_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3677_FE_OFN18922_FE_OFN18665_FE_OFN1890_n (
+	.A(FE_OFN19093_FE_OFN18922_FE_OFN18665_FE_OFN1890_n),
+	.X(FE_OFN19094_FE_OFN18922_FE_OFN18665_FE_OFN1890_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3676_FE_OFN18922_FE_OFN18665_FE_OFN1890_n (
+	.A(FE_OFN18922_FE_OFN18665_FE_OFN1890_n),
+	.X(FE_OFN19093_FE_OFN18922_FE_OFN18665_FE_OFN1890_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3675_FE_OFN18920_FE_OFN18885_n (
+	.A(FE_OFN18920_FE_OFN18885_n),
+	.X(FE_OFN19092_FE_OFN18920_FE_OFN18885_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3674_FE_OFN18919_FE_OFN18673_FE_OFN18040_n (
+	.A(FE_OFN19090_FE_OFN18919_FE_OFN18673_FE_OFN18040_n),
+	.X(FE_OFN19091_FE_OFN18919_FE_OFN18673_FE_OFN18040_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3673_FE_OFN18919_FE_OFN18673_FE_OFN18040_n (
+	.A(FE_OFN18919_FE_OFN18673_FE_OFN18040_n),
+	.X(FE_OFN19090_FE_OFN18919_FE_OFN18673_FE_OFN18040_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3672_FE_OFN18917_FE_OFN18657_FE_OFN18038_n (
+	.A(FE_OFN19088_FE_OFN18917_FE_OFN18657_FE_OFN18038_n),
+	.X(FE_OFN19089_FE_OFN18917_FE_OFN18657_FE_OFN18038_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3671_FE_OFN18917_FE_OFN18657_FE_OFN18038_n (
+	.A(FE_OFN18917_FE_OFN18657_FE_OFN18038_n),
+	.X(FE_OFN19088_FE_OFN18917_FE_OFN18657_FE_OFN18038_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3670_FE_OFN18983_n (
+	.A(FE_OFN19086_FE_OFN18983_n),
+	.X(FE_OFN19087_FE_OFN18983_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3669_FE_OFN18983_n (
+	.A(FE_OFN18983_n),
+	.X(FE_OFN19086_FE_OFN18983_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3668_FE_OFN18911_FE_OFN18661_FE_OFN18036_n (
+	.A(FE_OFN19084_FE_OFN18911_FE_OFN18661_FE_OFN18036_n),
+	.X(FE_OFN19085_FE_OFN18911_FE_OFN18661_FE_OFN18036_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3667_FE_OFN18911_FE_OFN18661_FE_OFN18036_n (
+	.A(FE_OFN18911_FE_OFN18661_FE_OFN18036_n),
+	.X(FE_OFN19084_FE_OFN18911_FE_OFN18661_FE_OFN18036_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3666_FE_OFN18915_FE_OFN18671_FE_OFN18042_n (
+	.A(FE_OFN19082_FE_OFN18915_FE_OFN18671_FE_OFN18042_n),
+	.X(FE_OFN19083_FE_OFN18915_FE_OFN18671_FE_OFN18042_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3665_FE_OFN18915_FE_OFN18671_FE_OFN18042_n (
+	.A(FE_OFN18915_FE_OFN18671_FE_OFN18042_n),
+	.X(FE_OFN19082_FE_OFN18915_FE_OFN18671_FE_OFN18042_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 FE_OFC3664_FE_OFN18905_FE_OFN18879_n (
+	.A(FE_OFN19080_FE_OFN18905_FE_OFN18879_n),
+	.X(FE_OFN19081_FE_OFN18905_FE_OFN18879_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3663_FE_OFN18905_FE_OFN18879_n (
+	.A(FE_OFN18905_FE_OFN18879_n),
+	.X(FE_OFN19080_FE_OFN18905_FE_OFN18879_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3662_FE_OFN18903_FE_OFN18654_FE_OFN1897_n (
+	.A(FE_OFN18903_FE_OFN18654_FE_OFN1897_n),
+	.X(FE_OFN19079_FE_OFN18903_FE_OFN18654_FE_OFN1897_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3661_FE_OFN18941_FE_OFN18849_n (
+	.A(FE_OFN18941_FE_OFN18849_n),
+	.X(FE_OFN19078_FE_OFN18941_FE_OFN18849_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3660_FE_OFN18977_n (
+	.A(FE_OFN19076_FE_OFN18977_n),
+	.Y(FE_OFN19077_FE_OFN18977_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC3659_FE_OFN18977_n (
+	.A(FE_OFN19075_FE_OFN18977_n),
+	.Y(FE_OFN19076_FE_OFN18977_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3658_FE_OFN18977_n (
+	.A(FE_OFN18977_n),
+	.X(FE_OFN19075_FE_OFN18977_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3657_FE_OFN18989_FE_OFN18978_n (
+	.A(FE_OFN19073_FE_OFN18989_FE_OFN18978_n),
+	.X(FE_OFN19074_FE_OFN18989_FE_OFN18978_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3656_FE_OFN18989_FE_OFN18978_n (
+	.A(FE_OFN18989_FE_OFN18978_n),
+	.X(FE_OFN19073_FE_OFN18989_FE_OFN18978_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21boi_4 FE_RC_277_0 (
+	.A1(n_10337),
+	.A2(n_13492),
+	.B1_N(FE_RN_29_0),
+	.Y(n_10861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 FE_RC_276_0 (
+	.A1(n_15871),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
+	.B1(n_15868),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
+	.C1(n_11550),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.Y(n_15985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 FE_RC_275_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_101),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_98),
+	.X(FE_RN_164_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 FE_RC_274_0 (
+	.A(FE_RN_164_0),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_166), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 FE_RC_273_0 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_215),
+	.Y(\u_soc_lsu_to_xbar[a_address] [31]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 FE_RC_272_0 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [8]),
+	.B1(n_8934),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
+	.C1(n_8868),
+	.C2(n_13527),
+	.Y(n_10338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 FE_RC_271_0 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86),
+	.A2(FE_PSN3906_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_205),
+	.Y(\u_soc_lsu_to_xbar[a_address] [29]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_270_0 (
+	.A1(n_11515),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
+	.B1(n_11765),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
+	.X(FE_RN_163_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 FE_RC_269_0 (
+	.A1(FE_OFN1658_n_15860),
+	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.B1(FE_RN_163_0),
+	.Y(n_12802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_268_0 (
+	.A(n_8884),
+	.Y(FE_RN_162_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_4 FE_RC_267_0 (
+	.A1(n_8950),
+	.A2(FE_PSBN19224_u_soc_u_top_u_core_pc_set),
+	.B1_N(FE_RN_162_0),
+	.Y(n_13362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OCPC3655_u_soc_u_iccm_bank_sel_0 (
+	.A(u_soc_u_iccm_bank_sel[0]),
+	.X(FE_OCPN19072_u_soc_u_iccm_bank_sel_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_266_0 (
+	.A(n_8868),
+	.B(n_13347),
+	.Y(FE_RN_159_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_265_0 (
+	.A(n_8934),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [7]),
+	.Y(FE_RN_160_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_264_0 (
+	.A(FE_RN_160_0),
+	.B(FE_RN_159_0),
+	.Y(FE_RN_161_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 FE_RC_263_0 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [7]),
+	.B1(FE_RN_161_0),
+	.Y(n_10162), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3654_FE_OFN18957_FE_OFN18748_FE_OFN17989_n (
+	.A(FE_OFN18957_FE_OFN18748_FE_OFN17989_n),
+	.X(FE_OFN19071_FE_OFN18957_FE_OFN18748_FE_OFN17989_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3653_FE_OFN18942_FE_OFN18698_FE_OFN1903_n (
+	.A(u_soc_u_dccm_addr3[7]),
+	.X(FE_OFN19070_FE_OFN18942_FE_OFN18698_FE_OFN1903_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3652_n_13388 (
+	.A(n_13388),
+	.X(FE_OFN18431_n_13388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3651_n_15946 (
+	.A(n_15946),
+	.X(FE_OFN18240_n_15946), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3650_u_soc_u_top_u_core_rf_waddr_wb_1 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[1]),
+	.Y(n_652), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3649_u_soc_u_top_u_core_rf_waddr_wb_1 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[1]),
+	.X(FE_OFN18406_u_soc_u_top_u_core_rf_waddr_wb_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3647_u_soc_u_top_u_core_instr_rdata_id_22 (
+	.A(FE_PSN3973_u_soc_u_top_u_core_instr_rdata_id_22),
+	.X(FE_OFN19068_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3646_u_soc_u_top_u_core_instr_rdata_id_22 (
+	.A(FE_PSN3973_u_soc_u_top_u_core_instr_rdata_id_22),
+	.X(FE_OFN19067_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3642_n_15857 (
+	.A(FE_OFN18363_n_15857),
+	.X(FE_OFN19063_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3639_u_soc_u_top_u_core_instr_rdata_id_15 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.X(FE_OFN849_u_soc_u_top_u_core_instr_rdata_id_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3638_n_13244 (
+	.A(n_13244),
+	.Y(n_13845), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3637_n_12857 (
+	.A(n_12857),
+	.X(FE_OFN1244_n_12857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC3636_n_13069 (
+	.A(FE_OFN1245_n_13069),
+	.Y(n_13419), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3635_n_13069 (
+	.A(n_13069),
+	.X(FE_OFN1245_n_13069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3634_n_11218 (
+	.A(n_11218),
+	.Y(n_11217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3633_n_11756 (
+	.A(FE_OFN18560_n_11756),
+	.X(FE_OFN19060_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3632_FE_RN_146_0 (
+	.A(FE_OFN19058_FE_RN_146_0),
+	.X(FE_OFN19059_FE_RN_146_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3631_FE_RN_146_0 (
+	.A(FE_OFN18511_n),
+	.X(FE_OFN19058_FE_RN_146_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3630_FE_RN_146_0 (
+	.A(FE_OFN18511_n),
+	.X(n_15882), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3629_FE_RN_146_0 (
+	.A(FE_RN_146_0),
+	.Y(FE_OFN18511_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3628_n_11751 (
+	.A(n_11751),
+	.X(FE_OFN19057_n_11751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3626_u_soc_u_top_u_core_instr_rdata_id_24 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[24]),
+	.X(FE_OFN18285_u_soc_u_top_u_core_instr_rdata_id_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3624_u_soc_u_top_u_core_instr_rdata_id_14 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[14]),
+	.X(FE_OFN850_u_soc_u_top_u_core_instr_rdata_id_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3623_n_10862 (
+	.A(n_10862),
+	.X(FE_OFN1802_n_10862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3622_u_soc_u_top_u_core_instr_rdata_id_6 (
+	.A(FE_OFN18204_u_soc_u_top_u_core_instr_rdata_id_6),
+	.Y(FE_OFN18203_u_soc_u_top_u_core_instr_rdata_id_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3621_u_soc_u_top_u_core_instr_rdata_id_6 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[6]),
+	.Y(FE_OFN18204_u_soc_u_top_u_core_instr_rdata_id_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3620_n_11154 (
+	.A(n_11154),
+	.Y(FE_OFN18495_n_11154), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3619_n_11553 (
+	.A(FE_OFN18352_n_11553),
+	.X(FE_OFN19055_n_11553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3618_n_11553 (
+	.A(n_11553),
+	.X(FE_OFN18352_n_11553), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3617_n_15997 (
+	.A(FE_OFN18184_n_15997),
+	.X(n_11761), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC3616_n_15997 (
+	.A(n_15997),
+	.Y(FE_OFN18184_n_15997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3615_n_616 (
+	.A(n_616),
+	.Y(n_13509), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3614_n_10863 (
+	.A(n_10863),
+	.X(FE_OFN1803_n_10863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3613_n_9277 (
+	.A(n_9277),
+	.X(FE_OFN1634_n_9277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3612_n_13386 (
+	.A(FE_OFN18439_n_13386),
+	.X(FE_OFN19054_n_13386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3611_n_13386 (
+	.A(n_13386),
+	.X(FE_OFN18439_n_13386), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3608_n_15860 (
+	.A(n_15860),
+	.X(FE_OFN1658_n_15860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3607_n_15860 (
+	.A(n_15860),
+	.X(FE_OFN18255_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3605_n_142 (
+	.A(n_142),
+	.Y(FE_OFN18112_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3604_n_11558 (
+	.A(n_11558),
+	.X(FE_OFN19052_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3603_n_11313 (
+	.A(n_11313),
+	.X(FE_OFN19051_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3601_n_669 (
+	.A(FE_OFN18407_n_669),
+	.X(FE_OFN19049_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3599_n_11176 (
+	.A(FE_OFN1806_n_11176),
+	.X(FE_OFN19047_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3597_u_soc_xbar_to_dccm_a_address__9 (
+	.A(\u_soc_xbar_to_dccm[a_address] [9]),
+	.X(FE_OFN19045_u_soc_xbar_to_dccm_a_address__9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3595_n_13401 (
+	.A(n_13401),
+	.X(FE_OFN19043_n_13401), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3591_n_13378 (
+	.A(n_13378),
+	.X(FE_OFN19039_n_13378), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3588_n_13103 (
+	.A(n_13103),
+	.X(FE_OFN19036_n_13103), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3587_u_soc_lsu_to_xbar_a_address__31 (
+	.A(FE_PSN3933_FE_OFN877_u_soc_lsu_to_xbar_a_address__31),
+	.X(FE_OFN19035_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3586_u_soc_u_top_u_core_instr_rdata_id_13 (
+	.A(FE_OFN851_u_soc_u_top_u_core_instr_rdata_id_13),
+	.Y(FE_OFN19034_u_soc_u_top_u_core_instr_rdata_id_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3581_u_soc_u_top_u_core_instr_rdata_id_13 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[13]),
+	.Y(FE_OFN851_u_soc_u_top_u_core_instr_rdata_id_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3580_n_14 (
+	.A(n_14),
+	.X(FE_OFN1072_n_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3579_n_11561 (
+	.A(n_11561),
+	.X(FE_OFN19030_n_11561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3578_n_11561 (
+	.A(n_11561),
+	.X(FE_OFN18445_n_11561), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3577_n_13238 (
+	.A(n_13238),
+	.Y(n_13857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC3576_FE_RN_70_0 (
+	.A(FE_RN_70_0),
+	.Y(n_11552), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3575_n_11112 (
+	.A(n_11112),
+	.Y(n_11111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3573_u_soc_xbar_to_dccm_a_address__6 (
+	.A(\u_soc_xbar_to_dccm[a_address] [6]),
+	.X(FE_OFN19028_u_soc_xbar_to_dccm_a_address__6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3572_u_soc_xbar_to_dccm_a_address__6 (
+	.A(\u_soc_xbar_to_dccm[a_address] [6]),
+	.X(FE_OFN902_u_soc_xbar_to_dccm_a_address__6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3571_n_11517 (
+	.A(n_11517),
+	.X(FE_OFN18503_n_11517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3570_n_11517 (
+	.A(n_11517),
+	.X(FE_OFN18463_n_11517), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3569_n_13339 (
+	.A(n_13339),
+	.Y(FE_OFN18146_n_13339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3568_u_soc_u_top_u_core_alu_operator_ex_4 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[4]),
+	.Y(n_11044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3566_u_soc_u_top_u_core_instr_rdata_id_16 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.X(FE_OFN18300_u_soc_u_top_u_core_instr_rdata_id_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3565_u_soc_u_top_u_core_multdiv_operator_ex_1 (
+	.A(n_556),
+	.Y(FE_OFN19026_u_soc_u_top_u_core_multdiv_operator_ex_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3563_u_soc_u_top_u_core_multdiv_operator_ex_1 (
+	.A(u_soc_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(n_556), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3561_n_11757 (
+	.A(n_11757),
+	.X(FE_OFN18601_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3560_n_11757 (
+	.A(n_11757),
+	.X(FE_OFN18583_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3559_n_13246 (
+	.A(n_13246),
+	.Y(n_13856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3557_n_16001 (
+	.A(n_16001),
+	.X(FE_OFN18556_n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3555_u_soc_u_top_u_core_instr_rdata_id_12 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[12]),
+	.X(FE_OFN18143_u_soc_u_top_u_core_instr_rdata_id_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3553_n_11421 (
+	.A(FE_OFN18397_n_11421),
+	.X(FE_OFN18398_n_11421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3552_n_11421 (
+	.A(FE_OFN18397_n_11421),
+	.X(FE_OFN18396_n_11421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3551_n_11421 (
+	.A(FE_OFN18397_n_11421),
+	.Y(n_11422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3550_n_11421 (
+	.A(n_11421),
+	.Y(FE_OFN18397_n_11421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3549_n_11156 (
+	.A(n_11156),
+	.Y(FE_OFN18422_n_11156), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3546_n_11754 (
+	.A(n_11754),
+	.X(FE_OFN18512_n_11754), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC3545_n_13077 (
+	.A(n_13077),
+	.Y(n_13399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3544_n_15871 (
+	.A(n_15871),
+	.X(FE_OFN18434_n_15871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3543_n_15871 (
+	.A(n_15871),
+	.X(FE_OFN18435_n_15871), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC3541_n_13076 (
+	.A(n_13076),
+	.Y(FE_OFN1250_n_13076), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3540_n_11554 (
+	.A(n_11554),
+	.X(FE_OFN18570_n_11554), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3538_n_6863 (
+	.A(FE_OFN18153_n_6863),
+	.Y(FE_OFN19023_n_6863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3537_n_6863 (
+	.A(n_6863),
+	.Y(FE_OFN18153_n_6863), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3536_n_5840 (
+	.A(n_5839),
+	.Y(FE_OFN19022_n_5840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3535_n_5840 (
+	.A(n_5840),
+	.X(n_5839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3534_n_15856 (
+	.A(n_15856),
+	.X(FE_OFN18366_n_15856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3532_n_11518 (
+	.A(n_11518),
+	.X(FE_OFN18217_n_11518), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3531_n_11513 (
+	.A(n_11513),
+	.X(FE_OFN18442_n_11513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3530_n_11748 (
+	.A(FE_OFN18334_n_11749),
+	.X(FE_OFN18574_n_11748), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3529_n_11748 (
+	.A(n_11748),
+	.Y(FE_OFN18334_n_11749), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3528_n_5838 (
+	.A(n_5837),
+	.Y(FE_OFN19021_n_5838), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3527_n_5838 (
+	.A(n_5838),
+	.Y(n_5837), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3525_n_13394 (
+	.A(n_13394),
+	.X(FE_OFN18244_n_13394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3524_n_12477 (
+	.A(n_12477),
+	.X(FE_OFN18813_n_12477), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3523_n_5832 (
+	.A(n_5831),
+	.Y(FE_OFN19019_n_5832), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3522_n_5832 (
+	.A(n_5832),
+	.X(n_5831), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3521_u_soc_u_top_u_core_rf_waddr_wb_3 (
+	.A(FE_OFN18335_u_soc_u_top_u_core_rf_waddr_wb_3),
+	.Y(n_11019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3520_u_soc_u_top_u_core_rf_waddr_wb_3 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[3]),
+	.X(FE_OFN18335_u_soc_u_top_u_core_rf_waddr_wb_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3519_u_soc_u_top_u_core_alu_operand_b_ex_20 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[20]),
+	.X(FE_OFN1387_u_soc_u_top_u_core_alu_operand_b_ex_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3517_n_8333 (
+	.A(n_8333),
+	.Y(n_8332), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3515_n_13074 (
+	.A(FE_OFN1248_n_13074),
+	.Y(FE_OFN19017_n_13074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC3514_n_13074 (
+	.A(n_13395),
+	.Y(FE_OFN1248_n_13074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3513_n_13074 (
+	.A(n_13074),
+	.Y(n_13395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3512_n_11763 (
+	.A(n_11763),
+	.X(FE_OFN18631_n_11763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3511_n_11763 (
+	.A(n_11763),
+	.X(FE_OFN18561_n_11763), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3510_n_577 (
+	.A(FE_OFN1441_n_577),
+	.X(FE_OFN19016_n_577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3509_n_577 (
+	.A(n_577),
+	.Y(FE_OFN1441_n_577), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3508_FE_RN_92_0 (
+	.A(n_13418),
+	.Y(FE_OFN18407_n_669), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC3507_FE_RN_92_0 (
+	.A(FE_RN_92_0),
+	.Y(n_13418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3506_n_13092 (
+	.A(n_13092),
+	.Y(FE_OFN1261_n_13092), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3505_n_15996 (
+	.A(n_11765),
+	.X(FE_OFN18493_n_15996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3504_n_15996 (
+	.A(n_11765),
+	.X(FE_OFN18622_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_8 FE_OFC3503_n_15996 (
+	.A(n_15996),
+	.Y(n_11765), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3502_n_10562 (
+	.A(n_10562),
+	.X(FE_OFN18239_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3501_u_soc_lsu_to_xbar_a_address__31 (
+	.A(FE_OFN19035_n),
+	.X(FE_OFN18234_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3499_n_13082 (
+	.A(n_13082),
+	.Y(FE_OFN1253_n_13082), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3498_n_7806 (
+	.A(n_7806),
+	.X(FE_OFN1782_n_7806), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3497_logic_0_13_net (
+	.A(logic_0_13_net),
+	.X(FE_OFN19015_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3496_n_10736 (
+	.A(n_10736),
+	.X(FE_OFN19014_n_10736), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 FE_OFC3494_io_oeb_16 (
+	.A(FE_OFN19012_io_oeb_16),
+	.X(io_oeb[16]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3493_FE_OFN99_io_out_37 (
+	.A(FE_OFN99_io_out_37),
+	.X(FE_OFN19011_FE_OFN99_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3492_FE_OFN1614_n_8967 (
+	.A(FE_OFN1614_n_8967),
+	.X(FE_OFN19010_FE_OFN1614_n_8967), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3491_FE_OFN121_io_out_37 (
+	.A(FE_OFN121_io_out_37),
+	.X(FE_OFN19009_FE_OFN121_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3490_FE_OFN81_io_out_37 (
+	.A(FE_OFN81_io_out_37),
+	.X(FE_OFN19008_FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3488_FE_OFN18882_n (
+	.A(FE_OFN18717_logic_0_47_net),
+	.X(FE_OFN19006_FE_OFN18882_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3486_FE_OFN18871_n (
+	.A(FE_OFN17984_n),
+	.X(FE_OFN19004_FE_OFN18871_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3483_FE_OFN18637_FE_OFN18062_n (
+	.A(FE_OFN937_u_soc_data_wmask_1),
+	.X(FE_OFN19001_FE_OFN18637_FE_OFN18062_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3482_FE_OFN18869_n (
+	.A(FE_OFN944_u_soc_data_wmask_0),
+	.X(FE_OFN19000_FE_OFN18869_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3481_FE_OFN18876_n (
+	.A(FE_OFN18876_n),
+	.X(FE_OFN18999_FE_OFN18876_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3480_FE_OFN18759_FE_OFN18009_n (
+	.A(u_soc_u_iccm_addr3[2]),
+	.X(FE_OFN18998_FE_OFN18759_FE_OFN18009_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3477_n_5687 (
+	.A(n_5687),
+	.X(FE_OFN18995_n_5687), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3473_n_2534 (
+	.A(n_2534),
+	.X(FE_OFN18991_n_2534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3472_FE_OFN17994_n (
+	.A(FE_OFN1055_logic_0_12_net),
+	.X(FE_OFN18990_FE_OFN17994_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3471_FE_OFN18978_n (
+	.A(FE_OFN947_u_soc_instr_wmask_0),
+	.X(FE_OFN18989_FE_OFN18978_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3469_FE_OFN18783_FE_OFN18007_n (
+	.A(u_soc_u_iccm_addr3[0]),
+	.X(FE_OFN18987_FE_OFN18783_FE_OFN18007_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cpc_drv_buf_00610 (
+	.A(CTS_92),
+	.X(CTS_101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cpc_drv_buf_00609 (
+	.A(CTS_92),
+	.X(CTS_100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00511 (
+	.A(CTS_95),
+	.X(CTS_94), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00509 (
+	.A(CTS_95),
+	.X(CTS_93), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00507 (
+	.A(CTS_95),
+	.X(CTS_92), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00505 (
+	.A(CTS_95),
+	.X(CTS_91), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00503 (
+	.A(CTS_95),
+	.X(CTS_90), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00493 (
+	.A(CTS_95),
+	.X(CTS_89), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00481 (
+	.A(CTS_95),
+	.X(CTS_88), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00387 (
+	.A(CTS_95),
+	.X(CTS_97), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00383 (
+	.A(CTS_95),
+	.X(FE_USKN3999_CTS_96), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00565 (
+	.A(CTS_98),
+	.X(FE_USKN4000_CTS_95), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00501 (
+	.A(CTS_85),
+	.X(CTS_84), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00499 (
+	.A(CTS_85),
+	.X(CTS_83), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00489 (
+	.A(CTS_85),
+	.X(CTS_82), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00487 (
+	.A(CTS_85),
+	.X(CTS_81), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00485 (
+	.A(CTS_85),
+	.X(CTS_80), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00395 (
+	.A(CTS_85),
+	.X(CTS_87), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00393 (
+	.A(CTS_85),
+	.X(FE_USKN4010_CTS_86), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00557 (
+	.A(CTS_98),
+	.X(CTS_85), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 CTS_ccl_buf_00586 (
+	.A(CTS_99),
+	.X(CTS_98), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00491 (
+	.A(CTS_76),
+	.X(CTS_75), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00483 (
+	.A(CTS_76),
+	.X(CTS_74), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00479 (
+	.A(CTS_76),
+	.X(CTS_73), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00477 (
+	.A(CTS_76),
+	.X(CTS_72), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00475 (
+	.A(CTS_76),
+	.X(FE_USKN4024_CTS_71), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00473 (
+	.A(CTS_76),
+	.X(FE_USKN4026_CTS_70), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00471 (
+	.A(CTS_76),
+	.X(CTS_69), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00469 (
+	.A(CTS_76),
+	.X(FE_USKN4025_CTS_68), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00457 (
+	.A(CTS_76),
+	.X(FE_USKN4027_CTS_78), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00391 (
+	.A(CTS_76),
+	.X(CTS_77), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00563 (
+	.A(CTS_79),
+	.X(FE_USKN4018_CTS_76), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00389 (
+	.A(CTS_65),
+	.X(CTS_64), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00385 (
+	.A(CTS_65),
+	.X(CTS_67), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00381 (
+	.A(CTS_65),
+	.X(CTS_66), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00561 (
+	.A(CTS_79),
+	.X(CTS_65), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00465 (
+	.A(CTS_61),
+	.X(FE_USKN4022_CTS_60), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00463 (
+	.A(CTS_61),
+	.X(FE_USKN4029_CTS_59), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00461 (
+	.A(CTS_61),
+	.X(CTS_58), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00459 (
+	.A(CTS_61),
 	.X(CTS_57), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00660 (
-	.A(CTS_75),
-	.X(CTS_45), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00451 (
+	.A(CTS_61),
+	.X(CTS_56), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00628 (
-	.A(CTS_34),
-	.X(CTS_33), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00435 (
+	.A(CTS_61),
+	.X(FE_USKN4023_CTS_55), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00592 (
-	.A(CTS_34),
-	.X(CTS_32), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00413 (
+	.A(CTS_61),
+	.X(CTS_54), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00586 (
-	.A(CTS_34),
-	.X(CTS_31), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00411 (
+	.A(CTS_61),
+	.X(FE_USKN4028_CTS_63), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00582 (
-	.A(CTS_34),
-	.X(CTS_30), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00409 (
+	.A(CTS_61),
+	.X(FE_USKN4006_CTS_62), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00580 (
-	.A(CTS_34),
-	.X(CTS_29), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00559 (
+	.A(CTS_79),
+	.X(FE_USKN4020_CTS_61), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00558 (
-	.A(CTS_34),
-	.X(CTS_28), 
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_buf_00585 (
+	.A(CTS_99),
+	.X(FE_USKN4016_CTS_79), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00534 (
-	.A(CTS_34),
-	.X(CTS_27), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00064 (
+	.A(CTS_51),
+	.X(CTS_50), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00516 (
-	.A(CTS_34),
-	.X(CTS_26), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00060 (
+	.A(CTS_51),
+	.X(CTS_49), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00510 (
-	.A(CTS_34),
-	.X(CTS_25), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00056 (
+	.A(CTS_51),
+	.X(CTS_48), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00502 (
-	.A(CTS_34),
-	.X(CTS_36), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00050 (
+	.A(CTS_51),
+	.X(CTS_47), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00494 (
-	.A(CTS_34),
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00048 (
+	.A(CTS_51),
 	.X(CTS_46), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00658 (
-	.A(CTS_75),
-	.X(FE_USKN4675_CTS_34), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00044 (
+	.A(CTS_51),
+	.X(CTS_53), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 CTS_csf_buf_00682 (
-	.A(CTS_19),
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00040 (
+	.A(CTS_51),
+	.X(CTS_52), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00071 (
+	.A(u_soc_u_top_u_core_clk),
+	.X(CTS_51), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00062 (
+	.A(CTS_43),
+	.X(CTS_42), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00058 (
+	.A(CTS_43),
+	.X(CTS_41), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00054 (
+	.A(CTS_43),
+	.X(CTS_40), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00052 (
+	.A(CTS_43),
+	.X(CTS_39), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00046 (
+	.A(CTS_43),
+	.X(CTS_38), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00042 (
+	.A(CTS_43),
+	.X(CTS_45), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00038 (
+	.A(CTS_43),
+	.X(CTS_44), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00069 (
+	.A(u_soc_u_top_u_core_clk),
+	.X(CTS_43), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_buf_00592 (
+	.A(wb_clk_i),
+	.X(CTS_99), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00445 (
+	.A(CTS_33),
+	.X(CTS_32), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00443 (
+	.A(CTS_33),
+	.X(CTS_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00423 (
+	.A(CTS_33),
+	.X(CTS_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00421 (
+	.A(CTS_33),
+	.X(CTS_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00419 (
+	.A(CTS_33),
+	.X(CTS_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00407 (
+	.A(CTS_33),
 	.X(CTS_35), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_csf_buf_00681 (
-	.A(CTS_19),
-	.X(CTS_22), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00403 (
+	.A(CTS_33),
+	.X(CTS_34), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00622 (
-	.A(CTS_19),
-	.X(CTS_21), 
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00569 (
+	.A(CTS_36),
+	.X(CTS_33), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00620 (
-	.A(CTS_19),
-	.X(FE_USKN4849_CTS_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00618 (
-	.A(CTS_19),
-	.X(CTS_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00578 (
-	.A(CTS_19),
-	.X(CTS_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00572 (
-	.A(CTS_19),
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00439 (
+	.A(CTS_25),
 	.X(CTS_24), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00506 (
-	.A(CTS_19),
-	.X(FE_USKN4656_CTS_23), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00433 (
+	.A(CTS_25),
+	.X(CTS_23), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00654 (
-	.A(CTS_75),
-	.X(CTS_19), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00431 (
+	.A(CTS_25),
+	.X(CTS_22), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00566 (
-	.A(CTS_14),
-	.X(CTS_13), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00417 (
+	.A(CTS_25),
+	.X(CTS_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00564 (
-	.A(CTS_14),
-	.X(CTS_12), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00405 (
+	.A(CTS_25),
+	.X(CTS_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00560 (
-	.A(CTS_14),
-	.X(CTS_11), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00401 (
+	.A(CTS_25),
+	.X(CTS_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00556 (
-	.A(CTS_14),
-	.X(CTS_10), 
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00397 (
+	.A(CTS_25),
+	.X(CTS_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00554 (
-	.A(CTS_14),
-	.X(CTS_9), 
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00567 (
+	.A(CTS_36),
+	.X(CTS_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00550 (
-	.A(CTS_14),
-	.X(CTS_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00548 (
-	.A(CTS_14),
-	.X(CTS_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00546 (
-	.A(CTS_14),
-	.X(CTS_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00544 (
-	.A(CTS_14),
-	.X(CTS_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00536 (
-	.A(CTS_14),
-	.X(CTS_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00532 (
-	.A(CTS_14),
-	.X(CTS_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00524 (
-	.A(CTS_14),
-	.X(CTS_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00522 (
-	.A(CTS_14),
-	.X(CTS_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00520 (
-	.A(CTS_14),
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00467 (
+	.A(CTS_17),
 	.X(CTS_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00514 (
-	.A(CTS_14),
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00455 (
+	.A(CTS_17),
 	.X(CTS_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00652 (
-	.A(CTS_75),
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00453 (
+	.A(CTS_17),
 	.X(CTS_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_buf_00669 (
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00449 (
+	.A(CTS_17),
+	.X(CTS_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00447 (
+	.A(CTS_17),
+	.X(CTS_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00441 (
+	.A(CTS_17),
+	.X(CTS_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00437 (
+	.A(CTS_17),
+	.X(CTS_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00429 (
+	.A(CTS_17),
+	.X(CTS_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00427 (
+	.A(CTS_17),
+	.X(CTS_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00425 (
+	.A(CTS_17),
+	.X(CTS_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00415 (
+	.A(CTS_17),
+	.X(CTS_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00399 (
+	.A(CTS_17),
+	.X(CTS_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00555 (
+	.A(CTS_36),
+	.X(CTS_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00519 (
+	.A(CTS_4),
+	.X(CTS_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00517 (
+	.A(CTS_4),
+	.X(CTS_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00515 (
+	.A(CTS_4),
+	.X(CTS_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00513 (
+	.A(CTS_4),
+	.X(CTS_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00495 (
+	.A(CTS_4),
+	.X(CTS_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00553 (
+	.A(CTS_36),
+	.X(CTS_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_buf_00587 (
+	.A(CTS_37),
+	.X(CTS_36), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 CTS_ccl_buf_00591 (
 	.A(wb_clk_i),
-	.X(FE_USKN4845_CTS_75), 
+	.X(CTS_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 FE_RC_198_0 (
-	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [2]),
-	.B1(n_8934),
-	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
-	.C1(n_8868),
-	.C2(n_13531),
-	.Y(n_10161), 
+   sky130_fd_sc_hd__bufbuf_8 FE_OFC3466_FE_OFN18051_n (
+	.A(FE_OFN18053_n),
+	.X(FE_OFN18984_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3537_u_soc_u_top_u_core_instr_rdata_id_16 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[16]),
-	.X(FE_OCPN19278_u_soc_u_top_u_core_instr_rdata_id_16), 
+   sky130_fd_sc_hd__buf_8 FE_OFC3465_FE_OFN18874_n (
+	.A(u_soc_u_dccm_addr4[4]),
+	.X(FE_OFN18983_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3514_n_6867 (
-	.A(n_6867),
-	.X(FE_OFN19255_n_6867), 
+   sky130_fd_sc_hd__buf_8 FE_OFC3464_FE_OFN18878_n (
+	.A(FE_OFN18947_FE_OFN18878_n),
+	.X(FE_OFN18982_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_16 FE_OFC3513_FE_OFN1276_u_soc_instr_wdata_5 (
-	.A(FE_OFN19253_FE_OFN1276_u_soc_instr_wdata_5),
-	.Y(FE_OFN19254_FE_OFN1276_u_soc_instr_wdata_5), 
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3462_n (
+	.A(FE_OFN18967_n),
+	.X(FE_OFN18980_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3512_FE_OFN1276_u_soc_instr_wdata_5 (
-	.A(FE_OFN1276_u_soc_instr_wdata_5),
-	.Y(FE_OFN19253_FE_OFN1276_u_soc_instr_wdata_5), 
+   sky130_fd_sc_hd__buf_8 FE_OFC3459_FE_OFN18640_FE_OFN18071_n (
+	.A(FE_OFN921_u_soc_data_wmask_3),
+	.X(FE_OFN18977_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3506_FE_OFN19156_n (
-	.A(u_soc_u_iccm_addr3[2]),
-	.X(FE_OFN19247_FE_OFN19156_n), 
+   sky130_fd_sc_hd__buf_12 FE_OFC3458_n (
+	.A(FE_OFN18970_n),
+	.X(FE_OFN18976_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3505_FE_OFN19161_n (
-	.A(u_soc_u_iccm_addr3[4]),
-	.X(FE_OFN19246_FE_OFN19161_n), 
+   sky130_fd_sc_hd__buf_8 FE_OFC3457_n (
+	.A(FE_OFN18856_n),
+	.X(FE_OFN18975_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3504_FE_OFN19152_n (
-	.A(u_soc_u_iccm_addr3[1]),
-	.X(FE_OFN19245_FE_OFN19152_n), 
+   sky130_fd_sc_hd__buf_8 FE_OFC3454_n (
+	.A(FE_OFN18851_n),
+	.X(FE_OFN18972_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3503_FE_OFN19155_n (
-	.A(u_soc_u_iccm_addr3[6]),
-	.X(FE_OFN19244_FE_OFN19155_n), 
+   sky130_fd_sc_hd__inv_2 FE_OFC3452_n (
+	.A(FE_OFN18966_n),
+	.Y(FE_OFN18970_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3502_FE_OFN19131_n (
-	.A(u_soc_u_iccm_addr3[0]),
-	.X(FE_OFN19243_FE_OFN19131_n), 
+   sky130_fd_sc_hd__inv_2 FE_OFC3449_n (
+	.A(FE_OFN18966_n),
+	.Y(FE_OFN18967_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3501_FE_OFN19168_n (
-	.A(FE_OFN18652_u_soc_data_wmask_3),
-	.X(FE_OFN19242_FE_OFN19168_n), 
+   sky130_fd_sc_hd__inv_1 FE_OFC3448_n (
+	.A(FE_PDN3798_FE_OFN947_u_soc_instr_wmask_0),
+	.Y(FE_OFN18966_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3477_FE_OFN18888_FE_OFN17996_n (
-	.A(FE_OFN18888_FE_OFN17996_n),
-	.X(FE_OFN19218_FE_OFN18888_FE_OFN17996_n), 
+   sky130_fd_sc_hd__buf_8 FE_OFC3447_n (
+	.A(FE_OFN18851_n),
+	.X(FE_OFN18965_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3475_FE_OFN19067_n (
-	.A(FE_PSBN19879_FE_OFN1309_u_soc_data_wmask_2),
-	.X(FE_OFN19216_FE_OFN19067_n), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3442_u_soc_data_wdata_26 (
+	.A(u_soc_data_wdata[26]),
+	.X(FE_OFN18960_u_soc_data_wdata_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3471_FE_OFN19103_n (
-	.A(FE_OFN18656_FE_OFN1312_u_soc_data_wmask_1),
-	.X(FE_OFN19212_FE_OFN19103_n), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3441_u_soc_data_wdata_30 (
+	.A(u_soc_data_wdata[30]),
+	.X(FE_OFN18959_u_soc_data_wdata_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3470_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0 (
-	.A(FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0),
-	.X(FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3439_FE_OFN18748_FE_OFN17989_n (
+	.A(FE_OFN17989_n),
+	.X(FE_OFN18957_FE_OFN18748_FE_OFN17989_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3469_FE_OFN19104_n (
-	.A(FE_OFN18649_u_soc_data_wmask_3),
-	.X(FE_OFN19210_FE_OFN19104_n), 
+   sky130_fd_sc_hd__buf_8 FE_OFC3437_FE_OFN18883_n (
+	.A(FE_OFN18634_FE_OFN18058_n),
+	.X(FE_OFN18955_FE_OFN18883_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3468_FE_OFN18796_FE_OFN18186_n (
-	.A(FE_OFN1728_n),
-	.X(FE_OFN19209_FE_OFN18796_FE_OFN18186_n), 
+   sky130_fd_sc_hd__buf_8 FE_OFC3435_FE_OFN18884_n (
+	.A(FE_OFN18638_FE_OFN18062_n),
+	.X(FE_OFN18953_FE_OFN18884_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3467_FE_OFN809_u_soc_u_dccm_addr4_7 (
-	.A(u_soc_u_dccm_addr4[7]),
-	.X(FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3433_u_soc_data_wdata_25 (
+	.A(u_soc_data_wdata[25]),
+	.X(FE_OFN18951_u_soc_data_wdata_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3466_FE_OFN19102_n (
-	.A(FE_OFN18685_FE_OFN1317_u_soc_data_wmask_0),
-	.X(FE_OFN19207_FE_OFN19102_n), 
+   sky130_fd_sc_hd__buf_8 FE_OFC3431_FE_OFN18702_FE_OFN18014_n (
+	.A(u_soc_u_iccm_addr3[7]),
+	.X(FE_OFN18949_FE_OFN18702_FE_OFN18014_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3465_FE_OFN18750_FE_OFN1716_n (
-	.A(u_soc_u_iccm_addr4[7]),
-	.X(FE_OFN19206_FE_OFN18750_FE_OFN1716_n), 
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3430_FE_OFN18840_n (
+	.A(u_soc_u_iccm_addr2[7]),
+	.X(FE_OFN18948_FE_OFN18840_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3463_FE_OFN18791_FE_OFN1687_n (
-	.A(FE_PDN3956_u_soc_u_dccm_addr1_5),
-	.X(FE_OFN19204_FE_OFN18791_FE_OFN1687_n), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3429_FE_OFN18878_n (
+	.A(u_soc_u_dccm_addr4[3]),
+	.X(FE_OFN18947_FE_OFN18878_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3462_FE_OFN19077_n (
-	.A(FE_PDN4070_u_soc_u_dccm_addr1_6),
-	.X(FE_OFN19203_FE_OFN19077_n), 
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3427_FE_OFN18688_FE_OFN1894_n (
+	.A(u_soc_u_dccm_addr1[4]),
+	.X(FE_OFN18945_FE_OFN18688_FE_OFN1894_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3461_FE_OFN18777_FE_OFN1689_n (
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3425_FE_OFN18710_FE_OFN1911_n (
+	.A(u_soc_u_dccm_addr2[7]),
+	.X(FE_OFN18943_FE_OFN18710_FE_OFN1911_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3423_FE_OFN18849_n (
+	.A(FE_PDN3781_u_soc_u_iccm_addr1_7),
+	.X(FE_OFN18941_FE_OFN18849_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3422_FE_OFN18685_FE_OFN1891_n (
+	.A(u_soc_u_dccm_addr1[1]),
+	.X(FE_OFN18940_FE_OFN18685_FE_OFN1891_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3420_FE_OFN18679_FE_OFN1896_n (
+	.A(u_soc_u_dccm_addr1[6]),
+	.X(FE_OFN18938_FE_OFN18679_FE_OFN1896_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3418_FE_OFN18834_n (
+	.A(u_soc_u_dccm_addr1[5]),
+	.X(FE_OFN18936_FE_OFN18834_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkdlybuf4s25_2 FE_OFC3416_FE_OFN18682_FE_OFN1892_n (
+	.A(u_soc_u_dccm_addr1[2]),
+	.X(FE_OFN18934_FE_OFN18682_FE_OFN1892_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3414_FE_OFN18862_n (
+	.A(u_soc_u_iccm_addr1[4]),
+	.X(FE_OFN18932_FE_OFN18862_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3413_FE_OFN18831_n (
+	.A(u_soc_u_iccm_addr1[5]),
+	.X(FE_OFN18931_FE_OFN18831_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3412_FE_OFN18865_n (
+	.A(u_soc_u_iccm_addr1[3]),
+	.X(FE_OFN18930_FE_OFN18865_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3411_FE_OFN18677_FE_OFN1893_n (
+	.A(u_soc_u_dccm_addr1[3]),
+	.X(FE_OFN18929_FE_OFN18677_FE_OFN1893_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3409_FE_OFN18864_n (
+	.A(u_soc_u_iccm_addr1[2]),
+	.X(FE_OFN18927_FE_OFN18864_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3408_FE_OFN18867_n (
+	.A(u_soc_u_iccm_addr1[1]),
+	.X(FE_OFN18926_FE_OFN18867_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3407_FE_OFN18861_n (
+	.A(u_soc_u_iccm_addr1[6]),
+	.X(FE_OFN18925_FE_OFN18861_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3404_FE_OFN18665_FE_OFN1890_n (
+	.A(u_soc_u_dccm_addr1[0]),
+	.X(FE_OFN18922_FE_OFN18665_FE_OFN1890_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufbuf_8 FE_OFC3402_FE_OFN18885_n (
+	.A(FE_OFN949_u_soc_instr_wmask_0),
+	.X(FE_OFN18920_FE_OFN18885_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3401_FE_OFN18673_FE_OFN18040_n (
+	.A(u_soc_u_iccm_addr4[4]),
+	.X(FE_OFN18919_FE_OFN18673_FE_OFN18040_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3399_FE_OFN18657_FE_OFN18038_n (
+	.A(u_soc_u_iccm_addr4[3]),
+	.X(FE_OFN18917_FE_OFN18657_FE_OFN18038_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3397_FE_OFN18671_FE_OFN18042_n (
+	.A(u_soc_u_iccm_addr4[5]),
+	.X(FE_OFN18915_FE_OFN18671_FE_OFN18042_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3395_FE_OFN18669_FE_OFN18032_n (
+	.A(u_soc_u_iccm_addr4[0]),
+	.X(FE_OFN18913_FE_OFN18669_FE_OFN18032_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3393_FE_OFN18661_FE_OFN18036_n (
+	.A(u_soc_u_iccm_addr4[2]),
+	.X(FE_OFN18911_FE_OFN18661_FE_OFN18036_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3391_FE_OFN18863_n (
+	.A(FE_PDN3833_u_soc_u_iccm_addr1_0),
+	.X(FE_OFN18909_FE_OFN18863_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3390_FE_OFN18663_FE_OFN18034_n (
+	.A(u_soc_u_iccm_addr4[1]),
+	.X(FE_OFN18908_FE_OFN18663_FE_OFN18034_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3388_FE_OFN18866_n (
+	.A(u_soc_u_iccm_addr4[6]),
+	.X(FE_OFN18906_FE_OFN18866_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3387_FE_OFN18879_n (
+	.A(u_soc_u_dccm_addr4[0]),
+	.X(FE_OFN18905_FE_OFN18879_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3386_FE_OFN18875_n (
+	.A(u_soc_u_dccm_addr4[2]),
+	.X(FE_OFN18904_FE_OFN18875_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3385_FE_OFN18654_FE_OFN1897_n (
 	.A(u_soc_u_dccm_addr1[7]),
-	.X(FE_OFN19202_FE_OFN18777_FE_OFN1689_n), 
+	.X(FE_OFN18903_FE_OFN18654_FE_OFN1897_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3454_FE_OFN19073_n (
-	.A(FE_PSBN19880_FE_OFN1309_u_soc_data_wmask_2),
-	.X(FE_OFN19195_FE_OFN19073_n), 
+   sky130_fd_sc_hd__buf_8 FE_OFC3384_FE_OFN18877_n (
+	.A(u_soc_u_dccm_addr4[5]),
+	.X(FE_OFN18902_FE_OFN18877_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_OFC3453_FE_OFN18757_FE_OFN1682_n (
-	.A(FE_PDN3908_u_soc_u_dccm_addr1_0),
-	.X(FE_OFN19194_FE_OFN18757_FE_OFN1682_n), 
+   sky130_fd_sc_hd__buf_8 FE_OFC3383_FE_OFN18887_n (
+	.A(u_soc_u_dccm_addr4[6]),
+	.X(FE_OFN18901_FE_OFN18887_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3451_FE_OFN19179_n (
-	.A(FE_OFN19057_n),
-	.X(FE_OFN19192_FE_OFN19179_n), 
+   sky130_fd_sc_hd__buf_8 FE_OFC3382_FE_OFN18756_FE_OFN1885_n (
+	.A(u_soc_u_dccm_addr4[1]),
+	.X(FE_OFN18900_FE_OFN18756_FE_OFN1885_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC3449_FE_OFN19180_n (
-	.A(FE_OFN1322_u_soc_instr_wmask_0),
-	.X(FE_OFN19190_FE_OFN19180_n), 
+   sky130_fd_sc_hd__a222oi_1 FE_RC_262_0 (
+	.A1(FE_OFN18474_n_15995),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
+	.B1(FE_OFN18444_n_15999),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
+	.C1(FE_OFN1658_n_15860),
+	.C2(u_soc_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.Y(n_12774), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3448_FE_OFN19180_n (
-	.A(FE_OFN1322_u_soc_instr_wmask_0),
-	.X(FE_OFN19189_FE_OFN19180_n), 
+   sky130_fd_sc_hd__a22oi_1 FE_RC_261_0 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [11]),
+	.B1(n_8934),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [11]),
+	.Y(FE_RN_158_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 FE_RC_197_0 (
-	.A1(n_15866),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
-	.B1(n_15872),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
-	.C1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
-	.C2(n_16001),
-	.Y(n_15986), 
+   sky130_fd_sc_hd__nand2b_1 FE_RC_260_0 (
+	.A_N(n_10315),
+	.B(FE_RN_158_0),
+	.Y(\u_soc_ifu_to_xbar[a_address] [11]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_196_0 (
-	.A(n_11460),
-	.B(n_11155),
-	.Y(FE_RN_122_0), 
+   sky130_fd_sc_hd__a22o_1 FE_RC_259_0 (
+	.A1(FE_OFN18505_n_16003),
+	.A2(u_soc_u_top_u_core_lsu_addr_last[12]),
+	.B1(FE_OFN18626_n_15862),
+	.B2(u_soc_u_top_u_core_pc_id[12]),
+	.X(FE_RN_157_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 FE_RC_195_0 (
-	.A(FE_RN_122_0),
-	.B_N(n_11111),
-	.Y(n_11550), 
+   sky130_fd_sc_hd__a21oi_1 FE_RC_258_0 (
+	.A1(n_13429),
+	.A2(n_11625),
+	.B1(FE_RN_157_0),
+	.Y(n_113), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 FE_RC_192_0 (
-	.A1(n_11479),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
-	.B1(n_11517),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+   sky130_fd_sc_hd__o21ai_1 FE_RC_257_0 (
+	.A1(FE_OFN18279_n_11593),
+	.A2(FE_OFN1441_n_577),
+	.B1(n_13126),
+	.Y(FE_RN_156_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_1 FE_RC_256_0 (
+	.A_N(FE_RN_156_0),
+	.B(n_13193),
+	.C(n_13161),
+	.Y(n_13811), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_255_0 (
+	.A1(FE_OFN18309_n_11258),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
+	.B1(FE_OFN19047_n),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
+	.X(FE_RN_155_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 FE_RC_254_0 (
+	.A1(n_13503),
+	.A2(FE_OFN1649_n_11054),
+	.B1(FE_RN_155_0),
+	.Y(n_13253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 FE_RC_253_0 (
+	.A1(n_11415),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
+	.B1(n_15870),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
+	.C1(n_11480),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
+	.Y(n_15980), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_2 FE_RC_252_0 (
+	.A1(FE_RN_43_0),
+	.A2(FE_RN_42_0),
+	.B1(n_10341),
+	.B2(n_10153),
+	.Y(n_10558), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_251_0 (
+	.A1(FE_OFN18309_n_11258),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
+	.B1(FE_OFN1806_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
+	.X(FE_RN_154_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 FE_RC_250_0 (
+	.A1(n_13497),
+	.A2(FE_OFN1649_n_11054),
+	.B1(FE_RN_154_0),
+	.Y(n_13222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_249_0 (
+	.A1(FE_OFN18309_n_11258),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [1]),
+	.B1(FE_OFN1806_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
+	.X(FE_RN_153_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 FE_RC_248_0 (
+	.A1(n_13496),
+	.A2(FE_OFN1649_n_11054),
+	.B1(FE_RN_153_0),
+	.Y(n_13221), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_247_0 (
+	.A1(FE_OFN18352_n_11553),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
+	.B1(n_11471),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
+	.X(FE_RN_152_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 FE_RC_246_0 (
+	.A(FE_RN_152_0),
+	.B(n_15993),
+	.Y(n_12624), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_245_0 (
+	.A(u_soc_u_top_u_core_pc_if[1]),
+	.Y(FE_RN_151_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_4 FE_RC_244_0 (
+	.A1(n_13609),
+	.A2(u_soc_u_top_u_core_pc_if[1]),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [1]),
+	.B2(FE_RN_151_0),
+	.X(u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 FE_RC_243_0 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [5]),
+	.B1(n_8868),
+	.B2(n_13349),
+	.C1(n_8934),
+	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [5]),
+	.Y(n_10158), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 FE_RC_242_0 (
+	.A(FE_RN_25_0),
+	.B(FE_RN_24_0),
+	.Y(FE_RN_150_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_2 FE_RC_241_0 (
+	.A1(n_8947),
+	.A2(n_8955),
+	.B1(FE_RN_150_0),
+	.Y(n_10148), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 FE_RC_240_0 (
+	.A(n_13052),
+	.B(n_12915),
+	.C(n_12887),
+	.Y(FE_RN_92_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_239_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4),
+	.Y(FE_RN_149_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_1 FE_RC_238_0 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192),
+	.B1_N(FE_RN_149_0),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_237_0 (
+	.A(n_11281),
+	.Y(FE_RN_147_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 FE_RC_235_0 (
+	.A(FE_RN_147_0),
+	.B(FE_RN_80_0),
+	.Y(n_15857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_1 FE_RC_234_0 (
+	.A(n_12745),
+	.B(n_12739),
+	.C(n_13045),
+	.D(n_12975),
+	.Y(n_13086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 FE_RC_233_0 (
+	.A(n_11091),
+	.B(n_11212),
+	.Y(FE_RN_145_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_231_0 (
+	.A(n_16002),
+	.B(FE_RN_145_0),
+	.Y(FE_RN_146_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_229_0 (
+	.A1(n_15999),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
+	.B1(n_15995),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
+	.X(FE_RN_144_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_1 FE_RC_228_0 (
+	.A(FE_RN_144_0),
+	.B(n_15976),
+	.Y(n_12815), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_227_0 (
+	.A(n_11473),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
+	.Y(FE_RN_141_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_226_0 (
+	.A(n_11514),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
+	.Y(FE_RN_142_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_225_0 (
+	.A(n_15865),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
+	.Y(FE_RN_143_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3_1 FE_RC_224_0 (
+	.A(FE_RN_143_0),
+	.B(FE_RN_142_0),
+	.C(FE_RN_141_0),
+	.X(n_15971), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 FE_RC_223_0 (
+	.A1(n_11517),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+	.B1(n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
 	.C1(n_15858),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
 	.Y(n_15974), 
@@ -43704,13367 +38437,15502 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_191_0 (
-	.A1(n_11762),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
-	.B1(n_15951),
-	.Y(FE_RN_120_0), 
+   sky130_fd_sc_hd__nand3_1 FE_RC_222_0 (
+	.A(n_12330),
+	.B(n_15982),
+	.C(n_11843),
+	.Y(n_12759), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 FE_RC_190_0 (
-	.A(n_12865),
-	.B_N(FE_RN_120_0),
-	.Y(n_13028), 
+   sky130_fd_sc_hd__inv_1 FE_RC_221_0 (
+	.A(u_soc_u_top_u_core_pc_if[31]),
+	.Y(FE_RN_138_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_189_0 (
-	.A1(FE_OFN18422_n_11555),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
-	.B1(FE_OFN18579_n_15859),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
-	.X(FE_RN_119_0), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_220_0 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60 ),
+	.B(FE_RN_138_0),
+	.Y(FE_RN_139_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 FE_RC_188_0 (
-	.A(FE_RN_119_0),
-	.B(n_12607),
-	.Y(n_12689), 
+   sky130_fd_sc_hd__o21ai_1 FE_RC_218_0 (
+	.A1(FE_RN_138_0),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60 ),
+	.B1(FE_RN_139_0),
+	.Y(n_13607), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_187_0 (
-	.A(FE_PSN4166_n_13338),
-	.B(n_11153),
-	.Y(FE_RN_118_0), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_217_0 (
+	.A(n_15887),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
+	.Y(FE_RN_136_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_186_0 (
-	.A(n_11637),
-	.B(FE_RN_118_0),
-	.Y(n_15996), 
+   sky130_fd_sc_hd__a22oi_1 FE_RC_216_0 (
+	.A1(n_11516),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
+	.B1(n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
+	.Y(FE_RN_137_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_2 FE_RC_185_0 (
-	.A1(FE_OFN18547_n_11593),
-	.A2(FE_PSBN19826_n_15943),
-	.B1(n_13114),
-	.C1(n_13147),
-	.D1(n_13175),
-	.Y(n_13808), 
+   sky130_fd_sc_hd__and2_1 FE_RC_215_0 (
+	.A(FE_RN_137_0),
+	.B(FE_RN_136_0),
+	.X(n_15970), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_184_0 (
-	.A1(FE_OFN18378_n_11176),
-	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
-	.B1(n_11258),
-	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
-	.X(FE_RN_117_0), 
+   sky130_fd_sc_hd__inv_1 FE_RC_214_0 (
+	.A(FE_RN_129_0),
+	.Y(FE_RN_133_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_183_0 (
-	.A1(n_13498),
-	.A2(FE_OFN1581_n_11054),
-	.B1(FE_RN_117_0),
-	.Y(n_13264), 
+   sky130_fd_sc_hd__inv_1 FE_RC_213_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196),
+	.Y(FE_RN_134_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_179_0 (
-	.A(n_11245),
-	.Y(FE_RN_113_0), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_212_0 (
+	.A(FE_RN_134_0),
+	.B(FE_RN_133_0),
+	.Y(FE_RN_135_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_RC_178_0 (
-	.A(n_11628),
-	.Y(FE_RN_114_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 FE_RC_177_0 (
-	.A(FE_RN_113_0),
-	.B(FE_RN_114_0),
-	.Y(n_15858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_176_0 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36),
-	.Y(FE_RN_111_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_175_0 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_114),
-	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35),
-	.Y(FE_RN_112_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_174_0 (
-	.A(FE_RN_112_0),
-	.B(FE_RN_111_0),
-	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_119), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_173_0 (
-	.A(n_13199),
-	.Y(FE_RN_108_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_172_0 (
-	.A(FE_OFN18366_n_11593),
-	.B(u_soc_u_top_u_core_alu_operand_b_ex[13]),
-	.Y(FE_RN_109_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_171_0 (
-	.A(FE_RN_108_0),
-	.B(FE_RN_109_0),
-	.Y(FE_RN_110_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 FE_RC_170_0 (
-	.A(FE_RN_110_0),
-	.B(n_11466),
-	.C(n_13184),
-	.Y(n_13820), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 FE_RC_169_0 (
-	.A(n_15986),
-	.B(FE_PSN4486_n_15974),
-	.C(n_12600),
-	.D(n_12162),
-	.Y(n_12839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_168_0 (
-	.A(FE_RN_91_0),
-	.Y(FE_RN_106_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_2 FE_RC_167_0 (
-	.A_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196),
-	.B(FE_RN_106_0),
-	.Y(FE_RN_107_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_166_0 (
-	.A(FE_RN_90_0),
-	.B(FE_RN_107_0),
+   sky130_fd_sc_hd__nand2_4 FE_RC_211_0 (
+	.A(FE_RN_130_0),
+	.B(FE_RN_135_0),
 	.Y(\u_soc_lsu_to_xbar[a_address] [26]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_165_0 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64),
-	.Y(FE_RN_105_0), 
+   sky130_fd_sc_hd__inv_1 FE_RC_208_0 (
+	.A(FE_RN_88_0),
+	.Y(FE_RN_132_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21bai_4 FE_RC_164_0 (
-	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6),
-	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
-	.B1_N(FE_RN_105_0),
-	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111), 
+   sky130_fd_sc_hd__o21bai_2 FE_RC_207_0 (
+	.A1(FE_RN_90_0),
+	.A2(FE_RN_89_0),
+	.B1_N(FE_RN_132_0),
+	.Y(n_10559), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 FE_RC_163_0 (
-	.A(n_12762),
-	.B(n_12583),
-	.X(FE_RN_104_0), 
+   sky130_fd_sc_hd__inv_1 FE_RC_206_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_80),
+	.Y(FE_RN_129_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 FE_RC_162_0 (
-	.A(n_13063),
-	.B(FE_PSN4173_n_12857),
-	.C(FE_RN_104_0),
-	.Y(n_13393), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_205_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196),
+	.B(FE_RN_129_0),
+	.Y(FE_RN_130_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_RC_161_0 (
-	.A(n_12919),
-	.Y(FE_RN_102_0), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_201_0 (
+	.A(FE_RN_127_0),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16 ),
+	.Y(FE_RN_126_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_160_0 (
+   sky130_fd_sc_hd__inv_2 FE_RC_200_0 (
+	.A(u_soc_u_top_u_core_pc_if[9]),
+	.Y(FE_RN_127_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 FE_RC_199_0 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16 ),
+	.A2(FE_RN_127_0),
+	.B1(FE_RN_126_0),
+	.Y(n_13585), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 FE_RC_197_0 (
+	.A(FE_RN_127_0),
+	.B_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16 ),
+	.Y(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_196_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_201),
+	.Y(FE_RN_123_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_195_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_83),
+	.Y(FE_RN_124_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o22ai_4 FE_RC_194_0 (
+	.A1(FE_RN_124_0),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_201),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_83),
+	.B2(FE_RN_123_0),
+	.Y(\u_soc_lsu_to_xbar[a_address] [28]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_193_0 (
+	.A(n_11169),
+	.Y(FE_RN_120_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_192_0 (
+	.A(n_11302),
+	.B(u_soc_u_top_u_core_alu_operator_ex[2]),
+	.Y(FE_RN_121_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_191_0 (
+	.A(FE_RN_122_0),
+	.Y(n_11431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_190_0 (
+	.A(FE_RN_121_0),
+	.B(FE_RN_120_0),
+	.Y(FE_RN_122_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 FE_RC_189_0 (
+	.A1(n_13318),
+	.A2(n_10153),
+	.B1(n_8943),
+	.Y(n_10340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_1 FE_RC_188_0 (
+	.A(n_12576),
+	.B(n_12241),
+	.X(FE_RN_118_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_187_0 (
+	.A(n_12757),
+	.B(n_12756),
+	.Y(FE_RN_119_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2b_4 FE_RC_186_0 (
+	.A_N(FE_RN_119_0),
+	.B(FE_RN_118_0),
+	.Y(n_13044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_185_0 (
+	.A(n_15872),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.Y(FE_RN_114_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_184_0 (
+	.A(n_15867),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.Y(FE_RN_115_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_183_0 (
+	.A(n_16001),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
+	.Y(FE_RN_116_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_182_0 (
+	.A(FE_RN_117_0),
+	.Y(n_15982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 FE_RC_181_0 (
+	.A(FE_RN_116_0),
+	.B(FE_RN_114_0),
+	.C(FE_RN_115_0),
+	.Y(FE_RN_117_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 FE_RC_180_0 (
+	.A1(u_soc_u_top_u_core_alu_operator_ex[4]),
+	.A2(n_13459),
+	.B1(n_13872),
+	.C1(n_11223),
+	.D1(n_13477),
+	.Y(n_11302), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_179_0 (
 	.A(n_12319),
-	.B(n_12320),
-	.Y(FE_RN_103_0), 
+	.Y(FE_RN_111_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_4 FE_RC_159_0 (
-	.A_N(FE_RN_103_0),
-	.B(FE_RN_102_0),
-	.C(n_15947),
+   sky130_fd_sc_hd__nor2b_1 FE_RC_178_0 (
+	.A(FE_RN_111_0),
+	.B_N(n_12320),
+	.Y(FE_RN_112_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_177_0 (
+	.A(n_12919),
+	.Y(FE_RN_113_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_2 FE_RC_176_0 (
+	.A(n_15947),
+	.B(FE_RN_113_0),
+	.C(FE_RN_112_0),
 	.Y(n_15946), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_158_0 (
-	.A1(n_11515),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
-	.B1(FE_OFN18440_n_11765),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
-	.X(FE_RN_101_0), 
+   sky130_fd_sc_hd__a22oi_1 FE_RC_175_0 (
+	.A1(n_12263),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[10]),
+	.B1(n_12261),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[23]),
+	.Y(FE_RN_110_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_157_0 (
-	.A(FE_RN_101_0),
-	.B(n_12300),
-	.Y(n_12809), 
+   sky130_fd_sc_hd__a21boi_2 FE_RC_174_0 (
+	.A1(n_13387),
+	.A2(n_11443),
+	.B1_N(FE_RN_110_0),
+	.Y(n_142), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_150_0 (
-	.A(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
-	.B(n_646),
-	.Y(FE_RN_96_0), 
+   sky130_fd_sc_hd__a22o_1 FE_RC_173_0 (
+	.A1(FE_OFN18309_n_11258),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
+	.B1(FE_OFN1806_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
+	.X(FE_RN_109_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 FE_RC_148_0 (
-	.A1(n_646),
-	.A2(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
-	.B1(FE_RN_96_0),
+   sky130_fd_sc_hd__a21oi_2 FE_RC_172_0 (
+	.A1(n_13498),
+	.A2(FE_OFN1649_n_11054),
+	.B1(FE_RN_109_0),
+	.Y(n_13264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_171_0 (
+	.A(FE_RN_108_0),
+	.Y(n_13283), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 FE_RC_170_0 (
+	.A(FE_OFN889_u_soc_lsu_to_xbar_a_address__19),
+	.B(\u_soc_lsu_to_xbar[a_address] [18]),
+	.C(n_13275),
+	.D(n_13282),
+	.Y(FE_RN_108_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_169_0 (
+	.A(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
+	.Y(FE_RN_105_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_168_0 (
+	.A(\u_soc_lsu_to_xbar[a_address] [31]),
+	.B(FE_RN_105_0),
+	.Y(FE_RN_106_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 FE_RC_166_0 (
+	.A1(FE_RN_105_0),
+	.A2(\u_soc_lsu_to_xbar[a_address] [31]),
+	.B1(FE_RN_106_0),
 	.Y(n_8955), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 FE_RC_147_0 (
-	.A1(n_13494),
-	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
-	.B1(n_13493),
-	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
-	.Y(FE_RN_94_0), 
+   sky130_fd_sc_hd__inv_2 FE_RC_165_0 (
+	.A(u_soc_u_top_u_core_pc_if[27]),
+	.Y(FE_RN_102_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_4 FE_RC_144_0 (
-	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
-	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49),
-	.B1_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68),
+   sky130_fd_sc_hd__nand2_1 FE_RC_164_0 (
+	.A(FE_RN_102_0),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52 ),
+	.Y(FE_RN_103_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 FE_RC_162_0 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52 ),
+	.A2(FE_RN_102_0),
+	.B1(FE_RN_103_0),
+	.Y(n_13603), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 FE_RC_161_0 (
+	.A(u_soc_u_top_u_core_pc_if[27]),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52 ),
+	.X(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_160_0 (
+	.A(u_soc_u_top_u_core_pc_if[22]),
+	.Y(FE_RN_98_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_159_0 (
+	.A(FE_RN_98_0),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42 ),
+	.Y(FE_RN_99_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_1 FE_RC_157_0 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42 ),
+	.A2(FE_RN_98_0),
+	.B1(FE_RN_99_0),
+	.Y(n_13598), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2b_4 FE_RC_155_0 (
+	.A(FE_RN_98_0),
+	.B_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42 ),
+	.Y(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44 ), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a22o_1 FE_RC_154_0 (
+	.A1(n_1220),
+	.A2(u_soc_u_top_u_core_csr_depc[30]),
+	.B1(FE_OFN18263_n_6919),
+	.B2(u_soc_u_top_u_core_pc_if[30]),
+	.X(FE_RN_97_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a21oi_1 FE_RC_153_0 (
+	.A1(n_13606),
+	.A2(FE_OFN1580_n_6915),
+	.B1(FE_RN_97_0),
+	.Y(n_7596), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_152_0 (
+	.A(n_11244),
+	.Y(FE_RN_95_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_151_0 (
+	.A(n_11459),
+	.Y(FE_RN_96_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nor2_8 FE_RC_150_0 (
+	.A(FE_RN_95_0),
+	.B(FE_RN_96_0),
+	.Y(n_11555), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_149_0 (
+	.A(n_11241),
 	.Y(FE_RN_93_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 FE_RC_143_0 (
-	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103),
-	.A2(FE_PSBN19891_FE_RN_93_0),
-	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_215),
-	.Y(\u_soc_lsu_to_xbar[a_address] [31]), 
+   sky130_fd_sc_hd__inv_2 FE_RC_148_0 (
+	.A(n_11457),
+	.Y(FE_RN_94_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_142_0 (
-	.A1(n_13494),
-	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.B1(n_13493),
-	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.X(FE_RN_92_0), 
+   sky130_fd_sc_hd__nor2_4 FE_RC_147_0 (
+	.A(FE_RN_93_0),
+	.B(FE_RN_94_0),
+	.Y(n_11517), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_4 FE_RC_141_0 (
-	.A1(n_10336),
-	.A2(n_13492),
-	.B1(FE_RN_92_0),
-	.Y(n_10862), 
+   sky130_fd_sc_hd__and2_0 FE_RC_144_0 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [6]),
+	.X(FE_RN_91_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_139_0 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196),
-	.B(FE_RN_91_0),
+   sky130_fd_sc_hd__a221oi_1 FE_RC_143_0 (
+	.A1(n_8868),
+	.A2(n_13533),
+	.B1(n_8934),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
+	.C1(FE_RN_91_0),
+	.Y(n_10157), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_142_0 (
+	.A(n_8808),
+	.B(u_soc_iccm_ctrl_addr_o[8]),
+	.Y(FE_RN_88_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_141_0 (
+	.A(n_10152),
+	.Y(FE_RN_89_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_140_0 (
+	.A(\u_soc_ifu_to_xbar[a_address] [10]),
 	.Y(FE_RN_90_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 FE_RC_138_0 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_80),
-	.Y(FE_RN_91_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_135_0 (
-	.A(n_11464),
-	.Y(FE_RN_88_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_4 FE_RC_134_0 (
-	.A(FE_COEN4312_n_11091),
-	.B(n_11156),
-	.C(FE_RN_88_0),
-	.Y(n_16001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 FE_RC_132_0 (
-	.A(n_12863),
-	.B(n_12839),
-	.Y(FE_RN_85_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_130_0 (
-	.A(FE_RN_85_0),
-	.B(n_13028),
+	.A(n_12664),
 	.Y(FE_RN_86_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_RC_128_0 (
-	.A(n_11398),
-	.Y(FE_RN_83_0), 
+   sky130_fd_sc_hd__nand2_2 FE_RC_137_0 (
+	.A(n_13416),
+	.B(n_11443),
+	.Y(FE_RN_87_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_127_0 (
-	.A(n_11279),
+   sky130_fd_sc_hd__nand3b_4 FE_RC_136_0 (
+	.A_N(n_12788),
+	.B(FE_RN_87_0),
+	.C(FE_RN_86_0),
+	.Y(n_15943), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_135_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36),
 	.Y(FE_RN_84_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_8 FE_RC_126_0 (
-	.A(FE_RN_83_0),
+   sky130_fd_sc_hd__nand2_1 FE_RC_134_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_114),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_35),
+	.Y(FE_RN_85_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_133_0 (
+	.A(FE_RN_85_0),
 	.B(FE_RN_84_0),
-	.Y(n_15871), 
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_119), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_125_0 (
-	.A(n_11268),
-	.Y(FE_RN_81_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_RC_124_0 (
-	.A(n_11397),
+   sky130_fd_sc_hd__inv_2 FE_RC_132_0 (
+	.A(n_11400),
 	.Y(FE_RN_82_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 FE_RC_123_0 (
-	.A(FE_RN_81_0),
-	.B(FE_RN_82_0),
-	.Y(n_15866), 
+   sky130_fd_sc_hd__inv_2 FE_RC_131_0 (
+	.A(n_11239),
+	.Y(FE_RN_83_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_122_0 (
-	.A(FE_PSN4624_n_11401),
+   sky130_fd_sc_hd__nor2_8 FE_RC_130_0 (
+	.A(FE_RN_82_0),
+	.B(FE_RN_83_0),
+	.Y(n_15869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_129_0 (
+	.A(n_12782),
+	.Y(FE_RN_81_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o21bai_2 FE_RC_128_0 (
+	.A1(n_11626),
+	.A2(FE_OFN1245_n_13069),
+	.B1_N(FE_RN_81_0),
+	.Y(n_13497), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_2 FE_RC_127_0 (
+	.A1(FE_OFN18279_n_11593),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[0]),
+	.B1(n_13112),
+	.C1(n_13152),
+	.D1(n_13192),
+	.Y(n_13807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_RC_126_0 (
+	.A(n_11272),
+	.Y(FE_RN_79_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_RC_125_0 (
+	.A(n_11635),
 	.Y(FE_RN_80_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 FE_RC_121_0 (
-	.A(FE_RN_80_0),
-	.B_N(n_11287),
-	.Y(n_15867), 
+   sky130_fd_sc_hd__nor2_4 FE_RC_124_0 (
+	.A(FE_RN_79_0),
+	.B(FE_RN_80_0),
+	.Y(n_11751), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_120_0 (
-	.A(n_12788),
+   sky130_fd_sc_hd__a21oi_2 FE_RC_123_0 (
+	.A1(n_13273),
+	.A2(FE_RN_1_0),
+	.B1(FE_RN_0_0),
+	.Y(FE_RN_3_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_122_0 (
+	.A(n_11428),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.Y(FE_RN_75_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_121_0 (
+	.A(n_11423),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.Y(FE_RN_76_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_120_0 (
+	.A(n_11476),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
 	.Y(FE_RN_77_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 FE_RC_119_0 (
-	.A(n_12664),
+	.A(FE_RN_78_0),
+	.Y(n_12017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3_1 FE_RC_118_0 (
+	.A(FE_RN_77_0),
+	.B(FE_RN_75_0),
+	.C(FE_RN_76_0),
 	.Y(FE_RN_78_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_118_0 (
-	.A(n_13416),
-	.B(n_11443),
-	.Y(FE_RN_79_0), 
+   sky130_fd_sc_hd__and2_0 FE_RC_117_0 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [4]),
+	.X(FE_RN_71_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 FE_RC_117_0 (
-	.A(FE_RN_79_0),
-	.B(FE_RN_78_0),
-	.C(FE_RN_77_0),
-	.Y(n_15943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 FE_RC_116_0 (
-	.A(n_12988),
-	.B(n_12924),
-	.C(n_13055),
-	.Y(n_13073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 FE_RC_115_0 (
-	.A1(n_11626),
-	.A2(n_13417),
-	.B1(n_12310),
-	.Y(n_13495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_114_0 (
-	.A(n_8808),
-	.B(u_soc_iccm_ctrl_addr_o[7]),
-	.Y(FE_RN_76_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 FE_RC_113_0 (
-	.A1(FE_PSN4664_n_10153),
-	.A2(FE_PSN4110_n_10341),
-	.B1(FE_RN_76_0),
-	.Y(n_10558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_RC_110_0 (
-	.A(FE_RN_75_0),
-	.Y(n_15869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_109_0 (
-	.A(n_11400),
-	.B(n_11239),
-	.Y(FE_RN_75_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_108_0 (
-	.A(n_11515),
-	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
-	.Y(FE_RN_70_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_107_0 (
-	.A(FE_OFN18440_n_11765),
-	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
-	.Y(FE_RN_71_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_106_0 (
-	.A(FE_OFN18554_n_15860),
-	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[5]),
+   sky130_fd_sc_hd__nand2_1 FE_RC_116_0 (
+	.A(n_8868),
+	.B(n_13532),
 	.Y(FE_RN_72_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 FE_RC_105_0 (
-	.A(FE_RN_72_0),
-	.B(FE_RN_71_0),
-	.C(FE_RN_70_0),
-	.X(n_12795), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_115_0 (
+	.A(n_8934),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
+	.Y(FE_RN_73_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_104_0 (
-	.A1(FE_PSN4428_n_11176),
-	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
-	.B1(n_11258),
-	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [1]),
-	.X(FE_RN_69_0), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_114_0 (
+	.A(FE_RN_73_0),
+	.B(FE_RN_72_0),
+	.Y(FE_RN_74_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_103_0 (
-	.A1(n_13496),
-	.A2(FE_OFN1581_n_11054),
-	.B1(FE_RN_69_0),
-	.Y(n_13221), 
+   sky130_fd_sc_hd__nor2_1 FE_RC_113_0 (
+	.A(FE_RN_71_0),
+	.B(FE_RN_74_0),
+	.Y(n_10159), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_102_0 (
-	.A(n_8808),
-	.B(u_soc_iccm_ctrl_addr_o[5]),
-	.Y(FE_RN_68_0), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_111_0 (
+	.A(n_11461),
+	.B(n_11248),
+	.Y(FE_RN_70_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 FE_RC_101_0 (
-	.A1(FE_PSN4664_n_10153),
-	.A2(FE_PSN4780_n_10162),
-	.B1(FE_RN_68_0),
-	.Y(n_10395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_100_0 (
-	.A(n_11289),
-	.Y(FE_RN_66_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_RC_99_0 (
-	.A(n_11456),
+   sky130_fd_sc_hd__nand2_1 FE_RC_110_0 (
+	.A(n_15869),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
 	.Y(FE_RN_67_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 FE_RC_98_0 (
-	.A(FE_RN_66_0),
+   sky130_fd_sc_hd__nand2_1 FE_RC_109_0 (
+	.A(n_11471),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
+	.Y(FE_RN_68_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 FE_RC_108_0 (
+	.A(FE_RN_68_0),
 	.B(FE_RN_67_0),
-	.Y(n_11514), 
+	.Y(FE_RN_69_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_97_0 (
-	.A1(FE_OFN18378_n_11176),
-	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
-	.B1(n_11258),
-	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
-	.X(FE_RN_65_0), 
+   sky130_fd_sc_hd__a21oi_1 FE_RC_107_0 (
+	.A1(n_15866),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
+	.B1(FE_RN_69_0),
+	.Y(n_15984), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_4 FE_RC_96_0 (
-	.A1(n_13503),
-	.A2(FE_OFN18577_n_11054),
-	.B1(FE_RN_65_0),
-	.Y(n_13253), 
+   sky130_fd_sc_hd__inv_8 FE_RC_106_0 (
+	.A(FE_RN_66_0),
+	.Y(n_11559), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_95_0 (
-	.A(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
-	.Y(FE_RN_64_0), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_105_0 (
+	.A(n_11247),
+	.B(n_11461),
+	.Y(FE_RN_66_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_4 FE_RC_94_0 (
-	.A(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.B(FE_RN_64_0),
-	.C(n_8816),
-	.Y(n_16004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_93_0 (
-	.A(n_11169),
-	.Y(FE_RN_61_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_92_0 (
-	.A(n_11302),
-	.B(u_soc_u_top_u_core_alu_operator_ex[2]),
-	.Y(FE_RN_62_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_91_0 (
-	.A(FE_RN_63_0),
-	.Y(n_11431), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_90_0 (
-	.A(FE_RN_62_0),
-	.B(FE_RN_61_0),
+   sky130_fd_sc_hd__nand2_1 FE_RC_104_0 (
+	.A(FE_OFN18309_n_11258),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [0]),
 	.Y(FE_RN_63_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 FE_RC_89_0 (
-	.A(\u_soc_lsu_to_xbar[a_address] [28]),
-	.B_N(n_15940),
-	.Y(FE_RN_58_0), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_103_0 (
+	.A(FE_OFN1806_n_11176),
+	.B(\u_soc_u_top_u_core_imd_val_q_ex[0] [1]),
+	.Y(FE_RN_64_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_88_0 (
-	.A(\u_soc_lsu_to_xbar[a_address] [25]),
-	.B(\u_soc_lsu_to_xbar[a_address] [27]),
-	.Y(FE_RN_59_0), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_102_0 (
+	.A(FE_RN_64_0),
+	.B(FE_RN_63_0),
+	.Y(FE_RN_65_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_87_0 (
-	.A(FE_RN_60_0),
-	.Y(n_15938), 
+   sky130_fd_sc_hd__a21oi_1 FE_RC_101_0 (
+	.A1(n_13495),
+	.A2(FE_OFN1649_n_11054),
+	.B1(FE_RN_65_0),
+	.Y(n_13215), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_86_0 (
-	.A(FE_RN_59_0),
-	.B(FE_RN_58_0),
+   sky130_fd_sc_hd__nand2_1 FE_RC_100_0 (
+	.A(n_8868),
+	.B(n_13348),
 	.Y(FE_RN_60_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_85_0 (
-	.A(n_8808),
-	.B(u_soc_iccm_ctrl_addr_o[9]),
-	.Y(FE_RN_56_0), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_99_0 (
+	.A(n_8934),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [3]),
+	.Y(FE_RN_61_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_84_0 (
-	.A(n_10152),
-	.B(\u_soc_ifu_to_xbar[a_address] [11]),
-	.Y(FE_RN_57_0), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_98_0 (
+	.A(FE_RN_61_0),
+	.B(FE_RN_60_0),
+	.Y(FE_RN_62_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_83_0 (
-	.A(FE_RN_57_0),
-	.B(FE_RN_56_0),
-	.Y(n_10561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_82_0 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17),
-	.Y(FE_RN_54_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_80_0 (
-	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183),
-	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16),
-	.B1(FE_RN_54_0),
-	.Y(FE_RN_55_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_79_0 (
-	.A(n_10315),
-	.Y(FE_RN_50_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_78_0 (
-	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.Y(FE_RN_51_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_77_0 (
-	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [11]),
-	.Y(FE_RN_52_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 FE_RC_76_0 (
-	.A1_N(FE_RN_52_0),
-	.A2_N(FE_RN_51_0),
-	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [11]),
-	.B2(FE_PSN4856_n_8934),
-	.Y(FE_RN_53_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_75_0 (
-	.A(FE_RN_53_0),
-	.B(FE_RN_50_0),
-	.Y(\u_soc_ifu_to_xbar[a_address] [11]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_74_0 (
-	.A(n_1220),
-	.B(u_soc_u_top_u_core_csr_depc[31]),
-	.Y(FE_RN_46_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_73_0 (
-	.A(n_6919),
-	.B(u_soc_u_top_u_core_pc_if[31]),
-	.Y(FE_RN_47_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_72_0 (
-	.A(n_13607),
-	.B(FE_OFN1503_n_6915),
-	.Y(FE_RN_48_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_RC_71_0 (
-	.A(FE_RN_49_0),
-	.Y(n_7597), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 FE_RC_70_0 (
-	.A(FE_RN_48_0),
-	.B(FE_RN_46_0),
-	.C(FE_RN_47_0),
-	.Y(FE_RN_49_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 FE_RC_69_0 (
-	.A1(FE_OFN18378_n_11176),
-	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
-	.B1(n_11258),
-	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
-	.X(FE_RN_45_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 FE_RC_68_0 (
-	.A1(n_13499),
-	.A2(FE_OFN1581_n_11054),
-	.B1(FE_RN_45_0),
-	.Y(n_13223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_67_0 (
-	.A(n_8808),
-	.B(u_soc_iccm_ctrl_addr_o[8]),
-	.Y(FE_RN_42_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_RC_66_0 (
-	.A(n_10152),
-	.Y(FE_RN_43_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_65_0 (
-	.A(\u_soc_ifu_to_xbar[a_address] [10]),
-	.Y(FE_RN_44_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 FE_RC_64_0 (
-	.A1(FE_RN_44_0),
-	.A2(FE_RN_43_0),
-	.B1(FE_RN_42_0),
-	.Y(n_10559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_63_0 (
-	.A(n_8808),
-	.B(u_soc_iccm_ctrl_addr_o[0]),
-	.Y(FE_RN_41_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 FE_RC_62_0 (
-	.A1(FE_PSN4664_n_10153),
-	.A2(n_10161),
-	.B1(FE_RN_41_0),
-	.Y(n_10400), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_61_0 (
-	.A(FE_COEN4278_n_12263),
-	.B(u_soc_u_top_u_core_instr_rdata_id[10]),
-	.Y(FE_RN_38_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_60_0 (
-	.A(FE_PSN4471_n_12261),
-	.B(FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23),
-	.Y(FE_RN_39_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_59_0 (
-	.A(FE_RN_39_0),
-	.B(FE_RN_38_0),
-	.Y(FE_RN_40_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_4 FE_RC_58_0 (
-	.A1(FE_PSBN19828_n_13387),
-	.A2(FE_PSN4801_n_11443),
-	.B1(FE_RN_40_0),
-	.Y(n_142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 FE_RC_57_0 (
-	.A1(FE_OFN18378_n_11176),
-	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
-	.B1(n_11258),
-	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
-	.Y(FE_RN_37_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 FE_RC_56_0 (
-	.A1(n_13497),
-	.A2(FE_OFN1581_n_11054),
-	.B1_N(FE_RN_37_0),
-	.Y(n_13222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_55_0 (
-	.A(n_10316),
-	.Y(FE_RN_33_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_54_0 (
-	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.Y(FE_RN_34_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_53_0 (
-	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [10]),
-	.Y(FE_RN_35_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a2bb2oi_1 FE_RC_52_0 (
-	.A1_N(FE_RN_35_0),
-	.A2_N(FE_RN_34_0),
-	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
-	.B2(FE_PSN4856_n_8934),
-	.Y(FE_RN_36_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_51_0 (
-	.A(FE_RN_36_0),
-	.B(FE_RN_33_0),
-	.Y(\u_soc_ifu_to_xbar[a_address] [10]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_48_0 (
-	.A(FE_RN_1_0),
-	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_95),
-	.Y(FE_RN_32_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 FE_RC_47_0 (
-	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_95),
-	.A2(FE_RN_1_0),
-	.B1(FE_RN_32_0),
-	.Y(\u_soc_xbar_to_dccm[a_address] [13]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_46_0 (
-	.A(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
-	.Y(FE_RN_27_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_45_0 (
-	.A(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
-	.B(n_13275),
-	.Y(FE_RN_28_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_RC_44_0 (
-	.A(n_13282),
-	.Y(FE_RN_29_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_4 FE_RC_43_0 (
-	.A(FE_RN_29_0),
-	.B(FE_RN_28_0),
-	.C(FE_RN_27_0),
-	.Y(n_13283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_42_0 (
-	.A(FE_OFN18536_n_15862),
-	.B(u_soc_u_top_u_core_pc_id[5]),
-	.Y(FE_RN_24_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_41_0 (
-	.A(FE_OFN18426_n_16003),
-	.B(u_soc_u_top_u_core_lsu_addr_last[5]),
-	.Y(FE_RN_25_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_40_0 (
-	.A(FE_RN_25_0),
-	.B(FE_RN_24_0),
-	.Y(FE_RN_26_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_4 FE_RC_39_0 (
-	.A1(FE_PSBN19892_n_13422),
-	.A2(n_11625),
-	.B1(FE_RN_26_0),
-	.Y(n_112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_38_0 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12),
-	.Y(FE_RN_22_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_RC_37_0 (
-	.A(FE_RN_23_0),
-	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_4 FE_RC_36_0 (
-	.A(FE_PSBN19863_FE_RN_55_0),
-	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40),
-	.C(FE_RN_22_0),
-	.Y(FE_RN_23_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_35_0 (
-	.A(FE_RN_15_0),
-	.Y(FE_RN_21_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 FE_RC_34_0 (
-	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38),
-	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197),
-	.B1(FE_RN_21_0),
-	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_30_0 (
-	.A(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
-	.B(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
-	.Y(FE_RN_16_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_29_0 (
-	.A(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
-	.B(n_13378),
-	.Y(FE_RN_17_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_28_0 (
-	.A(FE_RN_18_0),
-	.Y(n_13277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 FE_RC_27_0 (
-	.A(FE_RN_17_0),
-	.B(FE_RN_16_0),
-	.Y(FE_RN_18_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 FE_RC_25_0 (
-	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44),
-	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173),
-	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_110),
-	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_RC_24_0 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_107),
-	.Y(FE_RN_15_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3443_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156),
-	.X(FE_OCPN19184_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 FE_RC_22_0 (
-	.A(n_9782),
-	.B(n_8952),
-	.C(n_8951),
-	.Y(FE_RN_14_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_4 FE_RC_21_0 (
-	.A1(n_8955),
-	.A2(n_8947),
-	.B1_N(FE_RN_14_0),
-	.Y(n_10148), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 FE_RC_20_0 (
-	.A(\u_soc_lsu_to_xbar[a_address] [29]),
-	.Y(FE_RN_12_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_4 FE_RC_19_0 (
-	.A_N(\u_soc_lsu_to_xbar[a_address] [26]),
-	.B(FE_RN_12_0),
-	.Y(FE_RN_13_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 FE_RC_18_0 (
-	.A(FE_RN_13_0),
-	.B(n_13283),
-	.Y(n_13284), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 FE_RC_15_0 (
-	.A(n_82523_BAR),
-	.B(n_13273),
-	.Y(FE_RN_8_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 FE_RC_14_0 (
-	.A(n_13460),
-	.B(n_13274),
-	.Y(FE_RN_9_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 FE_RC_13_0 (
-	.A(FE_RN_8_0),
-	.B(FE_RN_9_0),
-	.Y(FE_RN_10_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 FE_RC_12_0 (
-	.A(FE_RN_10_0),
-	.B(n_13288),
-	.Y(n_13610), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OCPC3442_n_10157 (
-	.A(n_10157),
-	.X(FE_OCPN19183_n_10157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 FE_RC_11_0 (
-	.A(n_13885),
-	.B(n_13332),
-	.X(FE_RN_6_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_RC_10_0 (
-	.A(FE_RN_7_0),
-	.Y(u_soc_u_top_u_core_id_stage_i_branch_set), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_2 FE_RC_9_0 (
-	.A_N(FE_RN_6_0),
-	.B(n_13610),
-	.Y(FE_RN_7_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_8_0 (
-	.A(\u_soc_lsu_to_xbar[a_address] [30]),
-	.Y(FE_RN_3_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_RC_7_0 (
-	.A(\u_soc_lsu_to_xbar[a_address] [31]),
-	.Y(FE_RN_4_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 FE_RC_6_0 (
-	.A(FE_RN_4_0),
-	.B(FE_RN_3_0),
-	.Y(FE_RN_5_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_8 FE_RC_5_0 (
-	.A(n_13285),
-	.B(FE_RN_5_0),
-	.Y(n_13286), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_RC_4_0 (
-	.A(FE_OCPN19184_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156),
-	.Y(FE_RN_1_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 FE_RC_1_0 (
-	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [3]),
-	.X(FE_RN_0_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 FE_RC_0_0 (
-	.A1(FE_PSN4637_n_8868),
-	.A2(n_13348),
-	.B1(n_8934),
-	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [3]),
-	.C1(FE_RN_0_0),
+   sky130_fd_sc_hd__a21oi_2 FE_RC_97_0 (
+	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [3]),
+	.B1(FE_RN_62_0),
 	.Y(n_10160), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3434_n (
-	.A(FE_OFN1322_u_soc_instr_wmask_0),
-	.X(FE_OFN19175_n), 
+   sky130_fd_sc_hd__a22o_1 FE_RC_96_0 (
+	.A1(n_15862),
+	.A2(u_soc_u_top_u_core_pc_id[11]),
+	.B1(FE_OFN18505_n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[11]),
+	.X(FE_RN_59_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC3430_FE_OFN1241_u_soc_data_wdata_24 (
-	.A(FE_OFN1241_u_soc_data_wdata_24),
-	.X(FE_OFN19171_n), 
+   sky130_fd_sc_hd__a21oi_1 FE_RC_95_0 (
+	.A1(FE_OFN1656_n_13428),
+	.A2(n_11625),
+	.B1(FE_RN_59_0),
+	.Y(n_13134), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3424_u_soc_u_iccm_rdata4_22 (
-	.A(FE_OFN19695_u_soc_u_iccm_rdata4_22),
-	.X(FE_OFN19165_u_soc_u_iccm_rdata4_22), 
+   sky130_fd_sc_hd__inv_1 FE_RC_94_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_89),
+	.Y(FE_RN_56_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3423_u_soc_u_dccm_rdata4_6 (
-	.A(FE_OFN19697_u_soc_u_dccm_rdata4_6),
-	.X(FE_OFN19164_u_soc_u_dccm_rdata4_6), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_93_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145),
+	.B(FE_RN_56_0),
+	.Y(FE_RN_57_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3422_u_soc_u_dccm_rdata4_7 (
-	.A(FE_OFN19694_u_soc_u_dccm_rdata4_7),
-	.X(FE_OFN19163_u_soc_u_dccm_rdata4_7), 
+   sky130_fd_sc_hd__o21ai_1 FE_RC_91_0 (
+	.A1(FE_RN_56_0),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145),
+	.B1(FE_RN_57_0),
+	.Y(\u_soc_xbar_to_dccm[a_address] [9]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3419_u_soc_u_iccm_rdata4_10 (
-	.A(FE_OFN19637_u_soc_u_iccm_rdata4_10),
-	.X(FE_OFN19160_u_soc_u_iccm_rdata4_10), 
+   sky130_fd_sc_hd__and3_4 FE_RC_90_0 (
+	.A(n_11467),
+	.B(n_11307),
+	.C(n_11261),
+	.X(n_15860), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3418_u_soc_u_dccm_rdata4_13 (
-	.A(FE_OFN19685_u_soc_u_dccm_rdata4_13),
-	.X(FE_OFN19159_u_soc_u_dccm_rdata4_13), 
+   sky130_fd_sc_hd__a22o_1 FE_RC_89_0 (
+	.A1(FE_OFN18309_n_11258),
+	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
+	.B1(FE_OFN1806_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [6]),
+	.X(FE_RN_55_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3417_u_soc_u_dccm_rdata4_15 (
-	.A(FE_PDN4059_u_soc_u_dccm_rdata4_15),
-	.X(FE_OFN19158_u_soc_u_dccm_rdata4_15), 
+   sky130_fd_sc_hd__a21oi_2 FE_RC_88_0 (
+	.A1(n_13500),
+	.A2(FE_OFN1649_n_11054),
+	.B1(FE_RN_55_0),
+	.Y(n_13244), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3416_FE_OFN1711_n (
-	.A(FE_OFN1339_u_soc_u_iccm_addr4_2),
-	.X(FE_OFN19157_n), 
+   sky130_fd_sc_hd__and2_0 FE_RC_87_0 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [2]),
+	.X(FE_RN_51_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC3389_u_soc_u_iccm_rdata4_6 (
-	.A(FE_PDN3990_u_soc_u_iccm_rdata4_6),
-	.X(FE_OFN19130_u_soc_u_iccm_rdata4_6), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_86_0 (
+	.A(n_8868),
+	.B(n_13531),
+	.Y(FE_RN_52_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3388_u_soc_u_iccm_rdata4_11 (
-	.A(FE_OFN19676_u_soc_u_iccm_rdata4_11),
-	.X(FE_OFN19129_u_soc_u_iccm_rdata4_11), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_85_0 (
+	.A(n_8934),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
+	.Y(FE_RN_53_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3387_u_soc_u_iccm_rdata4_25 (
-	.A(FE_OFN19716_u_soc_u_iccm_rdata4_25),
-	.X(FE_OFN19128_u_soc_u_iccm_rdata4_25), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_84_0 (
+	.A(FE_RN_53_0),
+	.B(FE_RN_52_0),
+	.Y(FE_RN_54_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3386_u_soc_u_dccm_rdata4_11 (
-	.A(FE_OFN19696_u_soc_u_dccm_rdata4_11),
-	.X(FE_OFN19127_u_soc_u_dccm_rdata4_11), 
+   sky130_fd_sc_hd__nor2_1 FE_RC_83_0 (
+	.A(FE_RN_51_0),
+	.B(FE_RN_54_0),
+	.Y(n_10161), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC3384_FE_OFN1233_u_soc_data_wdata_26 (
-	.A(FE_OFN1233_u_soc_data_wdata_26),
-	.X(FE_OFN19125_n), 
+   sky130_fd_sc_hd__and2_0 FE_RC_82_0 (
+	.A(n_1220),
+	.B(u_soc_u_top_u_core_csr_depc[31]),
+	.X(FE_RN_47_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3383_u_soc_u_dccm_rdata4_12 (
-	.A(u_soc_u_dccm_rdata4[12]),
-	.X(FE_OFN19124_u_soc_u_dccm_rdata4_12), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_81_0 (
+	.A(FE_OFN18263_n_6919),
+	.B(u_soc_u_top_u_core_pc_if[31]),
+	.Y(FE_RN_48_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3381_u_soc_u_dccm_rdata4_19 (
-	.A(FE_OFN19677_u_soc_u_dccm_rdata4_19),
-	.X(FE_OFN19122_u_soc_u_dccm_rdata4_19), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_80_0 (
+	.A(n_13607),
+	.B(FE_OFN1580_n_6915),
+	.Y(FE_RN_49_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3380_u_soc_u_iccm_rdata4_9 (
-	.A(FE_OFN19672_u_soc_u_iccm_rdata4_9),
-	.X(FE_OFN19121_u_soc_u_iccm_rdata4_9), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_79_0 (
+	.A(FE_RN_49_0),
+	.B(FE_RN_48_0),
+	.Y(FE_RN_50_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3376_u_soc_u_iccm_rdata4_7 (
-	.A(FE_OFN19674_u_soc_u_iccm_rdata4_7),
-	.X(FE_OFN19117_u_soc_u_iccm_rdata4_7), 
+   sky130_fd_sc_hd__nor2_1 FE_RC_78_0 (
+	.A(FE_RN_47_0),
+	.B(FE_RN_50_0),
+	.Y(n_7597), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3374_u_soc_u_dccm_rdata4_23 (
-	.A(FE_OFN19622_u_soc_u_dccm_rdata4_23),
-	.X(FE_OFN19115_u_soc_u_dccm_rdata4_23), 
+   sky130_fd_sc_hd__inv_2 FE_RC_77_0 (
+	.A(n_11398),
+	.Y(FE_RN_45_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3372_u_soc_u_dccm_rdata4_20 (
-	.A(FE_OFN19661_u_soc_u_dccm_rdata4_20),
-	.X(FE_OFN19113_u_soc_u_dccm_rdata4_20), 
+   sky130_fd_sc_hd__inv_2 FE_RC_76_0 (
+	.A(n_11279),
+	.Y(FE_RN_46_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3370_u_soc_u_dccm_rdata4_24 (
-	.A(FE_OFN19668_u_soc_u_dccm_rdata4_24),
-	.X(FE_OFN19111_u_soc_u_dccm_rdata4_24), 
+   sky130_fd_sc_hd__nor2_8 FE_RC_75_0 (
+	.A(FE_RN_45_0),
+	.B(FE_RN_46_0),
+	.Y(n_15871), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3369_u_soc_u_dccm_rdata4_29 (
-	.A(FE_PDN4237_u_soc_u_dccm_rdata4_29),
-	.X(FE_OFN19110_u_soc_u_dccm_rdata4_29), 
+   sky130_fd_sc_hd__and2_0 FE_RC_74_0 (
+	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [9]),
+	.X(FE_RN_44_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3368_u_soc_u_dccm_rdata4_30 (
-	.A(FE_PDN3979_u_soc_u_dccm_rdata4_30),
-	.X(FE_OFN19109_u_soc_u_dccm_rdata4_30), 
+   sky130_fd_sc_hd__a221oi_2 FE_RC_73_0 (
+	.A1(n_8868),
+	.A2(n_13528),
+	.B1(n_8934),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [9]),
+	.C1(FE_RN_44_0),
+	.Y(n_10341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3367_u_soc_u_dccm_rdata4_26 (
-	.A(FE_OFN19662_u_soc_u_dccm_rdata4_26),
-	.X(FE_OFN19108_u_soc_u_dccm_rdata4_26), 
+   sky130_fd_sc_hd__inv_2 FE_RC_72_0 (
+	.A(n_8808),
+	.Y(FE_RN_42_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3365_u_soc_u_dccm_rdata4_28 (
-	.A(u_soc_u_dccm_rdata4[28]),
-	.X(FE_OFN19106_u_soc_u_dccm_rdata4_28), 
+   sky130_fd_sc_hd__inv_1 FE_RC_71_0 (
+	.A(u_soc_iccm_ctrl_addr_o[7]),
+	.Y(FE_RN_43_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3364_u_soc_u_dccm_rdata4_31 (
-	.A(FE_PDN4038_u_soc_u_dccm_rdata4_31),
-	.X(FE_OFN19105_u_soc_u_dccm_rdata4_31), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_69_0 (
+	.A(n_11552),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
+	.Y(FE_RN_38_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3339_io_out_6 (
-	.A(FE_OFN19080_io_out_6),
-	.X(FE_PDN19857_io_out_6), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_68_0 (
+	.A(FE_OFN18364_n_11762),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
+	.Y(FE_RN_39_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3316_n (
-	.A(FE_OFN1322_u_soc_instr_wmask_0),
-	.X(FE_OFN19057_n), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_67_0 (
+	.A(FE_OFN18286_n_12256),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.Y(FE_RN_40_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3315_FE_OFN133_io_out_37 (
-	.A(FE_OFN133_io_out_37),
-	.X(FE_OFN19056_FE_OFN133_io_out_37), 
+   sky130_fd_sc_hd__inv_2 FE_RC_66_0 (
+	.A(FE_RN_41_0),
+	.Y(n_12880), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3314_FE_OFN126_io_out_37 (
-	.A(FE_OFN126_io_out_37),
-	.X(FE_OFN19055_FE_OFN126_io_out_37), 
+   sky130_fd_sc_hd__nand3_1 FE_RC_65_0 (
+	.A(FE_RN_40_0),
+	.B(FE_RN_39_0),
+	.C(FE_RN_38_0),
+	.Y(FE_RN_41_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3313_FE_OFN138_io_out_37 (
-	.A(FE_OFN138_io_out_37),
-	.X(FE_OFN19054_FE_OFN138_io_out_37), 
+   sky130_fd_sc_hd__inv_1 FE_RC_64_0 (
+	.A(FE_RN_22_0),
+	.Y(FE_RN_37_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3312_FE_OFN132_io_out_37 (
-	.A(FE_OFN132_io_out_37),
-	.X(FE_OFN19053_FE_OFN132_io_out_37), 
+   sky130_fd_sc_hd__nand3_2 FE_RC_63_0 (
+	.A(n_13175),
+	.B(n_13147),
+	.C(FE_RN_37_0),
+	.Y(n_13808), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3310_FE_OFN77_io_out_37 (
-	.A(FE_OFN77_io_out_37),
-	.X(FE_OFN19051_FE_OFN77_io_out_37), 
+   sky130_fd_sc_hd__inv_2 FE_RC_62_0 (
+	.A(n_11397),
+	.Y(FE_RN_35_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3309_FE_OFN961_n_5348 (
-	.A(FE_OFN961_n_5348),
-	.X(FE_OFN19050_FE_OFN961_n_5348), 
+   sky130_fd_sc_hd__inv_2 FE_RC_61_0 (
+	.A(n_11268),
+	.Y(FE_RN_36_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3308_FE_OFN551_u_soc_instr_wdata_10 (
-	.A(FE_OFN551_u_soc_instr_wdata_10),
-	.X(FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10), 
+   sky130_fd_sc_hd__nor2_8 FE_RC_60_0 (
+	.A(FE_RN_35_0),
+	.B(FE_RN_36_0),
+	.Y(n_15866), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3307_FE_OFN101_io_out_37 (
-	.A(FE_OFN101_io_out_37),
-	.X(FE_OFN19048_FE_OFN101_io_out_37), 
+   sky130_fd_sc_hd__inv_1 FE_RC_59_0 (
+	.A(FE_RN_9_0),
+	.Y(FE_RN_33_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC3305_FE_OFN470_u_soc_instr_wdata_22 (
-	.A(FE_OFN469_u_soc_instr_wdata_22),
-	.X(FE_OFN19046_FE_OFN470_u_soc_instr_wdata_22), 
+   sky130_fd_sc_hd__nor2_1 FE_RC_58_0 (
+	.A(FE_RN_33_0),
+	.B(\u_soc_lsu_to_xbar[a_address] [28]),
+	.Y(FE_RN_34_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3304_FE_OFN125_io_out_37 (
-	.A(FE_OFN125_io_out_37),
-	.X(FE_OFN19045_FE_OFN125_io_out_37), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_57_0 (
+	.A(n_15940),
+	.B(FE_RN_34_0),
+	.Y(FE_RN_10_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3302_FE_OFN105_io_out_37 (
-	.A(FE_OFN105_io_out_37),
-	.X(FE_OFN19043_FE_OFN105_io_out_37), 
+   sky130_fd_sc_hd__inv_1 FE_RC_56_0 (
+	.A(n_11242),
+	.Y(FE_RN_32_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3301_FE_OFN122_io_out_37 (
-	.A(FE_OFN122_io_out_37),
-	.X(FE_OFN19042_FE_OFN122_io_out_37), 
+   sky130_fd_sc_hd__nor2b_4 FE_RC_55_0 (
+	.A(FE_RN_32_0),
+	.B_N(n_11452),
+	.Y(n_11471), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3300_FE_OFN66_io_out_37 (
-	.A(FE_OFN66_io_out_37),
-	.X(FE_OFN19041_FE_OFN66_io_out_37), 
+   sky130_fd_sc_hd__and2_0 FE_RC_54_0 (
+	.A(n_8808),
+	.B(u_soc_iccm_ctrl_addr_o[0]),
+	.X(FE_RN_31_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC3299_FE_OFN423_u_soc_instr_wdata_29 (
-	.A(u_soc_instr_wdata[29]),
-	.X(FE_OFN19040_FE_OFN423_u_soc_instr_wdata_29), 
+   sky130_fd_sc_hd__o21bai_1 FE_RC_53_0 (
+	.A1(n_10153),
+	.A2(n_10161),
+	.B1_N(FE_RN_31_0),
+	.Y(n_10400), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3298_FE_OFN47_io_out_37 (
-	.A(FE_OFN47_io_out_37),
-	.X(FE_OFN19039_FE_OFN47_io_out_37), 
+   sky130_fd_sc_hd__inv_2 FE_RC_52_0 (
+	.A(FE_RN_30_0),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3297_FE_OFN102_io_out_37 (
-	.A(FE_OFN102_io_out_37),
-	.X(FE_OFN19038_FE_OFN102_io_out_37), 
+   sky130_fd_sc_hd__a21boi_2 FE_RC_51_0 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49),
+	.B1_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_68),
+	.Y(FE_RN_30_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3296_FE_OFN61_io_out_37 (
-	.A(FE_OFN61_io_out_37),
-	.X(FE_OFN19037_FE_OFN61_io_out_37), 
+   sky130_fd_sc_hd__a22oi_1 FE_RC_50_0 (
+	.A1(FE_OFN1268_n_13493),
+	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.B1(FE_OFN1269_n_13494),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.Y(FE_RN_29_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3295_FE_OFN153_io_out_37 (
-	.A(FE_OFN153_io_out_37),
-	.X(FE_OFN19036_FE_OFN153_io_out_37), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_48_0 (
+	.A(n_8808),
+	.B(u_soc_iccm_ctrl_addr_o[9]),
+	.Y(FE_RN_27_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3294_n_10718 (
-	.A(n_10718),
-	.X(FE_OFN19035_n_10718), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_47_0 (
+	.A(\u_soc_ifu_to_xbar[a_address] [11]),
+	.B(n_10152),
+	.Y(FE_RN_28_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3293_FE_OFN103_io_out_37 (
-	.A(FE_OFN103_io_out_37),
-	.X(FE_OFN19034_FE_OFN103_io_out_37), 
+   sky130_fd_sc_hd__nand2_2 FE_RC_46_0 (
+	.A(FE_RN_28_0),
+	.B(FE_RN_27_0),
+	.Y(n_10561), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3290_FE_OFN79_io_out_37 (
-	.A(FE_OFN79_io_out_37),
-	.X(FE_OFN19031_FE_OFN79_io_out_37), 
+   sky130_fd_sc_hd__inv_1 FE_RC_45_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_110),
+	.Y(FE_RN_26_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3289_FE_OFN465_u_soc_instr_wdata_23 (
-	.A(FE_OFN465_u_soc_instr_wdata_23),
-	.X(FE_OFN19030_FE_OFN465_u_soc_instr_wdata_23), 
+   sky130_fd_sc_hd__o21bai_4 FE_RC_44_0 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173),
+	.B1_N(FE_RN_26_0),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3288_FE_OFN96_io_out_37 (
-	.A(FE_OFN96_io_out_37),
-	.X(FE_OFN19029_FE_OFN96_io_out_37), 
+   sky130_fd_sc_hd__nor3_2 FE_RC_43_0 (
+	.A(\u_soc_lsu_to_xbar[a_address] [26]),
+	.B(\u_soc_lsu_to_xbar[a_address] [29]),
+	.C(n_13283),
+	.Y(n_13284), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3287_FE_OFN123_io_out_37 (
-	.A(FE_OFN123_io_out_37),
-	.X(FE_OFN19028_FE_OFN123_io_out_37), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_42_0 (
+	.A(n_9782),
+	.B(n_8952),
+	.Y(FE_RN_24_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3286_FE_OFN70_io_out_37 (
-	.A(FE_OFN70_io_out_37),
-	.X(FE_OFN19027_FE_OFN70_io_out_37), 
+   sky130_fd_sc_hd__inv_1 FE_RC_41_0 (
+	.A(n_8951),
+	.Y(FE_RN_25_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3284_io_oeb_35 (
-	.A(FE_PDN3955_FE_OFN19025_io_oeb_35),
-	.X(io_oeb[35]), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_39_0 (
+	.A(n_8808),
+	.B(u_soc_iccm_ctrl_addr_o[1]),
+	.Y(FE_RN_23_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3283_FE_OFN124_io_out_37 (
-	.A(FE_OFN124_io_out_37),
-	.X(FE_OFN19024_FE_OFN124_io_out_37), 
+   sky130_fd_sc_hd__o21ai_1 FE_RC_38_0 (
+	.A1(n_10153),
+	.A2(n_10160),
+	.B1(FE_RN_23_0),
+	.Y(n_10399), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3281_u_soc_u_tcam_rdata_1 (
-	.A(u_soc_u_tcam_rdata[1]),
-	.X(FE_OFN19022_u_soc_u_tcam_rdata_1), 
+   sky130_fd_sc_hd__o21ai_1 FE_RC_37_0 (
+	.A1(FE_OFN18279_n_11593),
+	.A2(n_15943),
+	.B1(n_13114),
+	.Y(FE_RN_22_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3280_u_soc_u_tcam_rdata_4 (
-	.A(u_soc_u_tcam_rdata[4]),
-	.X(FE_OFN19021_u_soc_u_tcam_rdata_4), 
+   sky130_fd_sc_hd__nor3_4 FE_RC_35_0 (
+	.A(FE_PSN3937_u_soc_lsu_to_xbar_a_address_30),
+	.B(\u_soc_lsu_to_xbar[a_address] [31]),
+	.C(n_13285),
+	.Y(n_13286), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC3279_FE_OFN233_u_soc_data_wdata_8 (
-	.A(FE_OFN233_u_soc_data_wdata_8),
-	.X(FE_OFN19020_FE_OFN233_u_soc_data_wdata_8), 
+   sky130_fd_sc_hd__inv_1 FE_RC_34_0 (
+	.A(n_13487),
+	.Y(FE_RN_20_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3278_u_soc_u_dccm_rdata1_12 (
-	.A(u_soc_u_dccm_rdata1[12]),
-	.X(FE_OFN19019_u_soc_u_dccm_rdata1_12), 
+   sky130_fd_sc_hd__and2b_1 FE_RC_33_0 (
+	.A_N(FE_RN_20_0),
+	.B(n_13286),
+	.X(FE_RN_21_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3277_u_soc_u_iccm_rdata3_27 (
-	.A(FE_PDN4092_u_soc_u_iccm_rdata3_27),
-	.X(FE_OFN19018_u_soc_u_iccm_rdata3_27), 
+   sky130_fd_sc_hd__nor2_2 FE_RC_32_0 (
+	.A(n_13287),
+	.B(FE_RN_21_0),
+	.Y(n_13288), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3275_FE_OFN829_logic_0_9_net (
-	.A(logic_0_9_net),
-	.X(FE_OFN19016_FE_OFN829_logic_0_9_net), 
+   sky130_fd_sc_hd__inv_6 FE_OCPC3377_n_11294 (
+	.A(FE_OCPN18894_n_11294),
+	.Y(FE_OCPN18895_n_11294), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3274_u_soc_u_iccm_rdata3_19 (
-	.A(FE_PDN4006_u_soc_u_iccm_rdata3_19),
-	.X(FE_OFN19015_u_soc_u_iccm_rdata3_19), 
+   sky130_fd_sc_hd__inv_4 FE_OCPC3376_n_11294 (
+	.A(n_11294),
+	.Y(FE_OCPN18894_n_11294), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3273_u_soc_data_addr_6 (
-	.A(u_soc_data_addr[6]),
-	.X(FE_OFN19014_u_soc_data_addr_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3270_u_soc_u_iccm_rdata3_11 (
-	.A(FE_PDN3986_u_soc_u_iccm_rdata3_11),
-	.X(FE_OFN19011_u_soc_u_iccm_rdata3_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3269_u_soc_u_iccm_rdata3_20 (
-	.A(FE_PDN4007_u_soc_u_iccm_rdata3_20),
-	.X(FE_OFN19010_u_soc_u_iccm_rdata3_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC3268_u_soc_instr_we (
-	.A(FE_OFN19008_u_soc_instr_we),
-	.X(FE_OFN19009_u_soc_instr_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3267_u_soc_instr_we (
-	.A(u_soc_instr_we),
-	.X(FE_OFN19008_u_soc_instr_we), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3264_FE_OFN823_logic_0_5_net (
-	.A(logic_0_5_net),
-	.X(FE_OFN19005_FE_OFN823_logic_0_5_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC3262_FE_OFN188_u_soc_data_wdata_29 (
-	.A(FE_OFN19659_u_soc_data_wdata_29),
-	.X(FE_OFN19003_FE_OFN188_u_soc_data_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3261_u_soc_u_iccm_rdata4_26 (
-	.A(FE_OFN19682_u_soc_u_iccm_rdata4_26),
-	.X(FE_OFN19002_u_soc_u_iccm_rdata4_26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3260_FE_OFN17991_n (
-	.A(logic_0_29_net),
-	.X(FE_OFN19001_FE_OFN17991_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC3255_FE_OFN469_u_soc_instr_wdata_22 (
-	.A(FE_OFN469_u_soc_instr_wdata_22),
-	.X(FE_OFN18996_FE_OFN469_u_soc_instr_wdata_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3253_u_soc_u_tcam_rdata_2 (
-	.A(u_soc_u_tcam_rdata[2]),
-	.X(FE_OFN18994_u_soc_u_tcam_rdata_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3252_FE_OFN17989_n (
-	.A(logic_0_32_net),
-	.X(FE_OFN18993_FE_OFN17989_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3250_FE_OFN822_logic_0_4_net (
-	.A(logic_0_4_net),
-	.X(FE_OFN18991_FE_OFN822_logic_0_4_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3248_FE_OFN18002_n (
-	.A(logic_0_6_net),
-	.X(FE_OFN18989_FE_OFN18002_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3244_FE_OFN455_u_soc_instr_wdata_25 (
-	.A(FE_OFN451_u_soc_instr_wdata_25),
-	.X(FE_OFN18985_FE_OFN455_u_soc_instr_wdata_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3242_u_soc_u_iccm_rdata4_1 (
-	.A(u_soc_u_iccm_rdata4[1]),
-	.X(FE_OFN18983_u_soc_u_iccm_rdata4_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3239_FE_OFN848_logic_0_22_net (
-	.A(logic_0_22_net),
-	.X(FE_OFN18980_FE_OFN848_logic_0_22_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3231_io_oeb_36 (
-	.A(FE_PDN3915_FE_OFN18972_io_oeb_36),
-	.X(io_oeb[36]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3229_u_soc_u_dccm_rdata4_0 (
-	.A(FE_OFN19692_u_soc_u_dccm_rdata4_0),
-	.X(FE_OFN18970_u_soc_u_dccm_rdata4_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3228_n_5850 (
-	.A(n_5850),
-	.X(FE_OFN18969_n_5850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3227_n_2994 (
-	.A(n_2994),
-	.X(FE_OFN18968_n_2994), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3226_u_soc_u_iccm_rdata4_5 (
-	.A(FE_OFN19675_u_soc_u_iccm_rdata4_5),
-	.X(FE_OFN18967_u_soc_u_iccm_rdata4_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3225_u_soc_u_iccm_rdata3_8 (
-	.A(FE_PDN4039_u_soc_u_iccm_rdata3_8),
-	.X(FE_OFN18966_u_soc_u_iccm_rdata3_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3222_u_soc_u_iccm_rdata4_2 (
-	.A(FE_PDN4215_u_soc_u_iccm_rdata4_2),
-	.X(FE_OFN18963_u_soc_u_iccm_rdata4_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3219_FE_OFN1721_n (
-	.A(FE_OFN1320_u_soc_data_wmask_0),
-	.X(FE_OFN18960_FE_OFN1721_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3218_io_in_28 (
-	.A(io_in[28]),
-	.X(FE_OFN18959_io_in_28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3217_u_soc_u_iccm_rdata3_31 (
-	.A(FE_PDN4533_u_soc_u_iccm_rdata3_31),
-	.X(FE_OFN18958_u_soc_u_iccm_rdata3_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC3214_FE_OFN582_u_soc_instr_wdata_3 (
-	.A(u_soc_instr_wdata[3]),
-	.X(FE_OFN18955_FE_OFN582_u_soc_instr_wdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3211_io_in_5 (
-	.A(io_in[5]),
-	.X(FE_OFN18952_io_in_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC3209_FE_OFN500_u_soc_instr_wdata_18 (
-	.A(FE_OFN501_u_soc_instr_wdata_18),
-	.Y(FE_OFN18950_FE_OFN500_u_soc_instr_wdata_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3202_FE_OFN820_logic_0_3_net (
-	.A(logic_0_3_net),
-	.X(FE_OFN18943_FE_OFN820_logic_0_3_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3200_FE_OFN858_logic_0_31_net (
-	.A(logic_0_31_net),
-	.X(FE_OFN18941_FE_OFN858_logic_0_31_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3198_u_soc_u_iccm_rdata3_6 (
-	.A(FE_PDN3997_u_soc_u_iccm_rdata3_6),
-	.X(FE_OFN18939_u_soc_u_iccm_rdata3_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC3196_FE_OFN426_u_soc_instr_wdata_29 (
-	.A(FE_OFN426_u_soc_instr_wdata_29),
-	.X(FE_OFN18937_FE_OFN426_u_soc_instr_wdata_29), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3192_u_soc_u_iccm_rdata3_25 (
-	.A(u_soc_u_iccm_rdata3[25]),
-	.X(FE_OFN18933_u_soc_u_iccm_rdata3_25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3186_FE_OFN846_logic_0_21_net (
-	.A(logic_0_21_net),
-	.X(FE_OFN18927_FE_OFN846_logic_0_21_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3177_FE_OFN17998_n (
-	.A(FE_OFN835_logic_0_14_net),
-	.X(FE_OFN18918_FE_OFN17998_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3174_FE_OFN17990_n (
-	.A(FE_OFN857_logic_0_30_net),
-	.X(FE_OFN18915_FE_OFN17990_n), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OCPC3374_FE_OFN18258_u_soc_u_top_u_core_pc_set (
+	.A(FE_PSBN19224_u_soc_u_top_u_core_pc_set),
+	.X(FE_OCPN18892_FE_OFN18258_u_soc_u_top_u_core_pc_set), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3166_FE_OFN17999_n (
-	.A(FE_OFN833_logic_0_13_net),
-	.X(FE_OFN18907_FE_OFN17999_n), 
+   sky130_fd_sc_hd__inv_2 FE_RC_31_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_17),
+	.Y(FE_RN_19_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3162_u_soc_u_dccm_rdata2_27 (
-	.A(FE_OFN19606_u_soc_u_dccm_rdata2_27),
-	.X(FE_OFN18903_u_soc_u_dccm_rdata2_27), 
+   sky130_fd_sc_hd__o21bai_4 FE_RC_30_0 (
+	.A1(FE_RN_12_0),
+	.A2(FE_RN_11_0),
+	.B1_N(FE_RN_19_0),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3159_u_soc_u_iccm_rdata4_15 (
-	.A(FE_OFN19679_u_soc_u_iccm_rdata4_15),
-	.X(FE_OFN18900_u_soc_u_iccm_rdata4_15), 
+   sky130_fd_sc_hd__inv_1 FE_RC_29_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_107),
+	.Y(FE_RN_18_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3157_u_soc_u_iccm_rdata3_4 (
-	.A(u_soc_u_iccm_rdata3[4]),
-	.X(FE_OFN18898_u_soc_u_iccm_rdata3_4), 
+   sky130_fd_sc_hd__o21bai_4 FE_RC_28_0 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197),
+	.B1_N(FE_RN_18_0),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3156_u_soc_u_dccm_rdata2_19 (
-	.A(FE_OFN19593_u_soc_u_dccm_rdata2_19),
-	.X(FE_OFN18897_u_soc_u_dccm_rdata2_19), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_26_0 (
+	.A(FE_OFN18502_n_15858),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
+	.Y(FE_RN_15_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3152_u_soc_u_iccm_rdata4_4 (
-	.A(u_soc_u_iccm_rdata4[4]),
-	.X(FE_OFN18893_u_soc_u_iccm_rdata4_4), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_25_0 (
+	.A(FE_OFN18529_n),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
+	.Y(FE_RN_16_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3150_u_soc_u_iccm_rdata3_3 (
-	.A(FE_PDN4020_u_soc_u_iccm_rdata3_3),
-	.X(FE_OFN18891_u_soc_u_iccm_rdata3_3), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_24_0 (
+	.A(FE_RN_16_0),
+	.B(FE_RN_15_0),
+	.Y(FE_RN_17_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3147_FE_OFN17996_n (
-	.A(FE_OFN839_logic_0_16_net),
-	.X(FE_OFN18888_FE_OFN17996_n), 
+   sky130_fd_sc_hd__nor2_1 FE_RC_23_0 (
+	.A(FE_RN_17_0),
+	.B(n_12662),
+	.Y(n_12771), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3145_u_soc_u_iccm_rdata4_12 (
-	.A(u_soc_u_iccm_rdata4[12]),
-	.X(FE_OFN18886_u_soc_u_iccm_rdata4_12), 
+   sky130_fd_sc_hd__inv_2 FE_RC_22_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_12),
+	.Y(FE_RN_13_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3143_u_soc_u_iccm_rdata4_3 (
-	.A(u_soc_u_iccm_rdata4[3]),
-	.X(FE_OFN18884_u_soc_u_iccm_rdata4_3), 
+   sky130_fd_sc_hd__inv_2 FE_RC_21_0 (
+	.A(FE_RN_14_0),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3138_u_soc_u_dccm_rdata4_21 (
-	.A(FE_PDN4025_u_soc_u_dccm_rdata4_21),
-	.X(FE_OFN18879_u_soc_u_dccm_rdata4_21), 
+   sky130_fd_sc_hd__nand3_2 FE_RC_20_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287),
+	.B(FE_RN_13_0),
+	.C(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40),
+	.Y(FE_RN_14_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_16 FE_OFC3132_FE_OFN1125_u_soc_data_we (
-	.A(FE_OFN18872_FE_OFN1125_u_soc_data_we),
-	.Y(FE_OFN18873_FE_OFN1125_u_soc_data_we), 
+   sky130_fd_sc_hd__inv_2 FE_RC_19_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183),
+	.Y(FE_RN_11_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3131_FE_OFN1125_u_soc_data_we (
-	.A(FE_OFN1125_u_soc_data_we),
-	.Y(FE_OFN18872_FE_OFN1125_u_soc_data_we), 
+   sky130_fd_sc_hd__inv_2 FE_RC_18_0 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16),
+	.Y(FE_RN_12_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3130_u_soc_u_dccm_rdata4_18 (
-	.A(FE_OFN19670_u_soc_u_dccm_rdata4_18),
-	.X(FE_OFN18871_u_soc_u_dccm_rdata4_18), 
+   sky130_fd_sc_hd__nor2_1 FE_RC_16_0 (
+	.A(\u_soc_lsu_to_xbar[a_address] [27]),
+	.B(\u_soc_lsu_to_xbar[a_address] [25]),
+	.Y(FE_RN_9_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3126_u_soc_u_iccm_rdata3_9 (
-	.A(FE_PDN3982_u_soc_u_iccm_rdata3_9),
-	.X(FE_OFN18867_u_soc_u_iccm_rdata3_9), 
+   sky130_fd_sc_hd__inv_2 FE_RC_15_0 (
+	.A(FE_RN_10_0),
+	.Y(n_15938), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC3125_u_soc_u_dccm_rdata4_27 (
-	.A(FE_PDN19789_u_soc_u_dccm_rdata4_27),
-	.X(FE_OFN18866_u_soc_u_dccm_rdata4_27), 
+   sky130_fd_sc_hd__nor2_1 FE_RC_12_0 (
+	.A(n_13885),
+	.B(n_13332),
+	.Y(FE_RN_7_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3119_u_soc_u_iccm_rdata3_23 (
-	.A(FE_PDN3988_u_soc_u_iccm_rdata3_23),
-	.X(FE_OFN18860_u_soc_u_iccm_rdata3_23), 
+   sky130_fd_sc_hd__inv_1 FE_RC_11_0 (
+	.A(FE_RN_8_0),
+	.Y(u_soc_u_top_u_core_id_stage_i_branch_set), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3116_u_soc_u_dccm_rdata2_18 (
-	.A(FE_OFN19584_u_soc_u_dccm_rdata2_18),
-	.X(FE_OFN18857_u_soc_u_dccm_rdata2_18), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_10_0 (
+	.A(n_13610),
+	.B(FE_RN_7_0),
+	.Y(FE_RN_8_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3105_FE_OFN17994_n (
-	.A(FE_OFN843_logic_0_18_net),
-	.X(FE_OFN18846_FE_OFN17994_n), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_9_0 (
+	.A(n_11516),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
+	.Y(FE_RN_4_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3103_FE_OFN17995_n (
-	.A(FE_OFN841_logic_0_17_net),
-	.X(FE_OFN18844_FE_OFN17995_n), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_8_0 (
+	.A(n_11756),
+	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.Y(FE_RN_5_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3101_n_2041 (
-	.A(n_2041),
-	.X(FE_OFN18842_n_2041), 
+   sky130_fd_sc_hd__nand2_1 FE_RC_7_0 (
+	.A(FE_RN_5_0),
+	.B(FE_RN_4_0),
+	.Y(FE_RN_6_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3100_u_soc_u_dccm_rdata2_15 (
-	.A(FE_PDN3946_u_soc_u_dccm_rdata2_15),
-	.X(FE_OFN18841_u_soc_u_dccm_rdata2_15), 
+   sky130_fd_sc_hd__nor2_1 FE_RC_6_0 (
+	.A(FE_RN_6_0),
+	.B(n_12750),
+	.Y(n_12853), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3097_u_soc_u_dccm_rdata4_2 (
-	.A(FE_OFN19719_u_soc_u_dccm_rdata4_2),
-	.X(FE_OFN18838_u_soc_u_dccm_rdata4_2), 
+   sky130_fd_sc_hd__nor2_2 FE_RC_5_0 (
+	.A(n_82523_BAR),
+	.B(n_13273),
+	.Y(FE_RN_0_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3095_u_soc_u_dccm_rdata2_20 (
-	.A(FE_PDN3924_u_soc_u_dccm_rdata2_20),
-	.X(FE_OFN18836_u_soc_u_dccm_rdata2_20), 
+   sky130_fd_sc_hd__inv_1 FE_RC_4_0 (
+	.A(n_13460),
+	.Y(FE_RN_1_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3089_FE_OFN18000_n (
-	.A(logic_0_12_net),
-	.X(FE_OFN18830_FE_OFN18000_n), 
+   sky130_fd_sc_hd__nand2_2 FE_RC_1_0 (
+	.A(n_13288),
+	.B(FE_RN_3_0),
+	.Y(n_13610), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3081_u_soc_u_dccm_rdata2_2 (
-	.A(FE_PDN3940_u_soc_u_dccm_rdata2_2),
-	.X(FE_OFN18822_u_soc_u_dccm_rdata2_2), 
+   sky130_fd_sc_hd__bufbuf_16 FE_OFC3370_FE_OFN953_u_soc_instr_wmask_0 (
+	.A(FE_OFN949_u_soc_instr_wmask_0),
+	.X(FE_OFN18888_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3080_u_soc_u_tcam_rdata_5 (
+   sky130_fd_sc_hd__buf_2 FE_OFC3119_u_soc_u_tcam_rdata_5 (
 	.A(u_soc_u_tcam_rdata[5]),
-	.X(FE_OFN18821_u_soc_u_tcam_rdata_5), 
+	.X(FE_OFN3119_u_soc_u_tcam_rdata_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3075_u_soc_u_dccm_rdata2_21 (
-	.A(FE_PDN3949_u_soc_u_dccm_rdata2_21),
-	.X(FE_OFN18816_u_soc_u_dccm_rdata2_21), 
+   sky130_fd_sc_hd__buf_12 FE_OFC3363_FE_OFN18074_n (
+	.A(FE_OFN18645_FE_OFN18074_n),
+	.X(FE_OFN18881_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3070_FE_OFN546_u_soc_instr_wdata_11 (
-	.A(FE_OFN546_u_soc_instr_wdata_11),
-	.X(FE_OFN18811_FE_OFN546_u_soc_instr_wdata_11), 
+   sky130_fd_sc_hd__buf_12 FE_OFC3362_logic_0_59_net (
+	.A(logic_0_59_net),
+	.X(FE_OFN18880_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC3066_FE_OFN453_u_soc_instr_wdata_25 (
-	.A(FE_OFN453_u_soc_instr_wdata_25),
-	.X(FE_OFN18807_FE_OFN453_u_soc_instr_wdata_25), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3358_n (
+	.A(FE_OFN18053_n),
+	.X(FE_OFN18876_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC3065_FE_OFN827_logic_0_8_net (
+   sky130_fd_sc_hd__bufbuf_8 FE_OFC3355_FE_OFN18045_n (
+	.A(u_soc_u_iccm_addr4[7]),
+	.X(FE_OFN18873_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3354_FE_OFN18065_n (
+	.A(u_soc_data_wmask[1]),
+	.X(FE_OFN18872_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3352_FE_OFN18067_n (
+	.A(FE_OFN928_u_soc_data_wmask_2),
+	.X(FE_OFN18870_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3350_logic_0_14_net (
+	.A(logic_0_14_net),
+	.X(FE_OFN18868_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3341_FE_OFN18071_n (
+	.A(FE_PDN3822_FE_OFN19077_FE_OFN18977_n),
+	.X(FE_OFN18859_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3338_FE_OFN18046_n (
+	.A(FE_PDN3799_FE_OFN19074_FE_OFN18989_FE_OFN18978_n),
+	.X(FE_OFN18856_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3333_n (
+	.A(FE_OFN18053_n),
+	.X(FE_OFN18851_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3330_n (
+	.A(u_soc_u_iccm_addr2[2]),
+	.X(FE_OFN18848_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3329_n (
+	.A(u_soc_u_iccm_addr2[3]),
+	.X(FE_OFN18847_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3328_n (
+	.A(u_soc_u_iccm_addr2[4]),
+	.X(FE_OFN18846_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3327_n (
+	.A(u_soc_u_iccm_addr2[5]),
+	.X(FE_OFN18845_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3326_n (
+	.A(FE_OFN941_u_soc_data_wmask_0),
+	.X(FE_OFN18844_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3325_n (
+	.A(u_soc_u_iccm_addr2[1]),
+	.X(FE_OFN18843_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3324_n (
+	.A(u_soc_u_iccm_addr2[6]),
+	.X(FE_OFN18842_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3323_n (
+	.A(u_soc_u_iccm_addr2[0]),
+	.X(FE_OFN18841_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3320_n (
+	.A(FE_OFN19162_n),
+	.X(FE_OFN18838_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3308_FE_OFN27_io_out_37 (
+	.A(FE_OFN27_io_out_37),
+	.X(FE_OFN18826_FE_OFN27_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3307_FE_OFN1445_n_1407 (
+	.A(FE_OFN1445_n_1407),
+	.X(FE_OFN18825_FE_OFN1445_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3305_FE_OFN126_io_out_37 (
+	.A(FE_OFN126_io_out_37),
+	.X(FE_OFN18823_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3303_FE_OFN96_io_out_37 (
+	.A(FE_OFN96_io_out_37),
+	.X(FE_OFN18821_FE_OFN96_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3302_FE_OFN75_io_out_37 (
+	.A(FE_OFN75_io_out_37),
+	.X(FE_OFN18820_FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3301_FE_OFN77_io_out_37 (
+	.A(FE_OFN77_io_out_37),
+	.X(FE_OFN18819_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3298_FE_OFN30_io_out_37 (
+	.A(FE_OFN30_io_out_37),
+	.X(FE_OFN18816_FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3297_FE_OFN426_u_soc_u_dccm_rdata1_13 (
+	.A(FE_OFN426_u_soc_u_dccm_rdata1_13),
+	.X(FE_OFN18815_FE_OFN426_u_soc_u_dccm_rdata1_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3294_FE_OFN146_io_out_37 (
+	.A(FE_OFN146_io_out_37),
+	.X(FE_OFN18812_FE_OFN146_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3293_FE_OFN26_io_out_37 (
+	.A(FE_OFN26_io_out_37),
+	.X(FE_OFN18811_FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3116_n_15 (
+	.A(FE_OFN1073_n_15),
+	.X(FE_OFN3116_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3292_FE_OFN85_io_out_37 (
+	.A(FE_OFN85_io_out_37),
+	.X(FE_OFN18810_FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3291_FE_OFN117_io_out_37 (
+	.A(FE_OFN117_io_out_37),
+	.X(FE_OFN18809_FE_OFN117_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3290_FE_OFN154_io_out_37 (
+	.A(FE_OFN154_io_out_37),
+	.X(FE_OFN18808_FE_OFN154_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3289_FE_OFN115_io_out_37 (
+	.A(FE_OFN115_io_out_37),
+	.X(FE_OFN18807_FE_OFN115_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3288_FE_OFN74_io_out_37 (
+	.A(FE_OFN74_io_out_37),
+	.X(FE_OFN18806_FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3287_FE_OFN139_io_out_37 (
+	.A(FE_OFN139_io_out_37),
+	.X(FE_OFN18805_FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3286_FE_OFN80_io_out_37 (
+	.A(FE_OFN80_io_out_37),
+	.X(FE_OFN18804_FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3285_FE_OFN76_io_out_37 (
+	.A(FE_OFN76_io_out_37),
+	.X(FE_OFN18803_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3284_FE_OFN88_io_out_37 (
+	.A(FE_OFN88_io_out_37),
+	.X(FE_OFN18802_FE_OFN88_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3283_FE_OFN137_io_out_37 (
+	.A(FE_OFN137_io_out_37),
+	.X(FE_OFN18801_FE_OFN137_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3282_FE_OFN90_io_out_37 (
+	.A(FE_OFN90_io_out_37),
+	.X(FE_OFN18800_FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3280_FE_OFN118_io_out_37 (
+	.A(FE_OFN118_io_out_37),
+	.X(FE_OFN18798_FE_OFN118_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3279_FE_OFN132_io_out_37 (
+	.A(FE_OFN132_io_out_37),
+	.X(FE_OFN18797_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3278_FE_OFN87_io_out_37 (
+	.A(FE_OFN87_io_out_37),
+	.X(FE_OFN18796_FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3277_FE_OFN939_u_soc_data_wmask_0 (
+	.A(FE_OFN939_u_soc_data_wmask_0),
+	.X(FE_OFN18795_FE_OFN939_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3276_FE_OFN25_io_out_37 (
+	.A(FE_OFN25_io_out_37),
+	.X(FE_OFN18794_FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3275_FE_OFN341_u_soc_data_wdata_6 (
+	.A(u_soc_data_wdata[6]),
+	.X(FE_OFN18793_FE_OFN341_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3274_FE_OFN119_io_out_37 (
+	.A(FE_OFN119_io_out_37),
+	.X(FE_OFN18792_FE_OFN119_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3271_FE_OFN120_io_out_37 (
+	.A(FE_OFN120_io_out_37),
+	.X(FE_OFN18789_FE_OFN120_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3270_FE_OFN1717_u_soc_xbar_to_dccm_a_data__7 (
+	.A(FE_OFN1717_u_soc_xbar_to_dccm_a_data__7),
+	.X(FE_OFN18788_FE_OFN1717_u_soc_xbar_to_dccm_a_data__7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3268_FE_OFN1898_n (
+	.A(u_soc_u_dccm_addr3[0]),
+	.X(FE_OFN18786_FE_OFN1898_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3267_FE_OFN1899_n (
+	.A(u_soc_u_dccm_addr3[1]),
+	.X(FE_OFN18785_FE_OFN1899_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3266_FE_OFN1901_n (
+	.A(u_soc_u_dccm_addr3[4]),
+	.X(FE_OFN18784_FE_OFN1901_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3264_FE_OFN18008_n (
+	.A(u_soc_u_iccm_addr3[1]),
+	.X(FE_OFN18782_FE_OFN18008_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3263_FE_OFN1905_n (
+	.A(u_soc_u_dccm_addr2[1]),
+	.X(FE_OFN18781_FE_OFN1905_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3262_FE_OFN17995_n (
+	.A(logic_0_9_net),
+	.X(FE_OFN18780_FE_OFN17995_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3260_FE_OFN18010_n (
+	.A(u_soc_u_iccm_addr3[3]),
+	.X(FE_OFN18778_FE_OFN18010_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3259_FE_OFN18066_n (
+	.A(FE_OFN931_u_soc_data_wmask_2),
+	.X(FE_OFN18777_FE_OFN18066_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3258_io_in_27 (
+	.A(io_in[27]),
+	.X(FE_OFN18776_io_in_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3256_FE_OFN1721_u_soc_xbar_to_dccm_a_data__5 (
+	.A(FE_OFN1721_u_soc_xbar_to_dccm_a_data__5),
+	.X(FE_OFN18774_FE_OFN1721_u_soc_xbar_to_dccm_a_data__5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3255_FE_OFN18011_n (
+	.A(u_soc_u_iccm_addr3[4]),
+	.X(FE_OFN18773_FE_OFN18011_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3253_FE_OFN18013_n (
+	.A(u_soc_u_iccm_addr3[6]),
+	.X(FE_OFN18771_FE_OFN18013_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3249_FE_OFN18069_n (
+	.A(FE_OFN927_u_soc_data_wmask_2),
+	.X(FE_OFN18767_FE_OFN18069_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3247_FE_OFN17985_n (
+	.A(logic_0_56_net),
+	.X(FE_OFN18765_FE_OFN17985_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3246_FE_OFN17987_n (
+	.A(logic_0_27_net),
+	.X(FE_OFN18764_FE_OFN17987_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3237_FE_OFN18001_n (
+	.A(logic_0_3_net),
+	.X(FE_OFN18755_FE_OFN18001_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3233_FE_OFN422_u_soc_u_dccm_rdata1_15 (
+	.A(FE_PDN3819_FE_OFN422_u_soc_u_dccm_rdata1_15),
+	.X(FE_OFN18751_FE_OFN422_u_soc_u_dccm_rdata1_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3232_io_in_28 (
+	.A(io_in[28]),
+	.X(FE_OFN18750_io_in_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3231_FE_OFN17988_n (
+	.A(logic_0_26_net),
+	.X(FE_OFN18749_FE_OFN17988_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3229_logic_0_16_net (
+	.A(logic_0_16_net),
+	.X(FE_OFN18747_logic_0_16_net), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3227_FE_OFN17991_n (
+	.A(FE_OFN1060_logic_0_22_net),
+	.X(FE_OFN18745_FE_OFN17991_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3226_FE_OFN17992_n (
+	.A(logic_0_21_net),
+	.X(FE_OFN18744_FE_OFN17992_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3225_io_in_29 (
+	.A(io_in[29]),
+	.X(FE_OFN18743_io_in_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3224_io_in_5 (
+	.A(io_in[5]),
+	.X(FE_OFN18742_io_in_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3223_FE_OFN17997_n (
+	.A(logic_0_7_net),
+	.X(FE_OFN18741_FE_OFN17997_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3222_FE_OFN18000_n (
+	.A(FE_PDN3825_logic_0_4_net),
+	.X(FE_OFN18740_FE_OFN18000_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC3221_FE_OFN17999_n (
+	.A(FE_OFN1044_logic_0_5_net),
+	.X(FE_OFN18739_FE_OFN17999_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3220_FE_OFN1274_n_17982 (
+	.A(FE_OFN1274_n_17982),
+	.X(FE_OFN18738_FE_OFN1274_n_17982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC3219_FE_OFN17996_n (
 	.A(logic_0_8_net),
-	.X(FE_OFN18806_FE_OFN827_logic_0_8_net), 
+	.X(FE_OFN18737_FE_OFN17996_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3063_FE_OFN17997_n (
-	.A(FE_OFN837_logic_0_15_net),
-	.X(FE_OFN18804_FE_OFN17997_n), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3217_FE_OFN1723_u_soc_xbar_to_dccm_a_data__3 (
+	.A(FE_OFN1723_u_soc_xbar_to_dccm_a_data__3),
+	.X(FE_OFN18735_FE_OFN1723_u_soc_xbar_to_dccm_a_data__3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC3058_FE_OFN1731_n (
-	.A(FE_OFN1285_u_soc_instr_wdata_1),
-	.X(FE_OFN18799_FE_OFN1731_n), 
+   sky130_fd_sc_hd__buf_12 FE_OFC3216_logic_0_18_net (
+	.A(logic_0_18_net),
+	.X(FE_OFN18734_logic_0_18_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3051_FE_OFN527_u_soc_instr_wdata_14 (
-	.A(FE_OFN524_u_soc_instr_wdata_14),
-	.X(FE_OFN18792_FE_OFN527_u_soc_instr_wdata_14), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3214_FE_OFN408_u_soc_u_dccm_rdata1_22 (
+	.A(FE_OFN408_u_soc_u_dccm_rdata1_22),
+	.X(FE_OFN18732_FE_OFN408_u_soc_u_dccm_rdata1_22), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__bufbuf_8 FE_OFC3048_FE_OFN18004_n (
-	.A(u_soc_u_dccm_addr4[0]),
-	.X(FE_OFN18789_FE_OFN18004_n), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3212_u_soc_u_dccm_rdata4_29 (
+	.A(u_soc_u_dccm_rdata4[29]),
+	.X(FE_OFN18730_u_soc_u_dccm_rdata4_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC3044_FE_OFN260_u_soc_data_wdata_4 (
-	.A(FE_OFN260_u_soc_data_wdata_4),
-	.X(FE_OFN18785_FE_OFN260_u_soc_data_wdata_4), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3208_u_soc_uart_to_xbar_d_data__9 (
+	.A(\u_soc_uart_to_xbar[d_data] [9]),
+	.X(FE_OFN18726_u_soc_uart_to_xbar_d_data__9), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC3039_n_8757 (
-	.A(n_8757),
-	.X(FE_OFN18780_n_8757), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3207_n_5828 (
+	.A(n_5828),
+	.X(FE_OFN18725_n_5828), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_16 FE_OFC3038_FE_OFN868_logic_0_54_net (
-	.A(logic_0_54_net),
-	.X(FE_OFN18779_FE_OFN868_logic_0_54_net), 
+   sky130_fd_sc_hd__buf_12 FE_OFC3203_FE_OFN17983_n (
+	.A(FE_PDN4093_logic_0_58_net),
+	.X(FE_OFN18721_FE_OFN17983_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC3030_FE_OFN433_u_soc_instr_wdata_28 (
-	.A(FE_OFN433_u_soc_instr_wdata_28),
-	.X(FE_OFN18771_FE_OFN433_u_soc_instr_wdata_28), 
+   sky130_fd_sc_hd__buf_12 FE_OFC3202_FE_OFN18070_n (
+	.A(u_soc_data_wmask[2]),
+	.X(FE_OFN18720_FE_OFN18070_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC3027_FE_OFN1126_u_soc_data_we (
-	.A(FE_OFN1126_u_soc_data_we),
-	.X(FE_OFN18768_FE_OFN1126_u_soc_data_we), 
+   sky130_fd_sc_hd__buf_2 FE_OFC3201_FE_OFN754_u_soc_u_iccm_rdata1_19 (
+	.A(FE_OFN754_u_soc_u_iccm_rdata1_19),
+	.X(FE_OFN18719_FE_OFN754_u_soc_u_iccm_rdata1_19), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC3013_FE_OFN1702_n (
-	.A(u_soc_u_iccm_addr1[7]),
-	.X(FE_OFN18754_FE_OFN1702_n), 
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC3200_FE_OFN412_u_soc_u_dccm_rdata1_20 (
+	.A(FE_PDN4061_FE_OFN412_u_soc_u_dccm_rdata1_20),
+	.X(FE_OFN18718_FE_OFN412_u_soc_u_dccm_rdata1_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3007_FE_OFN244_u_soc_data_wdata_6 (
-	.A(FE_OFN18747_FE_OFN244_u_soc_data_wdata_6),
-	.Y(FE_OFN18748_FE_OFN244_u_soc_data_wdata_6), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3199_logic_0_47_net (
+	.A(FE_PDN3795_logic_0_47_net),
+	.X(FE_OFN18717_logic_0_47_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC3006_FE_OFN244_u_soc_data_wdata_6 (
-	.A(FE_OFN244_u_soc_data_wdata_6),
-	.Y(FE_OFN18747_FE_OFN244_u_soc_data_wdata_6), 
+   sky130_fd_sc_hd__buf_2 FE_OFC3197_FE_OFN414_u_soc_u_dccm_rdata1_19 (
+	.A(FE_OFN414_u_soc_u_dccm_rdata1_19),
+	.X(FE_OFN18715_FE_OFN414_u_soc_u_dccm_rdata1_19), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC2998_FE_OFN568_u_soc_instr_wdata_7 (
-	.A(u_soc_instr_wdata[7]),
-	.X(FE_OFN18739_FE_OFN568_u_soc_instr_wdata_7), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3196_n_7793 (
+	.A(n_7793),
+	.X(FE_OFN18714_n_7793), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2997_FE_OFN1279_u_soc_instr_wdata_4 (
-	.A(FE_OFN18736_FE_OFN1279_u_soc_instr_wdata_4),
-	.Y(FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4), 
+   sky130_fd_sc_hd__buf_2 FE_OFC3194_FE_OFN420_u_soc_u_dccm_rdata1_16 (
+	.A(FE_OFN420_u_soc_u_dccm_rdata1_16),
+	.X(FE_OFN18712_FE_OFN420_u_soc_u_dccm_rdata1_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2996_FE_OFN1279_u_soc_instr_wdata_4 (
-	.A(FE_OFN18736_FE_OFN1279_u_soc_instr_wdata_4),
-	.Y(FE_OFN18737_FE_OFN1279_u_soc_instr_wdata_4), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3193_n_5846 (
+	.A(n_5846),
+	.X(FE_OFN18711_n_5846), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2995_FE_OFN1279_u_soc_instr_wdata_4 (
-	.A(FE_OFN1279_u_soc_instr_wdata_4),
-	.Y(FE_OFN18736_FE_OFN1279_u_soc_instr_wdata_4), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3190_u_soc_u_dccm_rdata4_24 (
+	.A(u_soc_u_dccm_rdata4[24]),
+	.X(FE_OFN18708_u_soc_u_dccm_rdata4_24), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2994_FE_OFN1279_u_soc_instr_wdata_4 (
-	.A(FE_OFN1279_u_soc_instr_wdata_4),
-	.X(FE_OFN18735_FE_OFN1279_u_soc_instr_wdata_4), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3177_n_5852 (
+	.A(n_5852),
+	.X(FE_OFN18695_n_5852), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2993_FE_OFN1276_u_soc_instr_wdata_5 (
-	.A(FE_OFN18733_FE_OFN1276_u_soc_instr_wdata_5),
-	.Y(FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5), 
+   sky130_fd_sc_hd__buf_2 FE_OFC3176_u_soc_u_tcam_rdata_4 (
+	.A(FE_PDN19243_u_soc_u_tcam_rdata_4),
+	.X(FE_OFN18694_u_soc_u_tcam_rdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2992_FE_OFN1276_u_soc_instr_wdata_5 (
-	.A(FE_OFN18732_FE_OFN1276_u_soc_instr_wdata_5),
-	.Y(FE_OFN18733_FE_OFN1276_u_soc_instr_wdata_5), 
+   sky130_fd_sc_hd__buf_2 FE_OFC3174_FE_OFN386_u_soc_data_wdata_0 (
+	.A(FE_OFN386_u_soc_data_wdata_0),
+	.X(FE_OFN18692_FE_OFN386_u_soc_data_wdata_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2991_FE_OFN1276_u_soc_instr_wdata_5 (
-	.A(FE_OFN18731_FE_OFN1276_u_soc_instr_wdata_5),
-	.Y(FE_OFN18732_FE_OFN1276_u_soc_instr_wdata_5), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3171_u_soc_u_tcam_rdata_1 (
+	.A(u_soc_u_tcam_rdata[1]),
+	.X(FE_OFN18689_u_soc_u_tcam_rdata_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2990_FE_OFN1276_u_soc_instr_wdata_5 (
-	.A(FE_OFN19254_FE_OFN1276_u_soc_instr_wdata_5),
-	.Y(FE_OFN18731_FE_OFN1276_u_soc_instr_wdata_5), 
+   sky130_fd_sc_hd__buf_2 FE_OFC3168_FE_OFN720_u_soc_instr_wdata_2 (
+	.A(FE_OFN720_u_soc_instr_wdata_2),
+	.X(FE_OFN18686_FE_OFN720_u_soc_instr_wdata_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2988_FE_OFN1233_u_soc_data_wdata_26 (
-	.A(FE_OFN18725_FE_OFN1233_u_soc_data_wdata_26),
-	.Y(FE_OFN18729_FE_OFN1233_u_soc_data_wdata_26), 
+   sky130_fd_sc_hd__bufbuf_16 FE_OFC3165_FE_OFN1889_n (
+	.A(u_soc_u_dccm_addr4[7]),
+	.X(FE_OFN18683_FE_OFN1889_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2987_FE_OFN1233_u_soc_data_wdata_26 (
-	.A(FE_OFN18725_FE_OFN1233_u_soc_data_wdata_26),
-	.Y(FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26), 
+   sky130_fd_sc_hd__buf_2 FE_OFC3135_u_soc_u_tcam_rdata_3 (
+	.A(FE_PDN19211_u_soc_u_tcam_rdata_3),
+	.X(FE_OFN18653_u_soc_u_tcam_rdata_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2984_FE_OFN1233_u_soc_data_wdata_26 (
-	.A(FE_OFN1233_u_soc_data_wdata_26),
-	.Y(FE_OFN18725_FE_OFN1233_u_soc_data_wdata_26), 
+   sky130_fd_sc_hd__buf_2 FE_OFC3134_u_soc_u_tcam_rdata_2 (
+	.A(FE_PDN19215_u_soc_u_tcam_rdata_2),
+	.X(FE_OFN18652_u_soc_u_tcam_rdata_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2983_FE_OFN1241_u_soc_data_wdata_24 (
-	.A(FE_OFN18722_FE_OFN1241_u_soc_data_wdata_24),
-	.Y(FE_OFN18724_FE_OFN1241_u_soc_data_wdata_24), 
+   sky130_fd_sc_hd__buf_2 FE_OFC3131_u_soc_u_tcam_rdata_0 (
+	.A(u_soc_u_tcam_rdata[0]),
+	.X(FE_OFN18649_u_soc_u_tcam_rdata_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2981_FE_OFN1241_u_soc_data_wdata_24 (
-	.A(FE_OFN1241_u_soc_data_wdata_24),
-	.Y(FE_OFN18722_FE_OFN1241_u_soc_data_wdata_24), 
+   sky130_fd_sc_hd__inv_1 FE_OFC3127_FE_OFN18074_n (
+	.A(FE_PDN4071_FE_OFN18643_FE_OFN18074_n),
+	.Y(FE_OFN18645_FE_OFN18074_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC2980_FE_OFN1241_u_soc_data_wdata_24 (
-	.A(FE_OFN1241_u_soc_data_wdata_24),
-	.X(FE_OFN18721_FE_OFN1241_u_soc_data_wdata_24), 
+   sky130_fd_sc_hd__bufbuf_8 FE_OFC3126_FE_OFN18074_n (
+	.A(FE_OFN918_u_soc_data_wmask_3),
+	.X(FE_OFN18644_FE_OFN18074_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2979_FE_OFN1289_u_soc_instr_wdata_0 (
-	.A(FE_OFN18718_FE_OFN1289_u_soc_instr_wdata_0),
-	.Y(FE_OFN18720_FE_OFN1289_u_soc_instr_wdata_0), 
+   sky130_fd_sc_hd__inv_2 FE_OFC3125_FE_OFN18074_n (
+	.A(FE_OFN918_u_soc_data_wmask_3),
+	.Y(FE_OFN18643_FE_OFN18074_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2978_FE_OFN1289_u_soc_instr_wdata_0 (
-	.A(FE_OFN18718_FE_OFN1289_u_soc_instr_wdata_0),
-	.Y(FE_OFN18719_FE_OFN1289_u_soc_instr_wdata_0), 
+   sky130_fd_sc_hd__inv_1 FE_OFC3120_FE_OFN18062_n (
+	.A(FE_PDN3858_FE_OFN18636_FE_OFN18062_n),
+	.Y(FE_OFN18638_FE_OFN18062_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2977_FE_OFN1289_u_soc_instr_wdata_0 (
-	.A(FE_OFN1289_u_soc_instr_wdata_0),
-	.Y(FE_OFN18718_FE_OFN1289_u_soc_instr_wdata_0), 
+   sky130_fd_sc_hd__inv_2 FE_OFC3118_FE_OFN18062_n (
+	.A(FE_OFN937_u_soc_data_wmask_1),
+	.Y(FE_OFN18636_FE_OFN18062_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC2976_FE_OFN1289_u_soc_instr_wdata_0 (
-	.A(FE_PDN4009_FE_OFN1289_u_soc_instr_wdata_0),
-	.X(FE_OFN18717_FE_OFN1289_u_soc_instr_wdata_0), 
+   sky130_fd_sc_hd__inv_1 FE_OFC3116_FE_OFN18058_n (
+	.A(FE_OFN18632_FE_OFN18058_n),
+	.Y(FE_OFN18634_FE_OFN18058_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2975_FE_OFN1230_u_soc_data_wdata_27 (
-	.A(FE_OFN18712_FE_OFN1230_u_soc_data_wdata_27),
-	.Y(FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27), 
+   sky130_fd_sc_hd__inv_2 FE_OFC3114_FE_OFN18058_n (
+	.A(FE_OFN944_u_soc_data_wmask_0),
+	.Y(FE_OFN18632_FE_OFN18058_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2974_FE_OFN1230_u_soc_data_wdata_27 (
-	.A(FE_OFN18712_FE_OFN1230_u_soc_data_wdata_27),
-	.Y(FE_OFN18715_FE_OFN1230_u_soc_data_wdata_27), 
+   sky130_fd_sc_hd__mux2i_1 FE_RC_0_0 (
+	.A0(n_11099),
+	.A1(n_11101),
+	.S(FE_OFN18402_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.Y(n_11225), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2973_FE_OFN1230_u_soc_data_wdata_27 (
-	.A(FE_OFN18712_FE_OFN1230_u_soc_data_wdata_27),
-	.Y(FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3110_u_soc_u_top_u_core_instr_rdata_id_17 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[17]),
+	.X(FE_OFN18630_u_soc_u_top_u_core_instr_rdata_id_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2971_FE_OFN1230_u_soc_data_wdata_27 (
-	.A(FE_OFN1230_u_soc_data_wdata_27),
-	.Y(FE_OFN18712_FE_OFN1230_u_soc_data_wdata_27), 
+   sky130_fd_sc_hd__buf_6 FE_OFC3108_n_10564 (
+	.A(FE_PSN3953_n_10564),
+	.X(FE_OFN18393_n_10564), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC2969_FE_OFN1237_u_soc_data_wdata_25 (
-	.A(FE_OFN18707_FE_OFN1237_u_soc_data_wdata_25),
-	.Y(FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25), 
+   sky130_fd_sc_hd__buf_12 FE_OFC3107_u_soc_u_top_u_core_instr_rdata_id_21 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[21]),
+	.X(FE_OFN843_u_soc_u_top_u_core_instr_rdata_id_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2968_FE_OFN1237_u_soc_data_wdata_25 (
-	.A(FE_OFN18707_FE_OFN1237_u_soc_data_wdata_25),
-	.Y(FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25), 
+   sky130_fd_sc_hd__buf_2 FE_OFC3106_n_11558 (
+	.A(n_11558),
+	.X(FE_OFN18629_n_11558), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2967_FE_OFN1237_u_soc_data_wdata_25 (
-	.A(FE_OFN18707_FE_OFN1237_u_soc_data_wdata_25),
-	.Y(FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3104_n_11472 (
+	.A(n_11472),
+	.X(FE_OFN18628_n_11472), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2966_FE_OFN1237_u_soc_data_wdata_25 (
-	.A(FE_OFN1237_u_soc_data_wdata_25),
-	.Y(FE_OFN18707_FE_OFN1237_u_soc_data_wdata_25), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3103_n_11472 (
+	.A(n_11472),
+	.X(FE_OFN18356_n_11472), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2963_FE_OFN1264_u_soc_data_wdata_9 (
-	.A(FE_OFN18699_FE_OFN1264_u_soc_data_wdata_9),
-	.Y(FE_OFN18704_FE_OFN1264_u_soc_data_wdata_9), 
+   sky130_fd_sc_hd__buf_4 FE_OFC3101_n_11557 (
+	.A(n_11557),
+	.X(FE_OFN18458_n_11557), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2962_FE_OFN1264_u_soc_data_wdata_9 (
-	.A(FE_OFN18700_FE_OFN1264_u_soc_data_wdata_9),
-	.Y(FE_OFN18703_FE_OFN1264_u_soc_data_wdata_9), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3100_n_15862 (
+	.A(n_15862),
+	.X(FE_OFN18626_n_15862), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2961_FE_OFN1264_u_soc_data_wdata_9 (
-	.A(FE_OFN18699_FE_OFN1264_u_soc_data_wdata_9),
-	.Y(FE_OFN18702_FE_OFN1264_u_soc_data_wdata_9), 
+   sky130_fd_sc_hd__buf_12 FE_OFC3096_n_15 (
+	.A(n_15),
+	.X(FE_OFN1073_n_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2959_FE_OFN1264_u_soc_data_wdata_9 (
-	.A(FE_OFN1264_u_soc_data_wdata_9),
-	.Y(FE_OFN18700_FE_OFN1264_u_soc_data_wdata_9), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3091_n_11556 (
+	.A(n_11556),
+	.X(FE_OFN18623_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2958_FE_OFN1264_u_soc_data_wdata_9 (
-	.A(FE_PDN4063_FE_OFN1264_u_soc_data_wdata_9),
-	.Y(FE_OFN18699_FE_OFN1264_u_soc_data_wdata_9), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3089_n_11418 (
+	.A(n_11418),
+	.X(FE_OFN18621_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2955_u_soc_data_wdata_13 (
-	.A(FE_OFN18695_u_soc_data_wdata_13),
-	.Y(FE_OFN18696_u_soc_data_wdata_13), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3088_n_11443 (
+	.A(FE_OFN18619_n),
+	.X(FE_OFN18620_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2954_u_soc_data_wdata_13 (
-	.A(FE_OFN18691_u_soc_data_wdata_13),
-	.X(FE_OFN18695_u_soc_data_wdata_13), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3087_n_11443 (
+	.A(FE_OFN18546_n_11443),
+	.X(FE_OFN18619_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2953_u_soc_data_wdata_13 (
-	.A(u_soc_data_wdata[13]),
-	.X(FE_OFN18694_u_soc_data_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2952_u_soc_data_wdata_13 (
-	.A(u_soc_data_wdata[13]),
-	.X(FE_OFN18693_u_soc_data_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2951_u_soc_data_wdata_13 (
-	.A(u_soc_data_wdata[13]),
-	.X(FE_OFN18692_u_soc_data_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2950_u_soc_data_wdata_13 (
-	.A(u_soc_data_wdata[13]),
-	.Y(FE_OFN18691_u_soc_data_wdata_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2948_FE_OFN1317_u_soc_data_wmask_0 (
-	.A(FE_OFN18687_FE_OFN1317_u_soc_data_wmask_0),
-	.X(FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2946_FE_OFN1317_u_soc_data_wmask_0 (
-	.A(FE_OFN18683_FE_OFN1317_u_soc_data_wmask_0),
-	.Y(FE_OFN18687_FE_OFN1317_u_soc_data_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2944_FE_OFN1317_u_soc_data_wmask_0 (
-	.A(FE_OFN18683_FE_OFN1317_u_soc_data_wmask_0),
-	.Y(FE_OFN18685_FE_OFN1317_u_soc_data_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2942_FE_OFN1317_u_soc_data_wmask_0 (
-	.A(FE_OFN1317_u_soc_data_wmask_0),
-	.Y(FE_OFN18683_FE_OFN1317_u_soc_data_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC2941_u_soc_data_wdata_12 (
-	.A(u_soc_data_wdata[12]),
-	.X(FE_OFN18682_u_soc_data_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2940_u_soc_data_wdata_12 (
-	.A(FE_OFN18678_u_soc_data_wdata_12),
-	.Y(FE_OFN18681_u_soc_data_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2939_u_soc_data_wdata_12 (
-	.A(FE_OFN18676_u_soc_data_wdata_12),
-	.X(FE_OFN18680_u_soc_data_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2937_u_soc_data_wdata_12 (
-	.A(u_soc_data_wdata[12]),
-	.Y(FE_OFN18678_u_soc_data_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC2936_u_soc_data_wdata_12 (
-	.A(u_soc_data_wdata[12]),
-	.X(FE_OFN18677_u_soc_data_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2935_u_soc_data_wdata_12 (
-	.A(u_soc_data_wdata[12]),
-	.X(FE_OFN18676_u_soc_data_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2934_u_soc_data_wdata_11 (
-	.A(FE_PDN3904_FE_OFN18671_u_soc_data_wdata_11),
-	.Y(FE_OFN18675_u_soc_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC2933_u_soc_data_wdata_11 (
-	.A(FE_OFN18671_u_soc_data_wdata_11),
-	.Y(FE_OFN18674_u_soc_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC2931_u_soc_data_wdata_11 (
-	.A(u_soc_data_wdata[11]),
-	.X(FE_OFN18672_u_soc_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2930_u_soc_data_wdata_11 (
-	.A(u_soc_data_wdata[11]),
-	.Y(FE_OFN18671_u_soc_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC2929_u_soc_data_wdata_11 (
-	.A(u_soc_data_wdata[11]),
-	.X(FE_OFN18670_u_soc_data_wdata_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC2926_u_soc_data_wdata_10 (
-	.A(u_soc_data_wdata[10]),
-	.X(FE_OFN18667_u_soc_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2925_u_soc_data_wdata_10 (
-	.A(FE_OFN18663_u_soc_data_wdata_10),
-	.Y(FE_OFN18666_u_soc_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2924_u_soc_data_wdata_10 (
-	.A(FE_OFN18661_u_soc_data_wdata_10),
-	.X(FE_OFN18665_u_soc_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2922_u_soc_data_wdata_10 (
-	.A(u_soc_data_wdata[10]),
-	.Y(FE_OFN18663_u_soc_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC2921_u_soc_data_wdata_10 (
-	.A(u_soc_data_wdata[10]),
-	.X(FE_OFN18662_u_soc_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2920_u_soc_data_wdata_10 (
-	.A(u_soc_data_wdata[10]),
-	.X(FE_OFN18661_u_soc_data_wdata_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2916_FE_OFN1312_u_soc_data_wmask_1 (
-	.A(FE_OFN18654_FE_OFN1312_u_soc_data_wmask_1),
-	.Y(FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2915_FE_OFN1312_u_soc_data_wmask_1 (
-	.A(FE_OFN18654_FE_OFN1312_u_soc_data_wmask_1),
-	.Y(FE_OFN18656_FE_OFN1312_u_soc_data_wmask_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2913_FE_OFN1312_u_soc_data_wmask_1 (
-	.A(FE_OFN1312_u_soc_data_wmask_1),
-	.Y(FE_OFN18654_FE_OFN1312_u_soc_data_wmask_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2911_u_soc_data_wmask_3 (
-	.A(FE_OFN18650_u_soc_data_wmask_3),
-	.Y(FE_OFN18652_u_soc_data_wmask_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC2909_u_soc_data_wmask_3 (
-	.A(FE_OFN18646_u_soc_data_wmask_3),
-	.X(FE_OFN18650_u_soc_data_wmask_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2908_u_soc_data_wmask_3 (
-	.A(FE_OFN18646_u_soc_data_wmask_3),
-	.Y(FE_OFN18649_u_soc_data_wmask_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2905_u_soc_data_wmask_3 (
-	.A(u_soc_data_wmask[3]),
-	.Y(FE_OFN18646_u_soc_data_wmask_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2904_u_soc_u_top_u_core_instr_rdata_id_12 (
-	.A(FE_OFN18644_u_soc_u_top_u_core_instr_rdata_id_12),
-	.Y(FE_OFN18645_u_soc_u_top_u_core_instr_rdata_id_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2903_u_soc_u_top_u_core_instr_rdata_id_12 (
-	.A(FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12),
-	.Y(FE_OFN18644_u_soc_u_top_u_core_instr_rdata_id_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2901_u_soc_u_top_u_core_instr_rdata_id_12 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[12]),
-	.X(FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2900_n_11516 (
-	.A(FE_PSN4179_n_11516),
-	.X(FE_OFN18642_n_11516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2898_n_11516 (
-	.A(FE_PSN4179_n_11516),
-	.X(FE_OFN1062_n_11516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2897_n_15868 (
-	.A(n_15868),
-	.X(FE_OFN18425_n_15868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2896_n_15868 (
-	.A(n_15868),
-	.X(FE_OFN1114_n_15868), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2895_n_15867 (
-	.A(n_15867),
-	.X(FE_OFN18563_n_15867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2894_n_15867 (
-	.A(n_15867),
-	.X(FE_OFN18562_n_15867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2893_n_11563 (
-	.A(n_11563),
-	.X(FE_OFN18641_n_11563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2892_n_11563 (
-	.A(n_11563),
-	.X(FE_OFN18437_n_11563), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2891_n_12256 (
-	.A(FE_PSN4783_n_12256),
-	.X(FE_OFN18549_n_12256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC2890_n_12256 (
-	.A(n_12256),
-	.X(FE_OFN1079_n_12256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2889_n_11756 (
-	.A(FE_COEN4298_n_11756),
-	.X(FE_OFN18475_n_11756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2888_n_11756 (
-	.A(FE_COEN4298_n_11756),
-	.X(FE_OFN1077_n_11756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2885_n_11513 (
-	.A(n_11513),
-	.X(FE_OFN18567_n_11513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2884_n_15999 (
-	.A(n_15999),
-	.X(FE_OFN18317_n_15999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2883_n_15999 (
-	.A(n_15999),
-	.X(FE_OFN18316_n_15999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2882_n_15996 (
-	.A(FE_OFN18440_n_11765),
-	.X(FE_OFN18640_n_15996), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2881_n_15996 (
-	.A(FE_OFN18440_n_11765),
-	.Y(n_11765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2880_n_15996 (
-	.A(n_15996),
-	.Y(FE_OFN18440_n_11765), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2879_n_12257 (
-	.A(n_12257),
-	.X(FE_OFN18639_n_12257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2877_n_15 (
-	.A(FE_COEN4872_n_15),
-	.X(FE_OFN18637_n_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2876_n_11393 (
-	.A(n_11393),
-	.X(FE_OFN18636_n_11393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2871_n_11561 (
-	.A(FE_OFN18592_n_11561),
-	.X(FE_OFN18631_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2867_n_495 (
-	.A(n_495),
-	.X(FE_OFN18627_n_495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2865_u_soc_lsu_to_xbar_a_address__19 (
-	.A(\u_soc_lsu_to_xbar[a_address] [19]),
-	.X(FE_OFN758_u_soc_lsu_to_xbar_a_address__19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2864_n_11552 (
-	.A(n_11552),
-	.X(FE_OFN18625_n_11552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2863_n_11552 (
-	.A(n_11552),
-	.X(FE_OFN18583_n_11552), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2861_n_11748 (
-	.A(FE_OFN1074_n_11749),
-	.X(FE_OFN18623_n_11748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2860_n_11748 (
-	.A(n_11748),
-	.Y(n_11749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2859_n_11748 (
-	.A(n_11748),
-	.Y(FE_OFN1074_n_11749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2858_n_11474 (
-	.A(FE_COEN4311_n_11474),
-	.X(FE_OFN18622_n_11474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2857_n_11474 (
-	.A(FE_PSN4795_n_11474),
-	.X(FE_OFN18431_n_11474), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2856_n_11480 (
-	.A(n_11480),
-	.X(FE_OFN1059_n_11480), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2852_n_11762 (
-	.A(n_11762),
-	.X(FE_OFN18587_n_11762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2851_n_11762 (
-	.A(n_11762),
-	.X(FE_OFN18586_n_11762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2849_n_15882 (
-	.A(FE_PSN4643_n_15882),
-	.X(FE_OFN1589_n_15882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2847_n_15995 (
-	.A(FE_PSN4473_n_15995),
-	.X(FE_OFN18552_n_15995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2846_n_15995 (
-	.A(FE_PSN4390_n_15995),
-	.X(FE_OFN1120_n_15995), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2844_n_11754 (
-	.A(n_11754),
-	.X(FE_OFN1076_n_11754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2839_n_11553 (
-	.A(FE_PSN4463_n_11553),
+   sky130_fd_sc_hd__buf_2 FE_OFC3083_n_11514 (
+	.A(FE_OFN18342_n_11514),
 	.X(FE_OFN18615_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2838_n_11761 (
-	.A(FE_PSN4813_n_11761),
-	.X(FE_OFN18614_n_11761), 
+   sky130_fd_sc_hd__buf_6 FE_OFC3080_n_11751 (
+	.A(FE_OFN18477_n_11751),
+	.X(FE_OFN18612_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2837_n_16005 (
-	.A(FE_COEN4681_n_16005),
-	.X(FE_OFN18613_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2835_n_11472 (
-	.A(n_11472),
-	.X(FE_OFN18611_n_11472), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2832_n_130 (
-	.A(n_130),
-	.Y(n_13511), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2830_n_625 (
-	.A(n_625),
-	.Y(n_13510), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2827_n_556 (
-	.A(FE_OFN18250_n_183),
-	.Y(FE_OFN18249_n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2826_n_556 (
-	.A(n_556),
-	.Y(FE_OFN18250_n_183), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2825_n_13339 (
-	.A(FE_OFN18277_n_13339),
-	.Y(FE_OFN18606_n_13339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2824_n_13339 (
-	.A(n_13339),
-	.Y(FE_OFN18277_n_13339), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2818_n_11473 (
-	.A(FE_PSN4796_n_11473),
-	.X(FE_OFN18521_n_11473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2817_n_11473 (
-	.A(n_11473),
-	.X(FE_OFN18520_n_11473), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2814_n_11479 (
-	.A(FE_PSN4454_n_11479),
-	.X(FE_OFN18604_n_11479), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2812_n_15870 (
-	.A(n_15870),
-	.X(FE_OFN18603_n_15870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2811_n_15870 (
-	.A(n_15870),
-	.X(FE_OFN1116_n_15870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2810_n_15870 (
-	.A(n_15870),
-	.X(FE_OFN18433_n_15870), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2806_n_11564 (
-	.A(n_11564),
-	.X(FE_OFN18601_n_11564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2805_n_11564 (
-	.A(n_11564),
-	.X(FE_OFN1073_n_11564), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2804_n_15998 (
-	.A(n_11753),
-	.X(FE_OFN18600_n_15998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2803_n_15998 (
-	.A(n_15998),
-	.Y(FE_OFN18599_n_15998), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC2802_n_15998 (
-	.A(n_15998),
-	.Y(n_11753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2800_n_11754 (
-	.A(n_11754),
-	.X(FE_OFN18597_n_11754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2797_n_13388 (
-	.A(FE_COEN4303_n_13388),
-	.X(FE_OFN18594_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2795_n_11561 (
-	.A(n_11561),
-	.X(FE_OFN18592_n_11561), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2791_n_11757 (
-	.A(n_11757),
-	.X(FE_OFN18588_n_11757), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2788_n_15872 (
-	.A(FE_PSN4362_n_15872),
-	.X(FE_OFN18585_n_15872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2787_n_15872 (
-	.A(n_15872),
-	.X(FE_OFN18584_n_15872), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2782_u_soc_u_iccm_rdata1_17 (
-	.A(u_soc_u_iccm_rdata1[17]),
-	.X(FE_OFN600_u_soc_u_iccm_rdata1_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2780_n_638 (
-	.A(n_638),
-	.Y(n_13514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2779_u_soc_u_iccm_rdata1_0 (
-	.A(u_soc_u_iccm_rdata1[0]),
-	.X(FE_OFN618_u_soc_u_iccm_rdata1_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2778_n_15859 (
-	.A(FE_PSN4423_n_15859),
-	.X(FE_OFN18579_n_15859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2776_n_15859 (
-	.A(FE_COEN4687_n_15859),
-	.X(FE_OFN1111_n_15859), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2775_n_11763 (
-	.A(n_11763),
-	.X(FE_OFN1078_n_11763), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2774_n_11054 (
-	.A(FE_OFN1581_n_11054),
-	.X(FE_OFN18577_n_11054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2773_n_11054 (
-	.A(n_11054),
-	.X(FE_OFN1581_n_11054), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC2772_n_11054 (
-	.A(n_11054),
-	.Y(n_13452), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2770_n_11478 (
-	.A(n_11478),
-	.X(FE_OFN1057_n_11478), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2769_n_11769 (
-	.A(n_11769),
-	.X(FE_OFN18381_n_11769), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2765_n_15869 (
-	.A(n_15869),
-	.X(FE_OFN18575_n_15869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2764_n_15869 (
-	.A(n_15869),
-	.X(FE_OFN18574_n_15869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC2763_n_15869 (
-	.A(n_15869),
-	.X(FE_OFN1115_n_15869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2762_n_15869 (
-	.A(n_15869),
-	.X(FE_OFN18283_n_15869), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2761_n_11770 (
-	.A(n_11770),
-	.Y(n_11771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2760_n_11213 (
-	.A(n_11213),
-	.Y(n_11214), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2758_u_soc_u_top_u_core_rf_waddr_wb_0 (
-	.A(n_11043),
-	.Y(FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2756_u_soc_u_top_u_core_rf_waddr_wb_0 (
-	.A(u_soc_u_top_u_core_rf_waddr_wb[0]),
-	.Y(n_11043), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2755_n_15857 (
-	.A(FE_PSN4164_n_15857),
-	.X(FE_OFN18537_n_15857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2754_n_15857 (
-	.A(n_15857),
-	.X(FE_OFN18292_n_15857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2752_n_15858 (
-	.A(FE_PSBN19876_n_15858),
-	.X(FE_OFN18570_n_15858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2751_n_15858 (
-	.A(FE_PSBN19875_n_15858),
-	.X(FE_OFN1110_n_15858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2746_n_15866 (
-	.A(FE_PSBN19830_n_15866),
-	.X(FE_OFN18565_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2742_n_11517 (
-	.A(FE_PSN4831_n_11517),
-	.X(FE_OFN18561_n_11517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2741_n_11517 (
-	.A(n_11517),
-	.X(FE_OFN18560_n_11517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2740_n_15861 (
-	.A(FE_PSN4645_n_15861),
-	.X(FE_OFN18559_n_15861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2739_n_15861 (
-	.A(FE_PSN4645_n_15861),
-	.X(FE_OFN18558_n_15861), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2738_u_soc_u_top_u_core_alu_operand_b_ex_0 (
-	.A(FE_PSN4401_u_soc_u_top_u_core_alu_operand_b_ex_0),
-	.X(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC2735_n_116 (
-	.A(n_116),
-	.Y(n_13503), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2734_n_11137 (
-	.A(n_11137),
-	.Y(n_13342), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2733_n_15860 (
-	.A(FE_PSN4374_n_15860),
-	.X(FE_OFN18554_n_15860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2732_n_15860 (
-	.A(FE_PSN4449_n_15860),
-	.X(FE_OFN18471_n_15860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2731_n_15860 (
-	.A(n_15860),
-	.X(FE_OFN1588_n_15860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2730_n_11559 (
-	.A(n_11559),
-	.X(FE_OFN18434_n_11559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2729_n_11559 (
-	.A(n_11559),
-	.X(FE_OFN1071_n_11559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2728_n_11208 (
-	.A(n_11207),
-	.Y(FE_OFN18298_n_11208), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2727_n_11208 (
-	.A(n_11208),
-	.X(n_11207), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2726_n_16001 (
-	.A(FE_PSBN19897_n_16001),
-	.X(FE_OFN18506_n_16001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2725_n_16001 (
-	.A(FE_PSN4377_n_16001),
-	.X(FE_OFN18505_n_16001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2722_n_15856 (
-	.A(n_15856),
-	.X(FE_OFN18553_n_15856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2721_n_15856 (
-	.A(FE_PSN4633_n_15856),
-	.X(FE_OFN1108_n_15856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2720_n_11123 (
-	.A(n_11123),
-	.Y(n_11122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2719_n_13085 (
-	.A(FE_PSN4418_n_13392),
-	.Y(FE_OFN18260_n_13085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2718_n_13085 (
-	.A(n_13085),
-	.Y(n_13392), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2717_n_11415 (
-	.A(n_11415),
-	.X(FE_OFN18335_n_11415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2716_n_11415 (
-	.A(n_11415),
-	.X(FE_OFN1050_n_11415), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2713_n_11518 (
-	.A(n_11518),
-	.X(FE_OFN18551_n_11518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2712_n_11518 (
-	.A(n_11518),
-	.X(FE_OFN1063_n_11518), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2711_n_11418 (
-	.A(n_11418),
-	.X(FE_OFN18550_n_11418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2710_n_11418 (
-	.A(n_11418),
-	.X(FE_OFN1051_n_11418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2704_n_11593 (
-	.A(n_11593),
-	.X(FE_OFN18547_n_11593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2703_n_11593 (
-	.A(FE_PSN4146_n_11593),
-	.X(FE_OFN18366_n_11593), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2702_u_soc_u_top_u_core_rf_waddr_wb_1 (
-	.A(u_soc_u_top_u_core_rf_waddr_wb[1]),
-	.Y(n_652), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2701_n_15866 (
-	.A(FE_PSBN19833_n_15866),
-	.X(FE_OFN18546_n_15866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2699_u_soc_u_top_u_core_instr_rdata_id_14 (
-	.A(FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14),
-	.X(FE_OFN18545_u_soc_u_top_u_core_instr_rdata_id_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2696_u_soc_u_top_u_core_instr_rdata_id_14 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[14]),
-	.X(FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2691_n_11555 (
-	.A(FE_PSBN19877_FE_PSN4174_n_11555),
-	.X(FE_OFN18422_n_11555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2690_n_11555 (
-	.A(FE_PSN4809_n_11555),
-	.X(FE_OFN1067_n_11555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2689_n_15881 (
-	.A(FE_PSN4801_n_11443),
-	.X(FE_OFN18287_n_15881), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2688_n_15881 (
-	.A(FE_COEN4288_n_15881),
-	.Y(n_11443), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2687_n_16003 (
-	.A(n_16003),
-	.X(FE_OFN18426_n_16003), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2686_n_11550 (
-	.A(FE_PSBN19873_n_11550),
-	.X(FE_OFN18543_n_11550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2685_n_11550 (
-	.A(FE_PSBN19873_n_11550),
-	.X(FE_OFN18542_n_11550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2684_n_11550 (
-	.A(FE_COEN4306_n_11550),
-	.X(FE_OFN1064_n_11550), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2677_n_15862 (
-	.A(n_15862),
-	.X(FE_OFN18536_n_15862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2676_n_11176 (
-	.A(FE_OFN18378_n_11176),
-	.X(FE_OFN18535_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2675_u_soc_u_top_u_core_instr_rdata_id_20 (
-	.A(FE_PSN4440_u_soc_u_top_u_core_instr_rdata_id_20),
-	.X(FE_OFN18534_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2671_u_soc_u_top_u_core_instr_rdata_id_22 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[22]),
-	.X(FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC2667_n_11553 (
-	.A(n_11553),
-	.X(FE_OFN18526_n_11553), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2665_n_11393 (
-	.A(FE_OFN18636_n_11393),
-	.X(FE_OFN18524_n_11393), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2664_u_soc_u_top_u_core_instr_rdata_id_15 (
-	.A(FE_COEN4690_u_soc_u_top_u_core_instr_rdata_id_15),
-	.X(FE_OFN18523_u_soc_u_top_u_core_instr_rdata_id_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2653_u_soc_u_top_u_core_alu_operand_b_ex_7 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[7]),
-	.X(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2652_n_11423 (
-	.A(n_11423),
-	.X(FE_OFN18511_n_11423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2651_n_11423 (
-	.A(n_11423),
-	.X(FE_OFN18510_n_11423), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2649_u_soc_u_top_u_core_alu_operand_b_ex_10 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[10]),
-	.X(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2644_u_soc_u_top_u_core_alu_operand_b_ex_5 (
-	.A(FE_PSN4393_u_soc_u_top_u_core_alu_operand_b_ex_5),
-	.X(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2643_u_soc_u_top_u_core_alu_operand_b_ex_6 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[6]),
-	.X(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2642_n_15897 (
-	.A(FE_PSN4662_FE_OFN1590_n_15897),
-	.X(FE_OFN18501_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2641_u_soc_u_top_u_core_alu_operand_b_ex_2 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[2]),
-	.X(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2640_u_soc_u_top_u_core_alu_operand_b_ex_9 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[9]),
-	.X(FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2637_u_soc_lsu_to_xbar_a_address__31 (
-	.A(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
-	.X(FE_OFN18496_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2636_n_13389 (
-	.A(n_13389),
-	.X(FE_OFN18495_n_13389), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2635_n_11476 (
-	.A(FE_PSN4361_n_11476),
-	.X(FE_OFN18494_n_11476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2634_n_11476 (
-	.A(FE_PSN4479_n_11476),
-	.X(FE_OFN18493_n_11476), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2633_n_13420 (
-	.A(n_13420),
-	.X(FE_OFN18492_n_13420), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2631_n_11428 (
-	.A(n_11428),
-	.X(FE_OFN18490_n_11428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2629_n_15943 (
-	.A(FE_PSN4395_n_15943),
-	.X(FE_OFN18488_n_15943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2628_n_13386 (
-	.A(FE_PSN4448_n_13386),
-	.X(FE_OFN18487_n_13386), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2626_n_11130 (
-	.A(n_11130),
-	.X(FE_OFN18485_n_11130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2625_n_13416 (
-	.A(FE_PSN4371_n_13416),
-	.X(FE_OFN18484_n_13416), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2624_n_11556 (
-	.A(n_11556),
-	.X(FE_OFN18483_n_11556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2623_n_11556 (
-	.A(n_11556),
-	.X(FE_OFN1068_n_11556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2617_n_11558 (
-	.A(n_11558),
-	.X(FE_OFN1070_n_11558), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2615_u_soc_xbar_to_dccm_a_address__10 (
-	.A(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
-	.X(FE_OFN18479_u_soc_xbar_to_dccm_a_address__10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2613_n_11154 (
-	.A(n_11154),
-	.Y(n_11153), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2612_n_12260 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3072_n_12260 (
 	.A(n_12259),
-	.X(FE_OFN18478_n_12260), 
+	.X(FE_OFN18609_n_12260), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2610_n_12260 (
-	.A(n_12259),
-	.Y(FE_OFN18476_n_12260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2609_n_12260 (
+   sky130_fd_sc_hd__inv_2 FE_OFC3071_n_12260 (
 	.A(n_12260),
 	.Y(n_12259), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2607_n_13092 (
-	.A(n_13430),
-	.Y(FE_OFN1095_n_13092), 
+   sky130_fd_sc_hd__buf_2 FE_OFC3069_n_11756 (
+	.A(n_11756),
+	.X(FE_OFN18560_n_11756), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC2606_n_13092 (
-	.A(n_13092),
-	.Y(n_13430), 
+   sky130_fd_sc_hd__buf_2 FE_OFC3065_n_15861 (
+	.A(n_15861),
+	.X(FE_OFN18531_n_15861), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2593_n_9159 (
-	.A(n_9159),
-	.X(FE_OFN1566_n_9159), 
+   sky130_fd_sc_hd__buf_2 FE_OFC3064_n_15861 (
+	.A(n_15861),
+	.X(FE_OFN18571_n_15861), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2590_n_15994 (
+   sky130_fd_sc_hd__buf_2 FE_OFC3063_n_15997 (
+	.A(FE_OFN18184_n_15997),
+	.X(FE_OFN18607_n_15997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3062_n_15997 (
+	.A(FE_OFN18184_n_15997),
+	.X(FE_OFN18372_n_15997), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3058_n_11478 (
+	.A(n_11478),
+	.X(FE_OFN18344_n_11478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3054_n_12256 (
+	.A(n_12256),
+	.X(FE_OFN18286_n_12256), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3053_n (
+	.A(FE_OFN19049_n),
+	.Y(FE_OFN18604_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC3051_n_11770 (
+	.A(n_11771),
+	.Y(FE_OFN18603_n_11770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3050_n_11770 (
+	.A(n_11770),
+	.Y(n_11771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3046_n_11471 (
+	.A(n_11471),
+	.X(FE_OFN18308_n_11471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3045_n_11471 (
+	.A(n_11471),
+	.X(FE_OFN18307_n_11471), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3044_n_13073 (
+	.A(n_13421),
+	.Y(FE_OFN1247_n_13073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3043_n_13073 (
+	.A(n_13073),
+	.X(n_13421), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3042_n_15857 (
+	.A(n_15857),
+	.X(FE_OFN18363_n_15857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC3041_n_11751 (
+	.A(FE_OFN18612_n),
+	.X(FE_OFN18602_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3038_n_15862 (
+	.A(n_15862),
+	.X(FE_OFN18599_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3028_n_11625 (
+	.A(n_11625),
+	.X(FE_OFN18589_n_11625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3026_n_11425 (
+	.A(FE_OFN18467_n_11425),
+	.X(FE_OFN18587_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3024_n_11557 (
+	.A(FE_OFN18458_n_11557),
+	.X(FE_OFN18585_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3019_n_15868 (
+	.A(FE_OFN18322_n_15868),
+	.X(FE_OFN18580_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3018_n_12262 (
+	.A(n_12262),
+	.Y(n_12261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3011_n_11564 (
+	.A(n_11564),
+	.X(FE_OFN1242_n_11564), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC3010_n_15995 (
+	.A(n_15995),
+	.X(FE_OFN18577_n_15995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3009_n_15995 (
+	.A(n_15995),
+	.X(FE_OFN18474_n_15995), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3005_n_13358 (
+	.A(n_495),
+	.Y(FE_OFN18576_n_13358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC3004_n_13358 (
+	.A(n_495),
+	.Y(FE_OFN18575_n_13358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC3003_n_13358 (
+	.A(n_13358),
+	.Y(n_495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC3002_n_11475 (
+	.A(n_11475),
+	.X(FE_OFN18533_n_11475), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2997_n_11626 (
+	.A(FE_OFN18589_n_11625),
+	.Y(FE_OFN18573_n_11626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2996_n_11626 (
+	.A(n_11626),
+	.X(FE_OFN18191_n_11626), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2995_n_11626 (
+	.A(n_11626),
+	.Y(n_11625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2994_n_15994 (
+	.A(n_11769),
+	.X(FE_OFN18328_n_11769), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2993_n_15994 (
 	.A(n_15994),
 	.Y(n_11769), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2589_n_11259 (
-	.A(n_11258),
-	.Y(FE_OFN18470_n_11259), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2992_n_15870 (
+	.A(n_15870),
+	.X(FE_OFN18501_n_15870), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2588_n_11259 (
-	.A(n_11258),
-	.Y(FE_OFN18469_n_11259), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2991_n_11555 (
+	.A(n_11555),
+	.X(FE_OFN18572_n_11555), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2587_n_11259 (
-	.A(n_11258),
-	.Y(FE_OFN18243_n_11259), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2990_n_11555 (
+	.A(n_11555),
+	.X(FE_OFN18522_n_11555), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2586_n_11259 (
-	.A(n_11259),
-	.Y(n_11258), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2985_n_15870 (
+	.A(n_15870),
+	.X(FE_OFN18500_n_15870), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2581_n_11471 (
-	.A(n_11471),
-	.X(FE_OFN18466_n_11471), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2984_n_11176 (
+	.A(n_11176),
+	.X(FE_OFN1806_n_11176), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2580_n_11471 (
-	.A(n_11471),
-	.X(FE_OFN1053_n_11471), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2983_n_15867 (
+	.A(FE_OFN18538_n_15867),
+	.X(FE_OFN18569_n_15867), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2579_u_soc_u_top_u_core_instr_rdata_id_26 (
-	.A(FE_OFN19549_u_soc_u_top_u_core_instr_rdata_id_26),
-	.X(FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26), 
+   sky130_fd_sc_hd__buf_4 FE_OFC2982_n_15867 (
+	.A(n_15867),
+	.X(FE_OFN18538_n_15867), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2576_u_soc_xbar_to_dccm_a_address__4 (
-	.A(\u_soc_xbar_to_dccm[a_address] [4]),
-	.X(FE_OFN773_u_soc_xbar_to_dccm_a_address__4), 
+   sky130_fd_sc_hd__inv_1 FE_OFC2980_n_13085 (
+	.A(FE_OFN1256_n_13085),
+	.Y(n_13392), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2575_n_13250 (
-	.A(n_13250),
-	.Y(n_13853), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2979_n_13085 (
+	.A(n_13085),
+	.Y(FE_OFN1256_n_13085), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2574_n_10153 (
-	.A(FE_PSN4664_n_10153),
-	.Y(n_10152), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2975_n_15865 (
+	.A(n_15865),
+	.X(FE_OFN18532_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2570_u_soc_u_top_u_core_alu_operator_ex_0 (
-	.A(FE_PSN4382_n_11039),
-	.Y(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2974_n_15865 (
+	.A(n_15865),
+	.X(FE_OFN18346_n_15865), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC2564_u_soc_u_top_u_core_alu_operator_ex_0 (
-	.A(u_soc_u_top_u_core_alu_operator_ex[0]),
-	.Y(n_11039), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2973_n_11415 (
+	.A(n_11415),
+	.X(FE_OFN18568_n_11415), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC2562_u_soc_u_top_u_core_rf_waddr_wb_2 (
-	.A(n_11035),
-	.Y(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2972_n_11415 (
+	.A(n_11415),
+	.X(FE_OFN18480_n_11415), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2561_u_soc_u_top_u_core_rf_waddr_wb_2 (
-	.A(u_soc_u_top_u_core_rf_waddr_wb[2]),
-	.Y(n_11035), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2969_n_16003 (
+	.A(n_16003),
+	.X(FE_OFN18505_n_16003), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2559_n_16000 (
-	.A(FE_PSN4348_n_16000),
-	.X(FE_OFN18456_n_16000), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2964_n_11428 (
+	.A(n_11428),
+	.X(FE_OFN18485_n_11428), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2556_n_15997 (
-	.A(FE_PSN4340_n_11761),
-	.X(FE_OFN18454_n_15997), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2963_n_11428 (
+	.A(n_11428),
+	.X(FE_OFN18484_n_11428), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2555_n_15997 (
-	.A(n_15997),
-	.Y(n_11761), 
+   sky130_fd_sc_hd__buf_4 FE_OFC2962_n_15869 (
+	.A(n_15869),
+	.X(FE_OFN18565_n_15869), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2554_n_13248 (
-	.A(n_13248),
-	.Y(n_13851), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2961_u_soc_u_top_u_core_instr_rdata_id_14 (
+	.A(FE_OFN850_u_soc_u_top_u_core_instr_rdata_id_14),
+	.X(FE_OFN18564_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2552_n_11554 (
-	.A(n_11554),
-	.X(FE_OFN1066_n_11554), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2959_n_15866 (
+	.A(n_15866),
+	.X(FE_OFN18562_n_15866), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2551_n_13251 (
-	.A(n_13251),
-	.Y(n_13854), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2956_u_soc_dccm_adapter_data_mem_error_internal (
+	.A(FE_OFN1663_u_soc_dccm_adapter_data_mem_error_internal),
+	.X(FE_OFN18559_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2550_u_soc_u_top_u_core_alu_operator_ex_5 (
-	.A(u_soc_u_top_u_core_alu_operator_ex[5]),
-	.Y(n_11045), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2955_u_soc_u_iccm_bank_sel_1 (
+	.A(u_soc_u_iccm_bank_sel[1]),
+	.X(FE_OFN18558_u_soc_u_iccm_bank_sel_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2548_n_496 (
-	.A(FE_COEN4874_n_496),
-	.X(FE_OFN877_n_496), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2952_n_15999 (
+	.A(n_15999),
+	.X(FE_OFN18555_n_15999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2547_n_11425 (
-	.A(n_11425),
-	.X(FE_OFN18453_n_11425), 
+   sky130_fd_sc_hd__buf_4 FE_OFC2951_n_15999 (
+	.A(n_15999),
+	.X(FE_OFN18444_n_15999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2546_n_11425 (
-	.A(n_11425),
-	.X(FE_OFN1052_n_11425), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2947_n_11556 (
+	.A(n_11556),
+	.X(FE_OFN18448_n_11556), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2544_u_soc_xbar_to_dccm_a_address__8 (
+   sky130_fd_sc_hd__inv_2 FE_OFC2945_n_11093 (
+	.A(n_11093),
+	.Y(FE_OFN18205_n_11093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2940_u_soc_u_top_u_core_multdiv_operator_ex_1 (
+	.A(FE_OFN19026_u_soc_u_top_u_core_multdiv_operator_ex_1),
+	.Y(FE_OFN18549_u_soc_u_top_u_core_multdiv_operator_ex_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC2936_u_soc_u_top_u_core_instr_rdata_id_19 (
+	.A(FE_OFN845_u_soc_u_top_u_core_instr_rdata_id_19),
+	.X(FE_OFN18547_u_soc_u_top_u_core_instr_rdata_id_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2935_u_soc_u_top_u_core_instr_rdata_id_19 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[19]),
+	.X(FE_OFN845_u_soc_u_top_u_core_instr_rdata_id_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2930_n_10533 (
+	.A(n_10533),
+	.X(FE_OFN1794_n_10533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2927_n_11514 (
+	.A(n_11514),
+	.X(FE_OFN18342_n_11514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2925_n_11443 (
+	.A(n_11443),
+	.X(FE_OFN18546_n_11443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2920_n_11152 (
+	.A(n_11152),
+	.Y(FE_OFN18544_n_11152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2918_n_15998 (
+	.A(n_15998),
+	.Y(FE_OFN18543_n_15998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2917_n_15998 (
+	.A(n_15998),
+	.Y(n_11753), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2915_n_15998 (
+	.A(n_15998),
+	.Y(FE_OFN18196_n_15998), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2912_n_11550 (
+	.A(n_11550),
+	.X(FE_OFN18332_n_11550), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2905_n_11418 (
+	.A(n_11418),
+	.X(FE_OFN18537_n_11418), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC2902_u_soc_xbar_to_dccm_a_address__8 (
 	.A(\u_soc_xbar_to_dccm[a_address] [8]),
-	.X(FE_OFN769_u_soc_xbar_to_dccm_a_address__8), 
+	.X(FE_OFN18534_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2541_n_11746 (
-	.A(FE_PSN4426_n_11745),
-	.X(FE_OFN18268_n_11746), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2897_n_11479 (
+	.A(n_11479),
+	.X(FE_OFN18529_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC2540_n_11746 (
-	.A(n_11746),
-	.Y(n_11745), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2895_n_15872 (
+	.A(FE_OFN18369_n_15872),
+	.X(FE_OFN18527_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2539_n_13222 (
-	.A(n_13222),
-	.Y(n_13842), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2891_n_11479 (
+	.A(n_11479),
+	.X(FE_OFN18523_n_11479), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2536_u_soc_dccm_adapter_data_weD (
-	.A(n_10544),
-	.Y(FE_OFN18232_u_soc_dccm_adapter_data_weD), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2888_n_13416 (
+	.A(FE_OFN18433_n_13416),
+	.X(FE_OFN18520_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2535_u_soc_dccm_adapter_data_weD (
-	.A(u_soc_dccm_adapter_data_weD),
-	.Y(n_10544), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2887_n_10344 (
+	.A(n_10344),
+	.X(FE_OFN18519_n_10344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2534_n_11751 (
+   sky130_fd_sc_hd__buf_2 FE_OFC2886_n_11423 (
+	.A(n_11423),
+	.X(FE_OFN18518_n_11423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2885_n_11423 (
+	.A(n_11423),
+	.X(FE_OFN18517_n_11423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2884_n_15859 (
+	.A(n_15859),
+	.X(FE_OFN18516_n_15859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2883_n_15859 (
+	.A(n_15859),
+	.X(FE_OFN18515_n_15859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC2878_u_soc_u_top_u_core_pc_set (
+	.A(FE_PSBN19224_u_soc_u_top_u_core_pc_set),
+	.X(FE_OFN18510_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2870_u_soc_xbar_to_dccm_a_address__4 (
+	.A(\u_soc_xbar_to_dccm[a_address] [4]),
+	.X(FE_OFN904_u_soc_xbar_to_dccm_a_address__4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2869_n_11751 (
 	.A(n_11751),
-	.X(FE_OFN18450_n_11751), 
+	.X(FE_OFN18477_n_11751), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2533_n_11751 (
-	.A(FE_PSN4481_n_11751),
-	.X(FE_OFN1075_n_11751), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2868_n_16003 (
+	.A(n_16003),
+	.X(FE_OFN18506_n_16003), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2531_n_11557 (
-	.A(n_11557),
-	.X(FE_OFN18290_n_11557), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2860_n_15858 (
+	.A(n_15858),
+	.X(FE_OFN18502_n_15858), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2530_n_11557 (
-	.A(FE_PSN4388_n_11557),
-	.X(FE_OFN1069_n_11557), 
+   sky130_fd_sc_hd__buf_4 FE_OFC2859_n_15858 (
+	.A(n_15858),
+	.X(FE_OFN18367_n_15858), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2529_n_15871 (
-	.A(n_15871),
-	.X(FE_OFN18407_n_15871), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2854_n_16000 (
+	.A(n_16000),
+	.X(FE_OFN18498_n_16000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2528_n_15871 (
-	.A(n_15871),
-	.X(FE_OFN1117_n_15871), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2853_n_16000 (
+	.A(n_16000),
+	.X(FE_OFN18497_n_16000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2527_n_13253 (
-	.A(n_13253),
-	.Y(n_13848), 
+   sky130_fd_sc_hd__clkinv_2 FE_OFC2849_n_11054 (
+	.A(n_11054),
+	.Y(n_13452), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2524_u_soc_u_top_u_core_rf_waddr_wb_3 (
-	.A(u_soc_u_top_u_core_rf_waddr_wb[3]),
-	.Y(FE_OFN18295_u_soc_u_top_u_core_rf_waddr_wb_3), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2848_n_11054 (
+	.A(n_11054),
+	.X(FE_OFN1649_n_11054), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC2522_u_soc_u_top_u_core_rf_waddr_wb_4 (
-	.A(u_soc_u_top_u_core_rf_waddr_wb[4]),
-	.Y(n_11033), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2839_u_soc_u_top_u_core_rf_waddr_wb_2 (
+	.A(FE_OFN18402_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.Y(FE_OFN18403_u_soc_u_top_u_core_rf_waddr_wb_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2521_n_13215 (
-	.A(n_13215),
-	.Y(n_13840), 
+   sky130_fd_sc_hd__inv_4 FE_OFC2836_u_soc_u_top_u_core_rf_waddr_wb_2 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[2]),
+	.Y(FE_OFN18402_u_soc_u_top_u_core_rf_waddr_wb_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2520_n_15945 (
-	.A(FE_PSN4452_n_15945),
-	.Y(FE_OFN18449_n_15945), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2835_u_soc_lsu_to_xbar_a_address__30 (
+	.A(\u_soc_lsu_to_xbar[a_address] [30]),
+	.X(FE_OFN18379_u_soc_lsu_to_xbar_a_address__30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2515_u_soc_u_top_u_core_pc_set (
-	.A(FE_PSN4130_u_soc_u_top_u_core_pc_set),
-	.X(FE_OFN18446_u_soc_u_top_u_core_pc_set), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2513_u_soc_lsu_to_xbar_a_address__31 (
-	.A(FE_PSN4103_u_soc_lsu_to_xbar_a_address_31),
-	.X(FE_OFN18195_u_soc_lsu_to_xbar_a_address__31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2511_n_15897 (
-	.A(FE_PSN4665_FE_OFN1590_n_15897),
-	.Y(FE_OFN18445_n_15897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2509_n_15897 (
-	.A(FE_OFN1590_n_15897),
-	.Y(FE_OFN18200_n_15897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2508_n_15897 (
-	.A(FE_OFN1590_n_15897),
-	.Y(n_10344), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC2507_n_15897 (
-	.A(n_15897),
-	.Y(FE_OFN1590_n_15897), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2506_u_soc_dccm_adapter_data_mem_error_internal (
-	.A(FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal),
-	.Y(n_10345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC2505_u_soc_dccm_adapter_data_mem_error_internal (
-	.A(u_soc_dccm_adapter_data_mem_error_internal),
-	.Y(FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2502_n_13363 (
-	.A(n_8868),
-	.Y(FE_OFN18443_n_13363), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2501_n_13363 (
+   sky130_fd_sc_hd__inv_6 FE_OFC2834_n_13363 (
 	.A(n_13363),
 	.Y(n_8868), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2500_n_112 (
-	.A(FE_PSN4352_n_13500),
-	.Y(FE_OFN18442_n_112), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2819_n_11476 (
+	.A(n_11476),
+	.X(FE_OFN18476_n_11476), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2498_n_112 (
-	.A(n_112),
-	.Y(n_13500), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2818_n_11476 (
+	.A(n_11476),
+	.X(FE_OFN18475_n_11476), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC2496_u_soc_u_top_u_core_instr_rdata_id_3 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[3]),
-	.X(FE_OFN18439_u_soc_u_top_u_core_instr_rdata_id_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2486_u_soc_u_top_u_core_alu_operand_b_ex_8 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[8]),
-	.X(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2485_n_7770 (
-	.A(n_7770),
-	.X(FE_OFN18428_n_7770), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2484_u_soc_u_top_u_core_alu_adder_result_ex_1 (
-	.A(u_soc_u_top_u_core_alu_adder_result_ex[1]),
-	.X(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2481_n_7771 (
-	.A(n_7771),
-	.X(FE_OFN18424_n_7771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2478_u_soc_u_top_u_core_instr_rdata_id_13 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[13]),
-	.X(FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2472_u_soc_u_top_u_core_instr_rdata_id_5 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[5]),
-	.X(FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2471_u_soc_u_top_u_core_instr_rdata_id_25 (
-	.A(FE_PSN4148_u_soc_u_top_u_core_instr_rdata_id_25),
-	.X(FE_OFN18414_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2469_n_13069 (
-	.A(FE_PSN4417_FE_OFN18238_n_13069),
-	.X(FE_OFN18412_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2458_u_soc_u_top_u_core_rf_wdata_fwd_wb_20 (
-	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[20]),
-	.X(FE_OFN18401_u_soc_u_top_u_core_rf_wdata_fwd_wb_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2454_n_15865 (
-	.A(FE_PSBN19835_n_15865),
-	.X(FE_OFN18397_n_15865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2453_n_15865 (
-	.A(FE_PSN4785_n_15865),
-	.X(FE_OFN18396_n_15865), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2446_u_soc_u_top_u_core_multdiv_operator_ex_0 (
-	.A(u_soc_u_top_u_core_multdiv_operator_ex[0]),
-	.X(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2445_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0 (
-	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.X(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2441_n_11514 (
-	.A(FE_PSN4834_FE_OFN18385_n_11514),
-	.X(FE_OFN18384_n_11514), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2436_n_11258 (
-	.A(n_11258),
-	.X(FE_OFN18379_n_11258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2435_n_11176 (
-	.A(FE_PSN4428_n_11176),
-	.X(FE_OFN18378_n_11176), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2434_n_16005 (
-	.A(FE_OFN18613_n),
-	.X(FE_OFN18377_n_16005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2428_u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_1 (
-	.A(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
-	.X(FE_OFN18371_u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2424_n_6709 (
-	.A(FE_OFN999_n_6709),
-	.X(FE_OFN18367_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC2418_u_soc_lsu_to_xbar_a_address__30 (
-	.A(FE_PSN4772_u_soc_lsu_to_xbar_a_address_30),
-	.X(FE_OFN18361_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2417_u_soc_lsu_to_xbar_a_address__17 (
-	.A(\u_soc_lsu_to_xbar[a_address] [17]),
-	.X(FE_OFN18360_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2416_u_soc_u_top_u_core_instr_rdata_id_18 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[18]),
-	.X(FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2413_n_11313 (
-	.A(n_11313),
-	.X(FE_OFN18356_n_11313), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2407_n_9277 (
-	.A(n_9277),
-	.X(FE_OFN18350_n_9277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2390_u_soc_u_top_u_core_alu_operand_b_ex_0 (
-	.A(FE_PSN4401_u_soc_u_top_u_core_alu_operand_b_ex_0),
-	.X(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2385_n_7607 (
-	.A(n_7607),
-	.X(FE_OFN18328_n_7607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2383_n_13495 (
-	.A(n_13495),
-	.X(FE_OFN18326_n_13495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2381_n_3074 (
-	.A(n_3074),
-	.X(FE_OFN18324_n_3074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2380_n_3074 (
-	.A(n_3074),
-	.X(FE_OFN18323_n_3074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2379_u_soc_u_top_u_core_alu_operand_b_ex_11 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2815_u_soc_u_top_u_core_alu_operand_b_ex_11 (
 	.A(u_soc_u_top_u_core_alu_operand_b_ex[11]),
-	.X(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11), 
+	.X(FE_OFN18472_u_soc_u_top_u_core_alu_operand_b_ex_11), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2378_n_6708 (
-	.A(n_6708),
-	.X(FE_OFN18321_n_6708), 
+   sky130_fd_sc_hd__buf_4 FE_OFC2810_n_11425 (
+	.A(n_11425),
+	.X(FE_OFN18467_n_11425), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2377_n_6708 (
-	.A(n_6708),
-	.X(FE_OFN18320_n_6708), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2808_n_11563 (
+	.A(n_11563),
+	.X(FE_OFN18465_n_11563), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2376_n_13103 (
-	.A(n_13103),
-	.X(FE_OFN18319_n_13103), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2807_n_11563 (
+	.A(n_11563),
+	.X(FE_OFN18464_n_11563), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2375_n_15946 (
-	.A(FE_PSN4359_n_15946),
-	.X(FE_OFN18318_n_15946), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2803_n_11393 (
+	.A(n_11393),
+	.X(FE_OFN18460_n_11393), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2370_n_1223 (
-	.A(n_1223),
-	.X(FE_OFN18313_n_1223), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2802_n_15897 (
+	.A(FE_OFN18386_n_15897),
+	.X(FE_OFN18459_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2369_n_13393 (
-	.A(FE_PSN4356_n_13393),
-	.X(FE_OFN18312_n_13393), 
+   sky130_fd_sc_hd__buf_4 FE_OFC2800_n_10523 (
+	.A(n_10523),
+	.X(FE_OFN18457_n_10523), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2367_n_11515 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2795_u_soc_u_top_u_core_alu_operand_b_ex_7 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[7]),
+	.X(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2794_u_soc_u_top_u_core_multdiv_operator_ex_0 (
+	.A(u_soc_u_top_u_core_multdiv_operator_ex[0]),
+	.X(FE_OFN18451_u_soc_u_top_u_core_multdiv_operator_ex_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2793_n_13387 (
+	.A(n_13387),
+	.X(FE_OFN18450_n_13387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2792_u_soc_u_top_u_core_alu_operand_b_ex_5 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[5]),
+	.X(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2790_n_11515 (
 	.A(n_11515),
-	.X(FE_OFN18310_n_11515), 
+	.X(FE_OFN18447_n_11515), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2363_n_11112 (
-	.A(n_11112),
-	.Y(n_11111), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2789_u_soc_u_top_u_core_alu_operand_b_ex_2 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[2]),
+	.X(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2361_n_15887 (
-	.A(FE_OFN1118_n_15887),
-	.X(FE_OFN18306_n_15887), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2784_u_soc_u_top_u_core_instr_rdata_id_20 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[20]),
+	.X(FE_OFN18441_u_soc_u_top_u_core_instr_rdata_id_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2360_n_15887 (
-	.A(n_15887),
-	.X(FE_OFN1118_n_15887), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2781_n_11480 (
+	.A(n_11480),
+	.X(FE_OFN18438_n_11480), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC2356_n_6869 (
-	.A(n_6868),
-	.Y(FE_OFN18302_n_6869), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2780_n_11480 (
+	.A(n_11480),
+	.X(FE_OFN18437_n_11480), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2355_n_6869 (
-	.A(n_6869),
-	.Y(n_6868), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2779_n_11130 (
+	.A(n_11130),
+	.X(FE_OFN18436_n_11130), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2354_u_soc_u_top_u_core_alu_operator_ex_1 (
-	.A(n_11041),
-	.Y(FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1), 
+   sky130_fd_sc_hd__buf_4 FE_OFC2776_n_13416 (
+	.A(n_13416),
+	.X(FE_OFN18433_n_13416), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC2352_u_soc_u_top_u_core_alu_operator_ex_1 (
-	.A(u_soc_u_top_u_core_alu_operator_ex[1]),
-	.Y(n_11041), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2775_n_15943 (
+	.A(n_15943),
+	.X(FE_OFN18432_n_15943), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2351_u_soc_u_top_u_core_instr_rdata_id_28 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[28]),
-	.X(FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2773_u_soc_u_top_u_core_alu_operand_b_ex_0 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[0]),
+	.X(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2349_n_15911 (
-	.A(n_7383),
-	.Y(FE_OFN18299_n_15911), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2772_n_16004 (
+	.A(n_16004),
+	.X(FE_OFN18429_n_16004), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2348_n_15911 (
-	.A(n_15911),
-	.Y(n_7383), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2771_n_15946 (
+	.A(FE_OFN18240_n_15946),
+	.X(FE_OFN18428_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2333_u_soc_lsu_to_xbar_a_address__14 (
-	.A(\u_soc_lsu_to_xbar[a_address] [14]),
-	.X(FE_OFN763_u_soc_lsu_to_xbar_a_address__14), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2770_n_13390 (
+	.A(n_13390),
+	.X(FE_OFN18427_n_13390), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2329_n_11093 (
-	.A(n_11093),
-	.Y(n_11092), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2769_n_13420 (
+	.A(n_13420),
+	.X(FE_OFN18426_n_13420), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2328_u_soc_lsu_to_xbar_a_address__18 (
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC2765_u_soc_lsu_to_xbar_a_address__18 (
 	.A(\u_soc_lsu_to_xbar[a_address] [18]),
-	.X(FE_OFN759_u_soc_lsu_to_xbar_a_address__18), 
+	.X(FE_OFN890_u_soc_lsu_to_xbar_a_address__18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2324_n_8862 (
-	.A(n_8862),
-	.Y(n_8861), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2757_n_13323 (
+	.A(n_13323),
+	.X(FE_OFN18283_n_13323), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2323_n_8862 (
-	.A(n_8862),
-	.X(FE_OFN1533_n_8862), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2749_n_15883 (
+	.A(n_12257),
+	.Y(FE_OFN18419_n_15883), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2314_n_2984 (
-	.A(FE_OFN18285_n_2984),
-	.Y(FE_OFN18286_n_2984), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2748_n_15883 (
+	.A(n_15883),
+	.Y(n_12257), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2313_n_2984 (
-	.A(n_2984),
-	.Y(FE_OFN18285_n_2984), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2746_n_16005 (
+	.A(n_16005),
+	.X(FE_OFN18297_n_16005), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2312_n_2984 (
-	.A(n_2984),
-	.X(n_2983), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2744_n_13393 (
+	.A(n_13393),
+	.X(FE_OFN18241_n_13393), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2304_n_8333 (
-	.A(n_8332),
-	.Y(FE_OFN18282_n_8333), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2734_u_soc_u_top_u_core_instr_rdata_id_12 (
+	.A(FE_OFN18143_u_soc_u_top_u_core_instr_rdata_id_12),
+	.X(FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_12), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2302_n_8333 (
-	.A(n_8333),
-	.Y(n_8332), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2730_u_soc_xbar_to_dccm_a_address__5 (
+	.A(\u_soc_xbar_to_dccm[a_address] [5]),
+	.X(FE_OFN903_u_soc_xbar_to_dccm_a_address__5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2299_n_16012 (
-	.A(n_16012),
-	.X(FE_OFN18279_n_16012), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2722_u_soc_lsu_to_xbar_a_address__17 (
+	.A(\u_soc_lsu_to_xbar[a_address] [17]),
+	.X(FE_OFN18408_u_soc_lsu_to_xbar_a_address__17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2297_u_soc_u_top_u_core_instr_rdata_id_24 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[24]),
-	.X(FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2720_n_11213 (
+	.A(n_11213),
+	.Y(FE_OFN18085_n_11213), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2293_u_soc_xbar_to_dccm_a_address__3 (
-	.A(\u_soc_xbar_to_dccm[a_address] [3]),
-	.X(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2716_n_11220 (
+	.A(n_11220),
+	.Y(n_11221), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2290_u_soc_u_top_u_core_instr_rdata_id_21 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[21]),
-	.X(FE_OFN721_u_soc_u_top_u_core_instr_rdata_id_21), 
+   sky130_fd_sc_hd__buf_4 FE_OFC2714_n_13083 (
+	.A(n_13083),
+	.X(FE_OFN1254_n_13083), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2289_n_2418 (
-	.A(n_2417),
-	.Y(FE_OFN18274_n_2418), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2712_u_soc_u_top_u_core_rf_waddr_wb_1 (
+	.A(n_652),
+	.Y(FE_OFN18405_u_soc_u_top_u_core_rf_waddr_wb_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2288_n_2418 (
-	.A(n_2418),
-	.Y(n_2417), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2711_u_soc_u_top_u_core_rf_waddr_wb_1 (
+	.A(n_652),
+	.Y(FE_OFN18193_u_soc_u_top_u_core_rf_waddr_wb_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2287_u_soc_lsu_to_xbar_a_address__20 (
-	.A(FE_COEN4327_u_soc_lsu_to_xbar_a_address_20),
-	.X(FE_OFN18273_u_soc_lsu_to_xbar_a_address__20), 
+   sky130_fd_sc_hd__inv_6 FE_OFC2709_n_15945 (
+	.A(FE_OFN1662_n_15945),
+	.Y(FE_OFN18404_n_15945), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2282_n_11152 (
-	.A(n_11151),
-	.Y(FE_OFN18271_n_11152), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2707_n_15945 (
+	.A(n_15945),
+	.X(FE_OFN1662_n_15945), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2280_u_soc_xbar_to_dccm_a_address__2 (
-	.A(\u_soc_xbar_to_dccm[a_address] [2]),
-	.X(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2), 
+   sky130_fd_sc_hd__buf_12 FE_OFC2702_n_10568 (
+	.A(n_10568),
+	.X(FE_OFN1877_n_10568), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2278_u_soc_u_top_u_core_instr_rdata_id_27 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[27]),
-	.X(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2698_u_soc_u_top_u_core_alu_operator_ex_5 (
+	.A(n_11045),
+	.Y(FE_OFN18400_u_soc_u_top_u_core_alu_operator_ex_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2274_u_soc_u_top_u_core_instr_rdata_id_23 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[23]),
-	.X(FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2697_u_soc_u_top_u_core_alu_operator_ex_5 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[5]),
+	.Y(n_11045), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2262_n_7388 (
-	.A(n_7388),
-	.Y(FE_OFN18264_n_7388), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2695_n_13076 (
+	.A(FE_OFN1250_n_13076),
+	.Y(n_13398), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2260_u_soc_u_iccm_rdata1_16 (
-	.A(u_soc_u_iccm_rdata1[16]),
-	.X(FE_OFN601_u_soc_u_iccm_rdata1_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2258_u_soc_u_top_u_core_instr_rdata_id_19 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[19]),
-	.X(FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2254_u_soc_u_top_u_core_instr_rdata_id_4 (
-	.A(FE_OFN18261_u_soc_u_top_u_core_instr_rdata_id_4),
-	.Y(FE_OFN18262_u_soc_u_top_u_core_instr_rdata_id_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2253_u_soc_u_top_u_core_instr_rdata_id_4 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[4]),
-	.Y(FE_OFN18261_u_soc_u_top_u_core_instr_rdata_id_4), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2252_n_669 (
-	.A(n_669),
-	.Y(n_13418), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2251_u_soc_lsu_to_xbar_a_address__15 (
-	.A(\u_soc_lsu_to_xbar[a_address] [15]),
-	.X(FE_OFN762_u_soc_lsu_to_xbar_a_address__15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2250_u_soc_lsu_to_xbar_a_address__22 (
-	.A(\u_soc_lsu_to_xbar[a_address] [22]),
-	.X(FE_OFN755_u_soc_lsu_to_xbar_a_address__22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2248_n_10044 (
-	.A(n_10044),
-	.X(FE_OFN1622_n_10044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2241_n_496 (
-	.A(FE_COEN4874_n_496),
-	.Y(n_13361), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2239_u_soc_u_iccm_rdata1_1 (
-	.A(u_soc_u_iccm_rdata1[1]),
-	.X(FE_OFN617_u_soc_u_iccm_rdata1_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2234_n_7971 (
-	.A(n_7971),
-	.Y(n_7970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2232_n_10155 (
-	.A(n_10155),
-	.X(FE_OFN1625_n_10155), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2230_n_7382 (
-	.A(n_7382),
-	.Y(FE_OFN18258_n_7382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2227_n_10146 (
-	.A(n_10146),
-	.X(FE_OFN1624_n_10146), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2226_n_619 (
-	.A(n_13515),
-	.Y(FE_OFN18257_n_619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2224_n_619 (
-	.A(n_619),
-	.Y(n_13515), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2221_n_10145 (
-	.A(n_10145),
-	.X(FE_OFN1623_n_10145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2220_n_13252 (
-	.A(n_13252),
-	.Y(n_13855), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2219_u_soc_u_top_u_core_rf_wdata_fwd_wb_18 (
-	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[18]),
-	.X(FE_OFN783_u_soc_u_top_u_core_rf_wdata_fwd_wb_18), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2216_u_soc_lsu_to_xbar_a_address__26 (
-	.A(FE_PSN4369_u_soc_lsu_to_xbar_a_address_26),
-	.X(FE_OFN751_u_soc_lsu_to_xbar_a_address__26), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2215_n_9954 (
-	.A(n_9954),
-	.Y(n_13347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2210_n_625 (
-	.A(FE_COEN4683_n_13510),
-	.Y(FE_OFN18252_n_625), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2205_n_10864 (
-	.A(n_10864),
-	.X(FE_OFN1633_n_10864), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2204_u_soc_xbar_to_dccm_a_data__11 (
-	.A(\u_soc_xbar_to_dccm[a_data] [11]),
-	.X(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 FE_OFC2201_n_183 (
-	.A(u_soc_u_top_u_core_multdiv_operator_ex[1]),
-	.Y(n_556), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2200_u_soc_u_top_u_core_instr_rdata_id_31 (
-	.A(FE_OFN18247_u_soc_u_top_u_core_instr_rdata_id_31),
-	.Y(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2199_u_soc_u_top_u_core_instr_rdata_id_31 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[31]),
-	.Y(FE_OFN18247_u_soc_u_top_u_core_instr_rdata_id_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2198_u_soc_xbar_to_dccm_a_data__7 (
-	.A(\u_soc_xbar_to_dccm[a_data] [7]),
-	.X(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2197_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
-	.A(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.Y(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2196_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
-	.A(n_8791),
-	.Y(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2195_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
-	.A(u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
-	.X(n_8791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2192_n_11475 (
-	.A(FE_COEN4317_n_11475),
-	.X(FE_OFN1056_n_11475), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2189_u_soc_u_top_u_core_rf_wdata_fwd_wb_24 (
-	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[24]),
-	.X(FE_OFN779_u_soc_u_top_u_core_rf_wdata_fwd_wb_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2188_n_7619 (
-	.A(n_7618),
-	.Y(FE_OFN18244_n_7619), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2187_n_7619 (
-	.A(n_7619),
-	.Y(n_7618), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2186_u_soc_u_top_u_core_rf_wdata_fwd_wb_17 (
-	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[17]),
-	.X(FE_OFN784_u_soc_u_top_u_core_rf_wdata_fwd_wb_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2184_n_2683 (
-	.A(n_2683),
-	.Y(n_2682), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC2176_n_13134 (
+   sky130_fd_sc_hd__inv_2 FE_OFC2693_n_13134 (
 	.A(n_13134),
 	.Y(n_13506), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2175_n_11626 (
-	.A(n_11625),
-	.Y(FE_OFN18242_n_11626), 
+   sky130_fd_sc_hd__buf_4 FE_OFC2688_n_11746 (
+	.A(n_11745),
+	.X(FE_OFN18154_n_11746), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2172_n_2285 (
-	.A(n_2285),
-	.Y(n_2284), 
+   sky130_fd_sc_hd__clkinv_4 FE_OFC2687_n_11746 (
+	.A(n_11746),
+	.Y(n_11745), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2171_u_soc_xbar_to_dccm_a_address__12 (
-	.A(\u_soc_xbar_to_dccm[a_address] [12]),
-	.X(FE_OFN765_u_soc_xbar_to_dccm_a_address__12), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2686_n_11355 (
+	.A(n_11355),
+	.Y(FE_OFN18144_n_11355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2170_n_9296 (
-	.A(n_9296),
-	.X(FE_OFN1569_n_9296), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2685_n_9163 (
+	.A(n_9163),
+	.X(FE_OFN1633_n_9163), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2167_n_2420 (
-	.A(n_2419),
-	.Y(FE_OFN18240_n_2420), 
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC2682_u_soc_lsu_to_xbar_a_address__25 (
+	.A(\u_soc_lsu_to_xbar[a_address] [25]),
+	.X(FE_OFN883_u_soc_lsu_to_xbar_a_address__25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2166_n_2420 (
-	.A(n_2420),
-	.Y(n_2419), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2681_n_11473 (
+	.A(n_11473),
+	.X(FE_OFN18305_n_11473), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2164_u_soc_lsu_to_xbar_a_address__29 (
+   sky130_fd_sc_hd__inv_2 FE_OFC2680_u_soc_dccm_adapter_data_weD (
+	.A(u_soc_dccm_adapter_data_weD),
+	.Y(FE_OFN18105_u_soc_dccm_adapter_data_weD), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2679_u_soc_dccm_adapter_data_weD (
+	.A(u_soc_dccm_adapter_data_weD),
+	.X(n_10544), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2678_n_15868 (
+	.A(n_15868),
+	.X(FE_OFN18322_n_15868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2671_n_13222 (
+	.A(n_13222),
+	.Y(n_13842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2670_n_11259 (
+	.A(FE_OFN18298_n_11259),
+	.X(n_11258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2669_n_11259 (
+	.A(FE_OFN18309_n_11258),
+	.X(FE_OFN18131_n_11259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2668_n_11259 (
+	.A(n_11259),
+	.X(FE_OFN18298_n_11259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2667_n_11259 (
+	.A(n_11259),
+	.Y(FE_OFN18309_n_11258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2666_n_13253 (
+	.A(n_13253),
+	.Y(n_13848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2664_u_soc_xbar_to_dccm_a_address__7 (
+	.A(\u_soc_xbar_to_dccm[a_address] [7]),
+	.X(FE_OFN18390_u_soc_xbar_to_dccm_a_address__7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2662_n_13245 (
+	.A(n_13245),
+	.Y(n_13847), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2658_u_soc_lsu_to_xbar_a_address__24 (
+	.A(\u_soc_lsu_to_xbar[a_address] [24]),
+	.X(FE_OFN884_u_soc_lsu_to_xbar_a_address__24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2657_n_15897 (
+	.A(FE_OFN18224_n_15897),
+	.X(FE_OFN18387_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2656_n_15897 (
+	.A(FE_PSN3931_FE_OFN18224_n_15897),
+	.Y(FE_OFN18386_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2655_n_15897 (
+	.A(FE_PSN3935_FE_OFN18224_n_15897),
+	.Y(FE_OFN18385_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2654_n_15897 (
+	.A(FE_OFN18224_n_15897),
+	.Y(FE_OFN18227_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2653_n_15897 (
+	.A(FE_OFN18224_n_15897),
+	.Y(FE_OFN1661_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2652_n_15897 (
+	.A(FE_PSN3943_FE_OFN18224_n_15897),
+	.Y(FE_OFN18262_n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2651_n_15897 (
+	.A(FE_OFN18224_n_15897),
+	.Y(FE_OFN1660_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2650_n_15897 (
+	.A(FE_OFN18224_n_15897),
+	.Y(n_10344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2649_n_15897 (
+	.A(n_15897),
+	.Y(FE_OFN18224_n_15897), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2645_n_638 (
+	.A(n_13514),
+	.Y(FE_OFN18383_n_638), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2644_n_638 (
+	.A(n_638),
+	.Y(n_13514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2641_n_11593 (
+	.A(FE_OFN18279_n_11593),
+	.X(FE_OFN18382_n_11593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2640_n_11593 (
+	.A(n_11593),
+	.X(FE_OFN18279_n_11593), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2635_u_soc_lsu_to_xbar_a_address__29 (
 	.A(\u_soc_lsu_to_xbar[a_address] [29]),
-	.X(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29), 
+	.X(FE_OFN879_u_soc_lsu_to_xbar_a_address__29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2160_n_11313 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2633_u_soc_lsu_to_xbar_a_address__30 (
+	.A(\u_soc_lsu_to_xbar[a_address] [30]),
+	.X(FE_OFN18378_u_soc_lsu_to_xbar_a_address__30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2629_n_13363 (
+	.A(n_8868),
+	.Y(FE_OFN18376_n_13363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2625_n_11098 (
+	.A(n_11098),
+	.Y(n_13468), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2618_u_soc_u_tcam_n_27 (
+	.A(u_soc_u_tcam_n_27),
+	.Y(FE_OFN18249_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2617_u_soc_u_tcam_n_27 (
+	.A(FE_PSN3947_u_soc_u_tcam_n_27),
+	.Y(FE_OFN1808_u_soc_u_tcam_n_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2616_u_soc_lsu_to_xbar_a_address__26 (
+	.A(\u_soc_lsu_to_xbar[a_address] [26]),
+	.X(FE_OFN882_u_soc_lsu_to_xbar_a_address__26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2615_n_116 (
+	.A(n_13503),
+	.Y(FE_OFN18371_n_116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2614_n_116 (
+	.A(n_116),
+	.Y(n_13503), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2613_n_112 (
+	.A(n_13500),
+	.Y(FE_OFN18370_n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2612_n_112 (
+	.A(n_112),
+	.Y(n_13500), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_4 FE_OFC2608_u_soc_dccm_adapter_data_mem_error_internal (
+	.A(FE_PDN4080_FE_OFN1663_u_soc_dccm_adapter_data_mem_error_internal),
+	.Y(FE_OFN18264_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2607_u_soc_dccm_adapter_data_mem_error_internal (
+	.A(u_soc_dccm_adapter_data_mem_error_internal),
+	.X(FE_OFN1663_u_soc_dccm_adapter_data_mem_error_internal), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2606_n_15872 (
+	.A(n_15872),
+	.X(FE_OFN18369_n_15872), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2602_n_11516 (
+	.A(n_11516),
+	.X(FE_OFN18365_n_11516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2601_n_11762 (
+	.A(n_11762),
+	.X(FE_OFN18364_n_11762), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2599_u_soc_u_top_u_core_alu_adder_result_ex_1 (
+	.A(u_soc_u_top_u_core_alu_adder_result_ex[1]),
+	.X(FE_OFN18362_u_soc_u_top_u_core_alu_adder_result_ex_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2597_u_soc_u_top_u_core_instr_rdata_id_23 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[23]),
+	.X(FE_OFN18360_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2595_n_15887 (
+	.A(n_15887),
+	.X(FE_OFN18358_n_15887), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2591_n_11474 (
+	.A(n_11474),
+	.X(FE_OFN18354_n_11474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2585_n_15869 (
+	.A(n_15869),
+	.X(FE_OFN18348_n_15869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2584_n_11152 (
+	.A(n_11152),
+	.X(FE_OFN18347_n_11152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2578_u_soc_u_top_u_core_instr_rdata_id_28 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[28]),
+	.X(FE_OFN18341_u_soc_u_top_u_core_instr_rdata_id_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2564_u_soc_u_top_u_core_alu_operand_b_ex_8 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[8]),
+	.X(FE_OFN18327_u_soc_u_top_u_core_alu_operand_b_ex_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2558_n_7770 (
+	.A(n_7770),
+	.X(FE_OFN18321_n_7770), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2548_n_11034 (
+	.A(n_11034),
+	.X(FE_OFN18311_n_11034), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2540_n_7771 (
+	.A(n_7771),
+	.X(FE_OFN18303_n_7771), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2538_n_11032 (
+	.A(n_11032),
+	.X(FE_OFN18301_n_11032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2531_n_10531 (
+	.A(n_10531),
+	.X(FE_OFN18294_n_10531), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2528_n_10530 (
+	.A(n_10530),
+	.X(FE_OFN18291_n_10530), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2527_n_6709 (
+	.A(FE_OFN1192_n_6709),
+	.X(FE_OFN18290_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2526_n_6709 (
+	.A(FE_OFN1191_n_6709),
+	.X(FE_OFN18289_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2521_n_13323 (
+	.A(FE_OFN18283_n_13323),
+	.X(FE_OFN18284_n_13323), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2513_u_soc_u_top_u_core_alu_operand_b_ex_6 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[6]),
+	.X(FE_OFN18276_u_soc_u_top_u_core_alu_operand_b_ex_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2511_u_soc_u_top_u_core_instr_rdata_id_18 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[18]),
+	.X(FE_OFN18274_u_soc_u_top_u_core_instr_rdata_id_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2508_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0 (
+	.A(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
+	.X(FE_OFN18271_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2500_n_6919 (
+	.A(n_6919),
+	.X(FE_OFN18263_n_6919), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2490_u_soc_iccm_adapter_inst_mem_wmask_int_0__30 (
+	.A(\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]),
+	.X(FE_OFN18253_u_soc_iccm_adapter_inst_mem_wmask_int_0__30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2489_u_soc_u_top_u_core_alu_operand_b_ex_9 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[9]),
+	.X(FE_OFN18252_u_soc_u_top_u_core_alu_operand_b_ex_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2483_u_soc_u_top_u_core_alu_operand_b_ex_10 (
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[10]),
+	.X(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2482_n_13424 (
+	.A(n_13424),
+	.X(FE_OFN18245_n_13424), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2480_n_6709 (
+	.A(FE_OFN18242_n_6709),
+	.X(FE_OFN18243_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2479_n_6709 (
+	.A(n_6709),
+	.X(FE_OFN18242_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2474_u_soc_u_top_u_core_alu_operand_b_ex_0 (
+	.A(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.X(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2467_n_13389 (
+	.A(FE_OFN1651_n_13389),
+	.X(FE_OFN18230_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2465_u_soc_lsu_to_xbar_a_address__31 (
+	.A(\u_soc_lsu_to_xbar[a_address] [31]),
+	.X(FE_OFN18228_n), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2459_u_soc_u_top_u_core_instr_rdata_id_5 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[5]),
+	.X(FE_OFN18223_u_soc_u_top_u_core_instr_rdata_id_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2455_u_soc_xbar_to_dccm_a_address__13 (
+	.A(\u_soc_xbar_to_dccm[a_address] [13]),
+	.X(FE_OFN895_u_soc_xbar_to_dccm_a_address__13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2453_u_soc_xbar_to_dccm_a_address__12 (
+	.A(\u_soc_xbar_to_dccm[a_address] [12]),
+	.X(FE_OFN896_u_soc_xbar_to_dccm_a_address__12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2446_u_soc_lsu_to_xbar_a_address__22 (
+	.A(\u_soc_lsu_to_xbar[a_address] [22]),
+	.X(FE_OFN886_u_soc_lsu_to_xbar_a_address__22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2444_u_soc_xbar_to_dccm_a_address__2 (
+	.A(\u_soc_xbar_to_dccm[a_address] [2]),
+	.X(FE_OFN18214_u_soc_xbar_to_dccm_a_address__2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2442_n_11208 (
+	.A(n_11208),
+	.Y(n_11207), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2441_u_soc_xbar_to_dccm_a_address__3 (
+	.A(\u_soc_xbar_to_dccm[a_address] [3]),
+	.X(FE_OFN18213_u_soc_xbar_to_dccm_a_address__3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2440_u_soc_xbar_to_dccm_a_address__3 (
+	.A(\u_soc_xbar_to_dccm[a_address] [3]),
+	.X(FE_OFN18212_u_soc_xbar_to_dccm_a_address__3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2437_u_soc_u_top_u_core_rf_waddr_wb_4 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[4]),
+	.Y(n_11033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2436_u_soc_xbar_to_dccm_a_address__11 (
+	.A(\u_soc_xbar_to_dccm[a_address] [11]),
+	.X(FE_OFN18210_u_soc_xbar_to_dccm_a_address__11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2435_u_soc_xbar_to_dccm_a_address__11 (
+	.A(\u_soc_xbar_to_dccm[a_address] [11]),
+	.X(FE_OFN18209_u_soc_xbar_to_dccm_a_address__11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2433_u_soc_u_top_u_core_instr_rdata_id_27 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[27]),
+	.X(FE_OFN837_u_soc_u_top_u_core_instr_rdata_id_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2431_u_soc_lsu_to_xbar_a_address__21 (
+	.A(\u_soc_lsu_to_xbar[a_address] [21]),
+	.X(FE_OFN887_u_soc_lsu_to_xbar_a_address__21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2430_n_8335 (
+	.A(n_8334),
+	.Y(FE_OFN18207_n_8335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2428_n_8335 (
+	.A(n_8335),
+	.Y(n_8334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2418_u_soc_lsu_to_xbar_a_address__15 (
+	.A(\u_soc_lsu_to_xbar[a_address] [15]),
+	.X(FE_OFN893_u_soc_lsu_to_xbar_a_address__15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2416_u_soc_lsu_to_xbar_a_address__23 (
+	.A(\u_soc_lsu_to_xbar[a_address] [23]),
+	.X(FE_OFN885_u_soc_lsu_to_xbar_a_address__23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2414_n_15911 (
+	.A(n_7383),
+	.Y(FE_OFN18198_n_15911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2413_n_15911 (
+	.A(n_15911),
+	.Y(n_7383), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC2409_u_soc_lsu_to_xbar_a_address__16 (
+	.A(\u_soc_lsu_to_xbar[a_address] [16]),
+	.X(FE_OFN18195_u_soc_lsu_to_xbar_a_address__16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2407_u_soc_xbar_to_dccm_a_data__12 (
+	.A(\u_soc_xbar_to_dccm[a_data] [12]),
+	.X(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2405_u_soc_lsu_to_xbar_a_address__27 (
+	.A(\u_soc_lsu_to_xbar[a_address] [27]),
+	.X(FE_OFN881_u_soc_lsu_to_xbar_a_address__27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2402_u_soc_u_top_u_core_alu_operator_ex_1 (
+	.A(n_11041),
+	.Y(FE_OFN18192_u_soc_u_top_u_core_alu_operator_ex_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2401_u_soc_u_top_u_core_alu_operator_ex_1 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[1]),
+	.Y(n_11041), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2398_n_2676 (
+	.A(FE_OFN1816_n_2676),
+	.Y(FE_OFN18190_n_2676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2397_n_2676 (
+	.A(FE_OFN1816_n_2676),
+	.Y(FE_OFN18189_n_2676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2396_n_2676 (
+	.A(FE_OFN1816_n_2676),
+	.X(n_2675), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2395_n_2676 (
+	.A(n_2676),
+	.X(FE_OFN1816_n_2676), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2393_u_soc_u_top_u_core_instr_rdata_id_4 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[4]),
+	.X(FE_OFN18188_u_soc_u_top_u_core_instr_rdata_id_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2391_u_soc_xbar_to_dccm_a_address__10 (
+	.A(\u_soc_xbar_to_dccm[a_address] [10]),
+	.X(FE_OFN18187_u_soc_xbar_to_dccm_a_address__10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2382_n_9957 (
+	.A(n_9957),
+	.Y(n_13533), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2379_u_soc_xbar_to_dccm_a_data__27 (
+	.A(\u_soc_xbar_to_dccm[a_data] [27]),
+	.X(FE_OFN1697_u_soc_xbar_to_dccm_a_data__27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC2376_u_soc_lsu_to_xbar_a_address__20 (
+	.A(\u_soc_lsu_to_xbar[a_address] [20]),
+	.X(FE_OFN888_u_soc_lsu_to_xbar_a_address__20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2372_u_soc_u_iccm_rdata1_1 (
+	.A(u_soc_u_iccm_rdata1[1]),
+	.X(FE_OFN777_u_soc_u_iccm_rdata1_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2370_u_soc_lsu_to_xbar_a_address__28 (
+	.A(\u_soc_lsu_to_xbar[a_address] [28]),
+	.X(FE_OFN880_u_soc_lsu_to_xbar_a_address__28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2369_u_soc_u_iccm_rdata1_17 (
+	.A(u_soc_u_iccm_rdata1[17]),
+	.X(FE_OFN756_u_soc_u_iccm_rdata1_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2368_u_soc_xbar_to_dccm_a_data__26 (
+	.A(\u_soc_xbar_to_dccm[a_data] [26]),
+	.X(FE_OFN1698_u_soc_xbar_to_dccm_a_data__26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2366_n_16012 (
+	.A(n_16012),
+	.X(FE_OFN1272_n_16012), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2363_n_6859 (
+	.A(FE_OFN1194_n_6859),
+	.Y(FE_OFN18177_n_6859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2362_n_6859 (
+	.A(FE_OFN1194_n_6859),
+	.Y(n_6858), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2361_n_6859 (
+	.A(n_6859),
+	.Y(FE_OFN1194_n_6859), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2360_u_soc_xbar_to_dccm_a_data__31 (
+	.A(\u_soc_xbar_to_dccm[a_data] [31]),
+	.X(FE_OFN1693_u_soc_xbar_to_dccm_a_data__31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2359_n_8333 (
+	.A(n_8332),
+	.Y(FE_OFN18176_n_8333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2356_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
+	.A(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.X(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2355_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
+	.A(n_8791),
+	.X(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2354_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
+	.A(n_8791),
+	.Y(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2353_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1 (
+	.A(u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_8791), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2350_u_soc_xbar_to_dccm_a_data__7 (
+	.A(\u_soc_xbar_to_dccm[a_data] [7]),
+	.X(FE_OFN1717_u_soc_xbar_to_dccm_a_data__7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2349_u_soc_u_iccm_rdata1_0 (
+	.A(u_soc_u_iccm_rdata1[0]),
+	.X(FE_OFN778_u_soc_u_iccm_rdata1_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2348_u_soc_xbar_to_dccm_a_data__13 (
+	.A(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.X(FE_OFN18170_u_soc_xbar_to_dccm_a_data__13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2347_u_soc_xbar_to_dccm_a_data__13 (
+	.A(\u_soc_xbar_to_dccm[a_data] [13]),
+	.X(FE_OFN1711_u_soc_xbar_to_dccm_a_data__13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2346_u_soc_xbar_to_dccm_a_data__24 (
+	.A(\u_soc_xbar_to_dccm[a_data] [24]),
+	.X(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2345_u_soc_xbar_to_dccm_a_data__25 (
+	.A(\u_soc_xbar_to_dccm[a_data] [25]),
+	.X(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2339_n_6869 (
+	.A(n_6868),
+	.Y(FE_OFN18167_n_6869), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2338_n_6869 (
+	.A(n_6869),
+	.Y(n_6868), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2337_u_soc_xbar_to_dccm_a_data__20 (
+	.A(\u_soc_xbar_to_dccm[a_data] [20]),
+	.X(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2336_u_soc_xbar_to_dccm_a_data__30 (
+	.A(FE_PDN4060_u_soc_xbar_to_dccm_a_data_30),
+	.X(FE_OFN1694_u_soc_xbar_to_dccm_a_data__30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2335_u_soc_xbar_to_dccm_a_data__19 (
+	.A(\u_soc_xbar_to_dccm[a_data] [19]),
+	.X(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2334_u_soc_xbar_to_dccm_a_data__17 (
+	.A(\u_soc_xbar_to_dccm[a_data] [17]),
+	.X(FE_OFN1707_u_soc_xbar_to_dccm_a_data__17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2333_n_10145 (
+	.A(n_10145),
+	.X(FE_OFN1785_n_10145), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2332_u_soc_xbar_to_dccm_a_data__18 (
+	.A(\u_soc_xbar_to_dccm[a_data] [18]),
+	.X(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2331_u_soc_xbar_to_dccm_a_data__4 (
+	.A(FE_OFN1722_u_soc_xbar_to_dccm_a_data__4),
+	.X(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2330_u_soc_xbar_to_dccm_a_data__4 (
+	.A(\u_soc_xbar_to_dccm[a_data] [4]),
+	.X(FE_OFN1722_u_soc_xbar_to_dccm_a_data__4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2329_u_soc_xbar_to_dccm_a_data__23 (
+	.A(FE_OFN1701_u_soc_xbar_to_dccm_a_data__23),
+	.Y(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2328_u_soc_xbar_to_dccm_a_data__23 (
+	.A(\u_soc_xbar_to_dccm[a_data] [23]),
+	.Y(FE_OFN1701_u_soc_xbar_to_dccm_a_data__23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2327_n_7382 (
+	.A(n_7381),
+	.Y(FE_OFN18164_n_7382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2326_n_7382 (
+	.A(n_7382),
+	.Y(n_7381), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2325_u_soc_u_top_u_core_instr_rdata_id_31 (
+	.A(FE_OFN18162_u_soc_u_top_u_core_instr_rdata_id_31),
+	.Y(FE_OFN18163_u_soc_u_top_u_core_instr_rdata_id_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2324_u_soc_u_top_u_core_instr_rdata_id_31 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[31]),
+	.Y(FE_OFN18162_u_soc_u_top_u_core_instr_rdata_id_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2323_u_soc_xbar_to_dccm_a_data__6 (
+	.A(\u_soc_xbar_to_dccm[a_data] [6]),
+	.X(FE_OFN1719_u_soc_xbar_to_dccm_a_data__6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2322_n_10146 (
+	.A(n_10146),
+	.X(FE_OFN1786_n_10146), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2321_u_soc_xbar_to_dccm_a_data__3 (
+	.A(FE_PDN3801_FE_OFN1723_u_soc_xbar_to_dccm_a_data__3),
+	.X(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC2320_u_soc_xbar_to_dccm_a_data__3 (
+	.A(\u_soc_xbar_to_dccm[a_data] [3]),
+	.X(FE_OFN1723_u_soc_xbar_to_dccm_a_data__3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2319_u_soc_xbar_to_dccm_a_data__16 (
+	.A(\u_soc_xbar_to_dccm[a_data] [16]),
+	.X(FE_OFN1708_u_soc_xbar_to_dccm_a_data__16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2318_u_soc_xbar_to_dccm_a_data__21 (
+	.A(\u_soc_xbar_to_dccm[a_data] [21]),
+	.X(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2317_u_soc_u_iccm_rdata4_1 (
+	.A(u_soc_u_iccm_rdata4[1]),
+	.X(FE_OFN820_u_soc_u_iccm_rdata4_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2316_u_soc_xbar_to_dccm_a_data__5 (
+	.A(FE_PDN3775_FE_OFN1721_u_soc_xbar_to_dccm_a_data__5),
+	.X(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2315_u_soc_xbar_to_dccm_a_data__5 (
+	.A(\u_soc_xbar_to_dccm[a_data] [5]),
+	.X(FE_OFN1721_u_soc_xbar_to_dccm_a_data__5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2314_u_soc_xbar_to_dccm_a_data__22 (
+	.A(\u_soc_xbar_to_dccm[a_data] [22]),
+	.X(FE_OFN1702_u_soc_xbar_to_dccm_a_data__22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2313_u_soc_xbar_to_dccm_a_data__11 (
+	.A(\u_soc_xbar_to_dccm[a_data] [11]),
+	.X(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2312_u_soc_xbar_to_dccm_a_data__1 (
+	.A(FE_OFN19104_u_soc_xbar_to_dccm_a_data__1),
+	.X(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2309_n_15881 (
+	.A(n_11443),
+	.Y(FE_OFN18157_n_15881), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2308_n_15881 (
+	.A(n_15881),
+	.Y(n_11443), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC2305_u_soc_u_top_u_core_alu_operator_ex_0 (
+	.A(n_11039),
+	.Y(FE_OFN18156_u_soc_u_top_u_core_alu_operator_ex_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2304_u_soc_u_top_u_core_alu_operator_ex_0 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[0]),
+	.Y(n_11039), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2303_n_2349 (
+	.A(n_2349),
+	.X(FE_OFN1528_n_2349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2302_u_soc_xbar_to_dccm_a_data__28 (
+	.A(\u_soc_xbar_to_dccm[a_data] [28]),
+	.X(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2301_u_soc_u_iccm_rdata4_17 (
+	.A(u_soc_u_iccm_rdata4[17]),
+	.X(FE_OFN803_u_soc_u_iccm_rdata4_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2300_u_soc_u_iccm_rdata4_0 (
+	.A(u_soc_u_iccm_rdata4[0]),
+	.X(FE_OFN821_u_soc_u_iccm_rdata4_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2299_u_soc_u_iccm_rdata4_16 (
+	.A(u_soc_u_iccm_rdata4[16]),
+	.X(FE_OFN804_u_soc_u_iccm_rdata4_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2298_n_3133 (
+	.A(n_3132),
+	.Y(FE_OFN1823_n_3133), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2297_n_3133 (
+	.A(n_3133),
+	.X(n_3132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2296_u_soc_xbar_to_dccm_a_data__2 (
+	.A(FE_PDN3824_FE_OFN1724_u_soc_xbar_to_dccm_a_data__2),
+	.X(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2295_u_soc_xbar_to_dccm_a_data__2 (
+	.A(\u_soc_xbar_to_dccm[a_data] [2]),
+	.X(FE_OFN1724_u_soc_xbar_to_dccm_a_data__2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2291_u_soc_u_iccm_rdata1_16 (
+	.A(u_soc_u_iccm_rdata1[16]),
+	.X(FE_OFN757_u_soc_u_iccm_rdata1_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2290_n_10155 (
+	.A(n_10155),
+	.X(FE_OFN1787_n_10155), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2289_n_9953 (
+	.A(n_9953),
+	.Y(n_13349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2288_n_8867 (
+	.A(n_8867),
+	.X(FE_OFN1602_n_8867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2287_u_soc_xbar_to_dccm_a_data__14 (
+	.A(FE_PDN3701_u_soc_xbar_to_dccm_a_data_14),
+	.X(FE_OFN1710_u_soc_xbar_to_dccm_a_data__14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2284_n_2683 (
+	.A(n_2683),
+	.Y(FE_OFN18152_n_2683), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2282_u_soc_xbar_to_dccm_a_data__15 (
+	.A(\u_soc_xbar_to_dccm[a_data] [15]),
+	.X(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2281_u_soc_xbar_to_dccm_a_data__29 (
+	.A(FE_PDN3698_u_soc_xbar_to_dccm_a_data_29),
+	.X(FE_OFN1695_u_soc_xbar_to_dccm_a_data__29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2279_u_soc_xbar_to_dccm_a_data__8 (
+	.A(\u_soc_xbar_to_dccm[a_data] [8]),
+	.X(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2278_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0 (
+	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.X(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2277_n_10044 (
+	.A(n_10044),
+	.X(FE_OFN1636_n_10044), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2276_n_15 (
+	.A(FE_OFN1073_n_15),
+	.Y(FE_OFN18151_n_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2275_n_15 (
+	.A(FE_OFN1073_n_15),
+	.X(n_13360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2270_n_11313 (
 	.A(n_11313),
 	.Y(n_11312), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2159_n_15 (
-	.A(FE_COEN4872_n_15),
-	.Y(n_13360), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2269_n_9954 (
+	.A(n_9954),
+	.Y(n_13347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2158_u_soc_lsu_to_xbar_a_address__21 (
-	.A(FE_COEN4265_u_soc_lsu_to_xbar_a_address_21),
-	.X(FE_OFN756_u_soc_lsu_to_xbar_a_address__21), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2268_u_soc_xbar_to_dccm_a_data__9 (
+	.A(\u_soc_xbar_to_dccm[a_data] [9]),
+	.X(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2157_n_13069 (
-	.A(n_13419),
-	.Y(FE_OFN18238_n_13069), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2267_n_113 (
+	.A(n_13507),
+	.Y(FE_OFN18148_n_113), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2156_n_13069 (
-	.A(n_13069),
-	.X(n_13419), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2155_n_2678 (
-	.A(n_2678),
-	.Y(FE_OFN18237_n_2678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2154_n_2678 (
-	.A(n_2678),
-	.X(FE_OFN18236_n_2678), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2153_n_2678 (
-	.A(n_2678),
-	.X(n_2677), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2152_n_130 (
-	.A(n_13511),
-	.Y(FE_OFN18235_n_130), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2150_n_8124 (
-	.A(n_8124),
-	.Y(n_8123), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2148_n_113 (
-	.A(FE_PSN4379_n_13507),
-	.Y(FE_OFN18234_n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2146_n_113 (
+   sky130_fd_sc_hd__inv_2 FE_OFC2265_n_113 (
 	.A(n_113),
 	.Y(n_13507), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2142_n_3080 (
+   sky130_fd_sc_hd__buf_2 FE_OFC2262_u_soc_xbar_to_dccm_a_data__10 (
+	.A(FE_PDN4075_u_soc_xbar_to_dccm_a_data_10),
+	.X(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2261_u_soc_xbar_to_dccm_a_data__0 (
+	.A(\u_soc_xbar_to_dccm[a_data] [0]),
+	.X(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2260_n_2388 (
+	.A(n_2388),
+	.X(FE_OFN1531_n_2388), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2257_n_3080 (
 	.A(n_3080),
-	.Y(FE_OFN18230_n_3080), 
+	.Y(n_3079), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2137_n_1854 (
-	.A(n_1853),
-	.Y(FE_OFN18227_n_1854), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2253_n_2354 (
+	.A(n_2354),
+	.X(FE_OFN1529_n_2354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2136_n_1854 (
-	.A(n_1854),
-	.Y(n_1853), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2251_n_2374 (
+	.A(n_2374),
+	.X(FE_OFN1530_n_2374), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2135_n_639 (
+   sky130_fd_sc_hd__inv_2 FE_OFC2250_n_11094 (
+	.A(n_11094),
+	.Y(n_13352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2249_n_10534 (
+	.A(n_10534),
+	.X(FE_OFN1795_n_10534), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2246_n_5836 (
+	.A(FE_OFN1829_n_5836),
+	.Y(n_5835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2245_n_5836 (
+	.A(n_5836),
+	.X(FE_OFN1829_n_5836), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2244_n_10153 (
+	.A(n_10153),
+	.Y(n_10152), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2242_n_10536 (
+	.A(n_10536),
+	.X(FE_OFN1797_n_10536), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2241_n_3030 (
+	.A(n_3029),
+	.Y(FE_OFN1753_n_3030), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2240_n_3030 (
+	.A(n_3030),
+	.X(n_3029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2228_u_soc_u_top_u_core_instr_rdata_id_25 (
+	.A(u_soc_u_top_u_core_instr_rdata_id[25]),
+	.X(FE_OFN18142_u_soc_u_top_u_core_instr_rdata_id_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2224_n_2285 (
+	.A(n_2285),
+	.Y(FE_OFN18141_n_2285), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2220_n_10537 (
+	.A(n_10537),
+	.X(FE_OFN1798_n_10537), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2212_n_625 (
+	.A(n_13510),
+	.Y(FE_OFN18139_n_625), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2211_n_625 (
+	.A(n_625),
+	.Y(n_13510), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2210_n_10535 (
+	.A(n_10535),
+	.X(FE_OFN1796_n_10535), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2206_n_9159 (
+	.A(n_9159),
+	.X(FE_OFN1632_n_9159), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2205_n_13252 (
+	.A(n_13252),
+	.Y(n_13855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2203_n_10532 (
+	.A(n_10532),
+	.X(FE_OFN1793_n_10532), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2201_n_10538 (
+	.A(n_10538),
+	.X(FE_OFN1799_n_10538), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2200_n_12719 (
+	.A(n_12719),
+	.X(FE_OFN1650_n_12719), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2198_n_639 (
 	.A(n_13524),
-	.Y(FE_OFN18226_n_639), 
+	.Y(FE_OFN18137_n_639), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2134_n_639 (
+   sky130_fd_sc_hd__inv_2 FE_OFC2197_n_639 (
 	.A(n_639),
 	.Y(n_13524), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2130_n_616 (
+   sky130_fd_sc_hd__buf_4 FE_OFC2196_n_10539 (
+	.A(n_10539),
+	.X(FE_OFN1800_n_10539), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2194_n_7253 (
+	.A(n_7253),
+	.Y(FE_OFN18136_n_7253), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC2186_n_130 (
+	.A(n_13511),
+	.Y(FE_OFN18132_n_130), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2185_n_130 (
+	.A(n_130),
+	.Y(n_13511), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2184_n_3020 (
+	.A(n_3019),
+	.Y(FE_OFN1749_n_3020), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2183_n_3020 (
+	.A(n_3020),
+	.Y(n_3019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2182_n_13261 (
+	.A(n_13261),
+	.Y(n_13866), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2176_n_496 (
+	.A(FE_OFN1079_n_496),
+	.Y(n_13361), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2175_n_496 (
+	.A(FE_OFN1079_n_496),
+	.X(FE_OFN1080_n_496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_12 FE_OFC2174_n_496 (
+	.A(n_496),
+	.X(FE_OFN1079_n_496), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2173_n_10864 (
+	.A(n_10864),
+	.X(FE_OFN1804_n_10864), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2172_n_9296 (
+	.A(n_9296),
+	.X(FE_OFN1635_n_9296), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2171_n_8124 (
+	.A(n_8124),
+	.Y(n_8123), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2167_n_616 (
 	.A(n_13509),
-	.Y(FE_OFN18225_n_616), 
+	.Y(FE_OFN18127_n_616), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC2128_n_616 (
-	.A(n_616),
-	.Y(n_13509), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2125_n_109 (
-	.A(FE_PSN4142_n_13502),
-	.Y(FE_OFN18223_n_109), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2124_n_109 (
-	.A(n_109),
-	.Y(n_13502), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2123_u_soc_lsu_to_xbar_a_address__25 (
-	.A(\u_soc_lsu_to_xbar[a_address] [25]),
-	.X(FE_OFN752_u_soc_lsu_to_xbar_a_address__25), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2121_u_soc_lsu_to_xbar_a_address__28 (
-	.A(\u_soc_lsu_to_xbar[a_address] [28]),
-	.X(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2119_n_2674 (
-	.A(n_2674),
-	.X(FE_OFN18221_n_2674), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2118_n_2674 (
-	.A(n_2674),
-	.Y(n_2673), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2114_n_119 (
+   sky130_fd_sc_hd__inv_2 FE_OFC2165_n_119 (
 	.A(n_13525),
-	.Y(FE_OFN18220_n_119), 
+	.Y(FE_OFN18126_n_119), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2113_n_119 (
+   sky130_fd_sc_hd__inv_2 FE_OFC2163_n_119 (
 	.A(n_119),
 	.Y(n_13525), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2112_u_soc_u_iccm_rdata4_0 (
-	.A(FE_OFN19421_u_soc_u_iccm_rdata4_0),
-	.X(FE_OFN700_u_soc_u_iccm_rdata4_0), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2162_n_11054 (
+	.A(FE_OFN1649_n_11054),
+	.X(FE_OFN18124_n_11054), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2111_n_6709 (
-	.A(FE_OFN998_n_6709),
-	.Y(FE_OFN18219_n_6709), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2158_n_619 (
+	.A(n_13515),
+	.Y(FE_OFN18122_n_619), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2108_n_6709 (
-	.A(n_6708),
-	.X(FE_OFN999_n_6709), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2157_n_619 (
+	.A(n_619),
+	.Y(n_13515), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2107_n_6709 (
-	.A(n_6709),
-	.Y(FE_OFN998_n_6709), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2153_n_2678 (
+	.A(FE_OFN1817_n_2678),
+	.Y(FE_OFN18119_n_2678), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2106_n_6709 (
-	.A(n_6709),
-	.X(n_6708), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2152_n_2678 (
+	.A(FE_OFN1817_n_2678),
+	.Y(FE_OFN18118_n_2678), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2105_u_soc_xbar_to_dccm_a_address__6 (
-	.A(\u_soc_xbar_to_dccm[a_address] [6]),
-	.X(FE_OFN771_u_soc_xbar_to_dccm_a_address__6), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2151_n_2678 (
+	.A(FE_OFN1817_n_2678),
+	.X(n_2677), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2103_n_13082 (
-	.A(FE_OFN1086_n_13082),
-	.Y(n_13403), 
+   sky130_fd_sc_hd__buf_2 FE_OFC2150_n_2678 (
+	.A(n_2678),
+	.X(FE_OFN1817_n_2678), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2101_n_116 (
-	.A(FE_PSN4786_n_13503),
-	.Y(FE_OFN18215_n_116), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2149_n_1854 (
+	.A(n_1853),
+	.Y(FE_OFN18117_n_1854), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2098_n_135 (
-	.A(n_13508),
-	.Y(FE_OFN18214_n_135), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2148_n_1854 (
+	.A(n_1854),
+	.Y(n_1853), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2096_n_135 (
-	.A(FE_PSN4420_n_135),
-	.Y(n_13508), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2147_n_11176 (
+	.A(FE_OFN19047_n),
+	.X(FE_OFN18116_n_11176), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2095_n_5364 (
-	.A(FE_OFN976_n_5364),
-	.Y(n_5363), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2145_n_2420 (
+	.A(n_2419),
+	.X(FE_OFN18115_n_2420), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2094_n_5364 (
-	.A(n_5364),
-	.X(FE_OFN976_n_5364), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2144_n_2420 (
+	.A(n_2419),
+	.Y(FE_OFN18114_n_2420), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2092_n_142 (
-	.A(n_142),
-	.X(FE_OFN1399_n_142), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2143_n_2420 (
+	.A(n_2420),
+	.Y(n_2419), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC2091_n_142 (
-	.A(FE_PSN4626_n_142),
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2141_n_142 (
+	.A(FE_OFN18112_n_142),
+	.X(FE_OFN18113_n_142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2139_n_142 (
+	.A(FE_OFN18112_n_142),
 	.Y(u_soc_u_top_u_core_alu_operand_b_ex[3]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2090_n_620 (
-	.A(n_13518),
-	.Y(FE_OFN18211_n_620), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2137_n_6921 (
+	.A(n_6920),
+	.Y(FE_OFN18111_n_6921), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2088_n_620 (
+   sky130_fd_sc_hd__inv_2 FE_OFC2134_n_6921 (
+	.A(n_6921),
+	.Y(n_6920), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2133_n_13391 (
+	.A(n_13391),
+	.X(FE_OFN1652_n_13391), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2132_n_13083 (
+	.A(FE_OFN1254_n_13083),
+	.Y(n_13426), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2129_n_109 (
+	.A(n_13502),
+	.Y(FE_OFN18108_n_109), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2128_n_109 (
+	.A(n_109),
+	.Y(n_13502), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2125_n_13428 (
+	.A(n_13428),
+	.X(FE_OFN1656_n_13428), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2122_n_7965 (
+	.A(n_7965),
+	.X(FE_OFN1199_n_7965), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2121_n_13078 (
+	.A(n_13078),
+	.X(FE_OFN1252_n_13078), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2120_n_7907 (
+	.A(n_7907),
+	.X(FE_OFN1586_n_7907), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2115_n_13101 (
+	.A(n_13101),
+	.X(FE_OFN1264_n_13101), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2113_n_13093 (
+	.A(n_13093),
+	.X(FE_OFN1262_n_13093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2112_n_620 (
+	.A(n_13518),
+	.Y(FE_OFN18104_n_620), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2111_n_620 (
 	.A(n_620),
 	.Y(n_13518), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_OFC2086_u_soc_u_tcam_n_27 (
-	.A(u_soc_u_tcam_n_27),
-	.Y(n_10545), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2110_n_135 (
+	.A(n_13508),
+	.Y(FE_OFN18103_n_135), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2085_n_7907 (
-	.A(n_7907),
-	.X(FE_OFN1509_n_7907), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2109_n_135 (
+	.A(n_135),
+	.Y(n_13508), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2082_n_6915 (
-	.A(n_6915),
-	.X(FE_OFN1503_n_6915), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2080_n_2676 (
-	.A(n_2676),
-	.Y(FE_OFN18208_n_2676), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2079_n_2676 (
-	.A(n_2676),
-	.X(n_2675), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2077_n_11218 (
-	.A(n_11217),
-	.Y(FE_OFN18207_n_11218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2076_n_11218 (
-	.A(n_11218),
-	.Y(n_11217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2075_n_638 (
-	.A(n_13514),
-	.Y(FE_OFN18206_n_638), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2072_n_13428 (
-	.A(n_13428),
-	.X(FE_OFN1587_n_13428), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2071_n_7965 (
-	.A(n_7965),
-	.X(FE_OFN1006_n_7965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2070_n_13083 (
-	.A(FE_OFN1087_n_13083),
-	.Y(n_13426), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2069_n_13083 (
-	.A(n_13083),
-	.X(FE_OFN1087_n_13083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2065_u_soc_u_top_u_core_alu_operand_b_ex_16 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[16]),
-	.X(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2062_n_13087 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2108_n_13087 (
 	.A(n_13087),
-	.X(FE_OFN1090_n_13087), 
+	.X(FE_OFN1258_n_13087), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2061_n_13070 (
-	.A(FE_OFN1080_n_13070),
-	.Y(n_13423), 
+   sky130_fd_sc_hd__buf_4 FE_OFC2106_n_6915 (
+	.A(n_6915),
+	.X(FE_OFN1580_n_6915), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2059_n_2680 (
-	.A(n_2680),
-	.Y(FE_OFN18204_n_2680), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2105_n_13082 (
+	.A(FE_OFN1253_n_13082),
+	.Y(n_13403), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2058_n_2680 (
-	.A(n_2680),
-	.X(n_2679), 
+   sky130_fd_sc_hd__inv_1 FE_OFC2102_n_577 (
+	.A(FE_OFN19016_n_577),
+	.Y(FE_OFN18101_n_577), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2057_n_141 (
-	.A(FE_OFN1398_n_141),
+   sky130_fd_sc_hd__buf_2 FE_OFC2099_n_1999 (
+	.A(n_1999),
+	.X(FE_OFN18100_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2098_n_1999 (
+	.A(n_1999),
+	.X(FE_OFN1815_n_1999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2097_n_141 (
+	.A(FE_OFN1439_n_141),
 	.Y(n_13526), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2056_n_141 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2096_n_141 (
 	.A(n_141),
-	.X(FE_OFN1398_n_141), 
+	.X(FE_OFN1439_n_141), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2053_n_13076 (
-	.A(FE_OFN1083_n_13076),
-	.Y(n_13398), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2051_n_7253 (
-	.A(n_7253),
-	.Y(n_7252), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2050_n_13094 (
-	.A(n_13094),
-	.X(FE_OFN1097_n_13094), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2048_n_13097 (
+   sky130_fd_sc_hd__buf_2 FE_OFC2093_n_13097 (
 	.A(n_13097),
-	.X(FE_OFN1098_n_13097), 
+	.X(FE_OFN1263_n_13097), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2047_n_7607 (
+   sky130_fd_sc_hd__buf_2 FE_OFC2092_n_2000 (
+	.A(n_2000),
+	.X(FE_OFN18099_n_2000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2090_n_7609 (
+	.A(n_7608),
+	.Y(FE_OFN18098_n_7609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2089_n_7609 (
+	.A(n_7608),
+	.Y(FE_OFN18097_n_7609), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2088_n_7609 (
+	.A(n_7609),
+	.Y(n_7608), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2087_n_2681 (
+	.A(n_2681),
+	.X(FE_OFN18096_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2086_n_2681 (
+	.A(n_2681),
+	.X(FE_OFN1121_n_2681), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2085_n_1844 (
+	.A(n_1844),
+	.X(FE_OFN1448_n_1844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2084_n_5364 (
+	.A(FE_OFN1167_n_5364),
+	.Y(n_5363), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2083_n_5364 (
+	.A(n_5364),
+	.X(FE_OFN1167_n_5364), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2082_n_7607 (
+	.A(n_7606),
+	.Y(FE_OFN18095_n_7607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2081_n_7607 (
+	.A(n_7606),
+	.Y(FE_OFN18094_n_7607), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2080_n_7607 (
 	.A(n_7607),
 	.Y(n_7606), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2046_n_7609 (
-	.A(FE_OFN18202_n_7609),
-	.Y(FE_OFN18203_n_7609), 
+   sky130_fd_sc_hd__inv_2 FE_OFC2074_n_13091 (
+	.A(n_13440),
+	.Y(FE_OFN18093_n_13091), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2045_n_7609 (
-	.A(n_7609),
-	.Y(FE_OFN18202_n_7609), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2040_n_1217 (
-	.A(n_1216),
-	.Y(FE_OFN1401_n_1217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2039_n_1217 (
-	.A(n_1217),
-	.X(n_1216), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2038_n_13102 (
-	.A(n_13102),
-	.X(FE_OFN1103_n_13102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2037_n_13090 (
-	.A(FE_OFN1093_n_13090),
-	.Y(n_13413), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2036_n_13090 (
-	.A(n_13090),
-	.Y(FE_OFN1093_n_13090), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2035_n_2000 (
-	.A(n_2000),
-	.X(FE_OFN1645_n_2000), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2033_n_1992 (
-	.A(n_1992),
-	.X(FE_OFN1643_n_1992), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2028_n_1999 (
-	.A(n_1999),
-	.X(FE_OFN1644_n_1999), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2025_u_soc_xbar_to_dccm_a_address__9 (
-	.A(\u_soc_xbar_to_dccm[a_address] [9]),
-	.X(FE_OFN768_u_soc_xbar_to_dccm_a_address__9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2024_u_soc_lsu_to_xbar_a_address__23 (
-	.A(\u_soc_lsu_to_xbar[a_address] [23]),
-	.X(FE_OFN754_u_soc_lsu_to_xbar_a_address__23), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC2023_u_soc_xbar_to_dccm_a_address__11 (
-	.A(\u_soc_xbar_to_dccm[a_address] [11]),
-	.X(FE_OFN766_u_soc_xbar_to_dccm_a_address__11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2022_n_13075 (
-	.A(FE_PSN4777_FE_OFN1082_n_13075),
-	.Y(n_13396), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC2021_n_13075 (
-	.A(n_13075),
-	.Y(FE_OFN1082_n_13075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2019_n_13099 (
-	.A(n_13099),
-	.X(FE_OFN1100_n_13099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2018_n_13093 (
-	.A(n_13093),
-	.X(FE_OFN1096_n_13093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2017_n_13074 (
-	.A(n_13395),
-	.Y(FE_OFN1081_n_13074), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC2016_n_13074 (
-	.A(n_13074),
-	.Y(n_13395), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2013_n_577 (
-	.A(FE_OFN19533_n_577),
-	.Y(u_soc_u_top_u_core_alu_operand_b_ex[4]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2010_n_13089 (
-	.A(FE_OFN1092_n_13089),
-	.Y(n_13448), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC2009_n_13089 (
-	.A(n_13089),
-	.X(FE_OFN1092_n_13089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2008_n_1843 (
-	.A(n_1843),
-	.X(FE_OFN1642_n_1843), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC2007_n_1407 (
-	.A(n_1407),
-	.X(FE_OFN1640_n_1407), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC2004_n_13098 (
-	.A(n_13098),
-	.X(FE_OFN1099_n_13098), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2001_n_13086 (
-	.A(FE_OFN1089_n_13086),
-	.Y(n_13414), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC2000_n_13086 (
-	.A(n_13086),
-	.Y(FE_OFN1089_n_13086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1999_n_13091 (
-	.A(FE_OFN1094_n_13091),
+   sky130_fd_sc_hd__inv_2 FE_OFC2073_n_13091 (
+	.A(n_13091),
 	.Y(n_13440), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC1998_n_13091 (
-	.A(n_13091),
-	.Y(FE_OFN1094_n_13091), 
+   sky130_fd_sc_hd__buf_4 FE_OFC2072_n_1992 (
+	.A(n_1992),
+	.X(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1997_n_2681 (
-	.A(n_2681),
-	.X(FE_OFN928_n_2681), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1996_n_1842 (
-	.A(n_1842),
-	.X(FE_OFN1641_n_1842), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1994_n_13100 (
-	.A(n_13100),
-	.X(FE_OFN1101_n_13100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1993_n_13077 (
-	.A(n_13399),
-	.Y(FE_OFN1084_n_13077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC1992_n_13077 (
-	.A(n_13077),
-	.Y(n_13399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1991_u_soc_lsu_to_xbar_a_address__27 (
-	.A(\u_soc_lsu_to_xbar[a_address] [27]),
-	.X(FE_OFN750_u_soc_lsu_to_xbar_a_address__27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1990_n_3075 (
+   sky130_fd_sc_hd__buf_2 FE_OFC2071_n_3075 (
 	.A(n_3075),
-	.X(FE_OFN1681_n_3075), 
+	.X(FE_OFN18092_n_3075), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1989_n_3074 (
+   sky130_fd_sc_hd__buf_2 FE_OFC2070_n_3075 (
+	.A(n_3075),
+	.X(FE_OFN1881_n_3075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2069_n_3074 (
 	.A(n_3074),
-	.X(FE_OFN1680_n_3074), 
+	.X(FE_OFN18091_n_3074), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1988_n_13380 (
+   sky130_fd_sc_hd__buf_2 FE_OFC2068_n_3074 (
+	.A(n_3074),
+	.X(FE_OFN1880_n_3074), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2067_n_13077 (
+	.A(n_13399),
+	.Y(FE_OFN1251_n_13077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2065_n_2674 (
+	.A(FE_OFN1535_n_2674),
+	.Y(FE_OFN18090_n_2674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2064_n_2674 (
+	.A(FE_OFN1535_n_2674),
+	.Y(n_2673), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2063_n_2674 (
+	.A(n_2674),
+	.X(FE_OFN1535_n_2674), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2062_n_301 (
+	.A(u_soc_u_top_u_core_id_in_ready),
+	.Y(FE_OFN18089_n_301), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2061_n_13075 (
+	.A(FE_OFN1249_n_13075),
+	.Y(n_13396), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__bufinv_8 FE_OFC2060_n_13075 (
+	.A(n_13075),
+	.Y(FE_OFN1249_n_13075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2059_n_13086 (
+	.A(FE_OFN1257_n_13086),
+	.Y(n_13414), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2058_n_13086 (
+	.A(n_13086),
+	.Y(FE_OFN1257_n_13086), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2057_n_2680 (
+	.A(FE_OFN1536_n_2680),
+	.Y(FE_OFN18088_n_2680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2056_n_2680 (
+	.A(FE_OFN1536_n_2680),
+	.X(n_2679), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2055_n_2680 (
+	.A(n_2680),
+	.X(FE_OFN1536_n_2680), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2054_n_13070 (
+	.A(FE_OFN1246_n_13070),
+	.Y(n_13423), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2053_n_13070 (
+	.A(n_13070),
+	.X(FE_OFN1246_n_13070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2050_n_1407 (
+	.A(n_1407),
+	.X(FE_OFN1445_n_1407), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2049_n_13425 (
+	.A(n_13425),
+	.X(FE_OFN1878_n_13425), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC2048_n_13422 (
+	.A(n_13422),
+	.X(FE_OFN1654_n_13422), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2047_n_13090 (
+	.A(FE_OFN1260_n_13090),
+	.Y(n_13413), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2046_n_13090 (
+	.A(n_13090),
+	.Y(FE_OFN1260_n_13090), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2045_n_13089 (
+	.A(FE_OFN1259_n_13089),
+	.Y(n_13448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2044_n_13089 (
+	.A(n_13089),
+	.Y(FE_OFN1259_n_13089), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2043_n_13092 (
+	.A(FE_OFN1261_n_13092),
+	.Y(n_13430), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2041_n_1843 (
+	.A(n_1843),
+	.X(FE_OFN1813_n_1843), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC2040_n_1842 (
+	.A(n_1842),
+	.X(FE_OFN1811_n_1842), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2039_n_1227 (
+	.A(n_1226),
+	.Y(FE_OFN18087_n_1227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2038_n_1227 (
+	.A(n_1227),
+	.Y(n_1226), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2037_n_6709 (
+	.A(FE_OFN1191_n_6709),
+	.X(FE_OFN1192_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2036_n_6709 (
+	.A(FE_OFN18242_n_6709),
+	.Y(n_6708), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2035_n_6709 (
+	.A(FE_OFN18242_n_6709),
+	.X(FE_OFN1191_n_6709), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_6 FE_OFC2034_n_7797 (
+	.A(FE_PSN3990_FE_OFN1775_n_7797),
+	.Y(FE_OFN18086_n_7797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2033_n_7797 (
+	.A(n_7797),
+	.Y(FE_OFN1775_n_7797), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC2030_n_13380 (
+	.A(FE_OFN1266_n_13380),
+	.X(FE_OFN18084_n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2029_n_13380 (
+	.A(FE_OFN1266_n_13380),
+	.X(FE_OFN18083_n_13380), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC2028_n_13380 (
 	.A(n_475),
-	.X(FE_OFN18196_n_13380), 
+	.Y(FE_OFN1266_n_13380), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1987_n_13380 (
+   sky130_fd_sc_hd__inv_2 FE_OFC2027_n_13380 (
 	.A(n_13380),
-	.X(n_475), 
+	.Y(n_475), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1985_u_soc_xbar_to_dccm_a_address__7 (
-	.A(\u_soc_xbar_to_dccm[a_address] [7]),
-	.X(FE_OFN770_u_soc_xbar_to_dccm_a_address__7), 
+   sky130_fd_sc_hd__buf_6 FE_OFC2019_n_13389 (
+	.A(n_13389),
+	.X(FE_OFN1651_n_13389), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1983_u_soc_lsu_to_xbar_a_address__24 (
-	.A(\u_soc_lsu_to_xbar[a_address] [24]),
-	.X(FE_OFN753_u_soc_lsu_to_xbar_a_address__24), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1996_u_soc_data_wmask_1 (
+	.A(FE_OFN934_u_soc_data_wmask_1),
+	.X(FE_OFN18064_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1979_n_10953 (
-	.A(n_10953),
-	.X(FE_OFN1580_n_10953), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1993_u_soc_data_wmask_0 (
+	.A(FE_OFN940_u_soc_data_wmask_0),
+	.X(FE_OFN18061_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1974_u_soc_instr_wdata_8 (
-	.A(FE_OFN567_u_soc_instr_wdata_8),
-	.X(FE_OFN18192_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC1973_u_soc_data_wdata_23 (
-	.A(FE_OFN18188_n),
-	.Y(FE_OFN18191_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1972_u_soc_data_wdata_23 (
-	.A(FE_OFN18188_n),
-	.Y(FE_OFN18190_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1971_u_soc_data_wdata_23 (
-	.A(FE_OFN1245_u_soc_data_wdata_23),
-	.X(FE_OFN18189_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1970_u_soc_data_wdata_23 (
-	.A(FE_OFN1245_u_soc_data_wdata_23),
-	.Y(FE_OFN18188_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1967_u_soc_u_tcam_rdata_0 (
-	.A(FE_PDN19788_u_soc_u_tcam_rdata_0),
-	.X(FE_OFN18185_u_soc_u_tcam_rdata_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1965_u_soc_u_tcam_rdata_3 (
-	.A(u_soc_u_tcam_rdata[3]),
-	.X(FE_OFN18183_u_soc_u_tcam_rdata_3), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1932_u_soc_u_iccm_rdata3_5 (
-	.A(u_soc_u_iccm_rdata3[5]),
-	.X(FE_OFN18150_u_soc_u_iccm_rdata3_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1930_u_soc_u_iccm_rdata3_7 (
-	.A(FE_PDN3994_u_soc_u_iccm_rdata3_7),
-	.X(FE_OFN18148_u_soc_u_iccm_rdata3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1915_u_soc_u_iccm_rdata3_22 (
-	.A(FE_PDN4246_u_soc_u_iccm_rdata3_22),
-	.X(FE_OFN18133_u_soc_u_iccm_rdata3_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1846_u_soc_u_dccm_rdata3_7 (
-	.A(FE_PDN4057_u_soc_u_dccm_rdata3_7),
-	.X(FE_OFN18064_u_soc_u_dccm_rdata3_7), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1844_u_soc_u_dccm_rdata3_9 (
-	.A(FE_PDN4239_u_soc_u_dccm_rdata3_9),
-	.X(FE_OFN18062_u_soc_u_dccm_rdata3_9), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1843_u_soc_u_dccm_rdata3_10 (
-	.A(FE_PDN4044_u_soc_u_dccm_rdata3_10),
-	.X(FE_OFN18061_u_soc_u_dccm_rdata3_10), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1842_u_soc_u_dccm_rdata3_11 (
-	.A(FE_PDN4017_u_soc_u_dccm_rdata3_11),
-	.X(FE_OFN18060_u_soc_u_dccm_rdata3_11), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1837_u_soc_u_dccm_rdata3_16 (
-	.A(FE_PDN4608_u_soc_u_dccm_rdata3_16),
-	.X(FE_OFN18055_u_soc_u_dccm_rdata3_16), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1836_u_soc_u_dccm_rdata3_17 (
-	.A(FE_PDN3991_u_soc_u_dccm_rdata3_17),
-	.X(FE_OFN18054_u_soc_u_dccm_rdata3_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1834_u_soc_u_dccm_rdata3_19 (
-	.A(FE_PDN3969_u_soc_u_dccm_rdata3_19),
-	.X(FE_OFN18052_u_soc_u_dccm_rdata3_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1833_u_soc_u_dccm_rdata3_20 (
-	.A(FE_PDN4523_u_soc_u_dccm_rdata3_20),
-	.X(FE_OFN18051_u_soc_u_dccm_rdata3_20), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1832_u_soc_u_dccm_rdata3_21 (
-	.A(FE_PDN4022_u_soc_u_dccm_rdata3_21),
-	.X(FE_OFN18050_u_soc_u_dccm_rdata3_21), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1831_u_soc_u_dccm_rdata3_22 (
-	.A(FE_PDN3993_u_soc_u_dccm_rdata3_22),
-	.X(FE_OFN18049_u_soc_u_dccm_rdata3_22), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1810_u_soc_data_wdata_31 (
-	.A(FE_OFN170_u_soc_data_wdata_31),
-	.X(FE_OFN18028_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1809_u_soc_data_wdata_21 (
-	.A(FE_OFN197_u_soc_data_wdata_21),
-	.X(FE_OFN18027_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1808_u_soc_data_wdata_20 (
-	.A(FE_OFN205_u_soc_data_wdata_20),
-	.X(FE_OFN18026_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1807_u_soc_instr_wdata_31 (
-	.A(u_soc_instr_wdata[31]),
-	.X(FE_OFN18025_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1801_u_soc_instr_wdata_7 (
-	.A(FE_OFN573_u_soc_instr_wdata_7),
-	.X(FE_OFN18019_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1785_logic_0_2_net (
-	.A(logic_0_2_net),
-	.X(FE_OFN18003_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1783_logic_0_7_net (
-	.A(logic_0_7_net),
-	.X(FE_OFN18001_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1763_u_soc_data_we (
-	.A(FE_PDN3942_FE_OFN18768_FE_OFN1126_u_soc_data_we),
-	.X(FE_OFN1763_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC1758_u_soc_data_wdata_22 (
-	.A(FE_OFN1755_n),
-	.Y(FE_OFN1758_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1757_u_soc_data_wdata_22 (
-	.A(FE_OFN1755_n),
-	.Y(FE_OFN1757_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1755_u_soc_data_wdata_22 (
-	.A(FE_OFN1249_u_soc_data_wdata_22),
-	.Y(FE_OFN1755_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC1753_u_soc_data_wdata_19 (
-	.A(FE_OFN1749_n),
-	.Y(FE_OFN1753_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1752_u_soc_data_wdata_19 (
-	.A(FE_OFN1749_n),
-	.Y(FE_OFN1752_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1751_u_soc_data_wdata_19 (
-	.A(FE_PDN4021_FE_OFN1253_u_soc_data_wdata_19),
-	.X(FE_OFN1751_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1749_u_soc_data_wdata_19 (
-	.A(FE_OFN1253_u_soc_data_wdata_19),
-	.Y(FE_OFN1749_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1748_u_soc_data_wdata_18 (
-	.A(FE_OFN1745_n),
-	.Y(FE_OFN1748_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC1747_u_soc_data_wdata_18 (
-	.A(FE_OFN1745_n),
-	.Y(FE_OFN1747_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1745_u_soc_data_wdata_18 (
-	.A(FE_OFN1256_u_soc_data_wdata_18),
-	.Y(FE_OFN1745_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1743_u_soc_data_wdata_16 (
-	.A(FE_OFN1740_n),
-	.Y(FE_OFN1743_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC1742_u_soc_data_wdata_16 (
-	.A(FE_OFN1740_n),
-	.Y(FE_OFN1742_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1740_u_soc_data_wdata_16 (
-	.A(FE_OFN1260_u_soc_data_wdata_16),
-	.Y(FE_OFN1740_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC1738_u_soc_instr_wdata_2 (
-	.A(FE_OFN1735_n),
-	.Y(FE_OFN1738_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1737_u_soc_instr_wdata_2 (
-	.A(FE_OFN1735_n),
-	.Y(FE_OFN1737_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1736_u_soc_instr_wdata_2 (
-	.A(FE_OFN19691_FE_OFN1281_u_soc_instr_wdata_2),
-	.X(FE_OFN1736_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1735_u_soc_instr_wdata_2 (
-	.A(FE_OFN1281_u_soc_instr_wdata_2),
-	.Y(FE_OFN1735_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC1733_u_soc_instr_wdata_1 (
-	.A(FE_OFN1730_n),
-	.Y(FE_OFN1733_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1732_u_soc_instr_wdata_1 (
-	.A(FE_OFN1730_n),
-	.Y(FE_OFN1732_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1730_u_soc_instr_wdata_1 (
-	.A(FE_OFN1285_u_soc_instr_wdata_1),
-	.Y(FE_OFN1730_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1728_u_soc_data_wmask_2 (
-	.A(FE_OFN1725_n),
-	.Y(FE_OFN1728_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1725_u_soc_data_wmask_2 (
-	.A(FE_OFN1309_u_soc_data_wmask_2),
-	.Y(FE_OFN1725_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1722_u_soc_data_wmask_1 (
-	.A(FE_OFN1315_u_soc_data_wmask_1),
-	.X(FE_OFN1722_n), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1679_n_8544 (
-	.A(n_8544),
-	.X(FE_OFN1679_n_8544), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1678_n_8447 (
-	.A(n_8447),
-	.X(FE_OFN1678_n_8447), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1677_n_8287 (
-	.A(n_8287),
-	.X(FE_OFN1677_n_8287), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1676_n_8285 (
-	.A(n_8285),
-	.X(FE_OFN1676_n_8285), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1675_n_8283 (
-	.A(n_8283),
-	.X(FE_OFN1675_n_8283), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1674_n_8085 (
-	.A(n_8085),
-	.X(FE_OFN1674_n_8085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1673_n_8083 (
-	.A(n_8083),
-	.X(FE_OFN1673_n_8083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1672_n_8081 (
-	.A(n_8081),
-	.X(FE_OFN1672_n_8081), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1671_n_8079 (
-	.A(n_8079),
-	.X(FE_OFN1671_n_8079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1670_n_8077 (
-	.A(n_8077),
-	.X(FE_OFN1670_n_8077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1669_n_8075 (
-	.A(n_8075),
-	.X(FE_OFN1669_n_8075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1668_n_7913 (
-	.A(n_7913),
-	.X(FE_OFN1668_n_7913), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1667_n_7911 (
-	.A(n_7911),
-	.X(FE_OFN1667_n_7911), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1666_n_7909 (
-	.A(n_7909),
-	.X(FE_OFN1666_n_7909), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1665_n_7795 (
-	.A(n_7795),
-	.X(FE_OFN1665_n_7795), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1664_n_7793 (
-	.A(n_7793),
-	.X(FE_OFN1664_n_7793), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1663_n_7791 (
-	.A(n_7791),
-	.X(FE_OFN1663_n_7791), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1662_n_7789 (
-	.A(n_7789),
-	.X(FE_OFN1662_n_7789), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1661_n_7787 (
-	.A(n_7787),
-	.X(FE_OFN1661_n_7787), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1660_n_7785 (
-	.A(n_7785),
-	.X(FE_OFN1660_n_7785), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1659_n_7783 (
-	.A(n_7783),
-	.X(FE_OFN1659_n_7783), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1658_n_7781 (
-	.A(n_7781),
-	.X(FE_OFN1658_n_7781), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1657_n_7779 (
-	.A(n_7779),
-	.X(FE_OFN1657_n_7779), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1656_n_7777 (
-	.A(n_7777),
-	.X(FE_OFN1656_n_7777), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1655_n_7775 (
-	.A(n_7775),
-	.X(FE_OFN1655_n_7775), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1654_n_7773 (
-	.A(n_7773),
-	.X(FE_OFN1654_n_7773), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1653_n_7617 (
-	.A(n_7617),
-	.X(FE_OFN1653_n_7617), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1652_n_7615 (
-	.A(n_7615),
-	.X(FE_OFN1652_n_7615), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1651_n_7613 (
-	.A(n_7613),
-	.X(FE_OFN1651_n_7613), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1650_n_7611 (
-	.A(n_7611),
-	.X(FE_OFN1650_n_7611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1649_n_7557 (
-	.A(n_7557),
-	.X(FE_OFN1649_n_7557), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1648_n_7555 (
-	.A(n_7555),
-	.X(FE_OFN1648_n_7555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1646_n_3077 (
-	.A(n_3077),
-	.X(FE_OFN1646_n_3077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1639_n_16011 (
-	.A(n_16011),
-	.X(FE_OFN1639_n_16011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1636_n_10944 (
-	.A(n_10944),
-	.X(FE_OFN1636_n_10944), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1635_n_10943 (
-	.A(n_10943),
-	.X(FE_OFN1635_n_10943), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1632_n_10762 (
-	.A(n_10762),
-	.X(FE_OFN1632_n_10762), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1631_n_10756 (
-	.A(n_10756),
-	.X(FE_OFN1631_n_10756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1630_n_10754 (
-	.A(n_10754),
-	.X(FE_OFN1630_n_10754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1629_n_10748 (
-	.A(n_10748),
-	.X(FE_OFN1629_n_10748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1628_n_10746 (
-	.A(n_10746),
-	.X(FE_OFN1628_n_10746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1627_n_10744 (
-	.A(n_10744),
-	.X(FE_OFN1627_n_10744), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1626_n_10736 (
-	.A(n_10736),
-	.X(FE_OFN1626_n_10736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1621_n_6733 (
-	.A(n_6733),
-	.X(FE_OFN1621_n_6733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1620_n_5856 (
-	.A(n_5856),
-	.X(FE_OFN1620_n_5856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1619_n_5852 (
-	.A(FE_COEN4701_n_5852),
-	.X(FE_OFN1619_n_5852), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1618_n_5846 (
-	.A(n_5846),
-	.X(FE_OFN1618_n_5846), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1617_n_5836 (
-	.A(n_5836),
-	.X(FE_OFN1617_n_5836), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1616_n_5834 (
-	.A(n_5834),
-	.X(FE_OFN1616_n_5834), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1615_n_5830 (
-	.A(n_5830),
-	.X(FE_OFN1615_n_5830), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1614_n_5826 (
-	.A(FE_COEN4702_n_5826),
-	.X(FE_OFN1614_n_5826), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1613_n_3011 (
-	.A(n_3011),
-	.X(FE_OFN1613_n_3011), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1612_n_3007 (
-	.A(n_3007),
-	.X(FE_OFN1612_n_3007), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1611_n_3001 (
-	.A(n_3001),
-	.X(FE_OFN1611_n_3001), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1610_n_2991 (
-	.A(n_2991),
-	.X(FE_OFN1610_n_2991), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1609_n_2989 (
-	.A(n_2989),
-	.X(FE_OFN1609_n_2989), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1608_n_2244 (
-	.A(n_2244),
-	.X(FE_OFN1608_n_2244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1607_n_2241 (
-	.A(n_2241),
-	.X(FE_OFN1607_n_2241), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1606_n_1516 (
-	.A(n_1516),
-	.X(FE_OFN1606_n_1516), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1605_n_1513 (
-	.A(n_1513),
-	.X(FE_OFN1605_n_1513), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1594_u_soc_u_dccm_csb1 (
-	.A(FE_OFN1593_u_soc_u_dccm_csb1),
-	.Y(FE_OFN1594_u_soc_u_dccm_csb1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1593_u_soc_u_dccm_csb1 (
-	.A(u_soc_u_dccm_csb1),
-	.Y(FE_OFN1593_u_soc_u_dccm_csb1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1576_n_10256 (
-	.A(n_10256),
-	.X(FE_OFN1576_n_10256), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1575_n_10253 (
-	.A(n_10253),
-	.X(FE_OFN1575_n_10253), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1574_n_10248 (
-	.A(n_10248),
-	.X(FE_OFN1574_n_10248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1573_n_10229 (
-	.A(n_10229),
-	.X(FE_OFN1573_n_10229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1572_n_10228 (
-	.A(n_10228),
-	.X(FE_OFN1572_n_10228), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1571_n_10225 (
-	.A(n_10225),
-	.X(FE_OFN1571_n_10225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1570_n_10220 (
-	.A(n_10220),
-	.X(FE_OFN1570_n_10220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1565_n_9006 (
-	.A(n_9006),
-	.X(FE_OFN1565_n_9006), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1564_n_9005 (
-	.A(n_9005),
-	.X(FE_OFN1564_n_9005), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1563_n_9004 (
-	.A(n_9004),
-	.X(FE_OFN1563_n_9004), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1562_n_8982 (
-	.A(n_8982),
-	.X(FE_OFN1562_n_8982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1561_n_8981 (
-	.A(n_8981),
-	.X(FE_OFN1561_n_8981), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1560_n_8980 (
-	.A(n_8980),
-	.X(FE_OFN1560_n_8980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1559_n_8979 (
-	.A(n_8979),
-	.X(FE_OFN1559_n_8979), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1558_n_8978 (
-	.A(n_8978),
-	.X(FE_OFN1558_n_8978), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1557_n_8977 (
-	.A(n_8977),
-	.X(FE_OFN1557_n_8977), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1556_n_8976 (
-	.A(n_8976),
-	.X(FE_OFN1556_n_8976), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1555_n_8975 (
-	.A(n_8975),
-	.X(FE_OFN1555_n_8975), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1554_n_8974 (
-	.A(n_8974),
-	.X(FE_OFN1554_n_8974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1553_n_8973 (
-	.A(n_8973),
-	.X(FE_OFN1553_n_8973), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1552_n_8972 (
-	.A(n_8972),
-	.X(FE_OFN1552_n_8972), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1551_n_8971 (
-	.A(n_8971),
-	.X(FE_OFN1551_n_8971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1550_n_8970 (
-	.A(n_8970),
-	.X(FE_OFN1550_n_8970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1549_n_8968 (
-	.A(FE_OFN1548_n_8968),
-	.X(FE_OFN1549_n_8968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1548_n_8968 (
-	.A(n_8968),
-	.X(FE_OFN1548_n_8968), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1547_n_8967 (
-	.A(n_8967),
-	.X(FE_OFN1547_n_8967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1546_n_8967 (
-	.A(n_8967),
-	.X(FE_OFN1546_n_8967), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1545_n_8966 (
-	.A(n_8966),
-	.X(FE_OFN1545_n_8966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1544_n_8966 (
-	.A(n_8966),
-	.X(FE_OFN1544_n_8966), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1543_n_8965 (
-	.A(n_8965),
-	.X(FE_OFN1543_n_8965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1542_n_8965 (
-	.A(n_8965),
-	.X(FE_OFN1542_n_8965), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1541_n_8964 (
-	.A(n_8964),
-	.X(FE_OFN1541_n_8964), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1540_n_8963 (
-	.A(FE_OFN1539_n_8963),
-	.X(FE_OFN1540_n_8963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1539_n_8963 (
-	.A(n_8963),
-	.X(FE_OFN1539_n_8963), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1538_n_8962 (
-	.A(n_8962),
-	.X(FE_OFN1538_n_8962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1537_n_8962 (
-	.A(n_8962),
-	.X(FE_OFN1537_n_8962), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1536_n_8961 (
-	.A(FE_OFN1535_n_8961),
-	.X(FE_OFN1536_n_8961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1535_n_8961 (
-	.A(n_8961),
-	.X(FE_OFN1535_n_8961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1534_n_8867 (
-	.A(n_8867),
-	.X(FE_OFN1534_n_8867), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1532_n_8759 (
-	.A(n_8759),
-	.X(FE_OFN1532_n_8759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1531_n_8758 (
-	.A(n_8758),
-	.X(FE_OFN1531_n_8758), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1530_n_8756 (
-	.A(n_8756),
-	.X(FE_OFN1530_n_8756), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1529_n_8755 (
-	.A(n_8755),
-	.X(FE_OFN1529_n_8755), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1528_n_8754 (
-	.A(n_8754),
-	.X(FE_OFN1528_n_8754), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1527_n_8753 (
-	.A(n_8753),
-	.X(FE_OFN1527_n_8753), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1526_n_8752 (
-	.A(n_8752),
-	.X(FE_OFN1526_n_8752), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1525_n_8751 (
-	.A(n_8751),
-	.X(FE_OFN1525_n_8751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1524_n_8750 (
-	.A(n_8750),
-	.X(FE_OFN1524_n_8750), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1523_n_8749 (
-	.A(n_8749),
-	.X(FE_OFN1523_n_8749), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1522_n_8748 (
-	.A(n_8748),
-	.X(FE_OFN1522_n_8748), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1521_n_8747 (
-	.A(n_8747),
-	.X(FE_OFN1521_n_8747), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1520_n_8746 (
-	.A(n_8746),
-	.X(FE_OFN1520_n_8746), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1519_n_8745 (
-	.A(n_8745),
-	.X(FE_OFN1519_n_8745), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1518_n_8737 (
-	.A(n_8737),
-	.X(FE_OFN1518_n_8737), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1517_n_8736 (
-	.A(n_8736),
-	.X(FE_OFN1517_n_8736), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1516_n_8735 (
-	.A(n_8735),
-	.X(FE_OFN1516_n_8735), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1515_n_8734 (
-	.A(n_8734),
-	.X(FE_OFN1515_n_8734), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1514_n_8733 (
-	.A(n_8733),
-	.X(FE_OFN1514_n_8733), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1513_n_8732 (
-	.A(n_8732),
-	.X(FE_OFN1513_n_8732), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1512_n_8730 (
-	.A(n_8730),
-	.X(FE_OFN1512_n_8730), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1511_n_8612 (
-	.A(n_8612),
-	.X(FE_OFN1511_n_8612), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1510_n_8611 (
-	.A(n_8611),
-	.X(FE_OFN1510_n_8611), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1508_n_7251 (
-	.A(n_7251),
-	.X(FE_OFN1508_n_7251), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1507_n_7250 (
-	.A(n_7250),
-	.X(FE_OFN1507_n_7250), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1506_n_7249 (
-	.A(n_7249),
-	.X(FE_OFN1506_n_7249), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1505_n_7248 (
-	.A(n_7248),
-	.X(FE_OFN1505_n_7248), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1504_n_7247 (
-	.A(n_7247),
-	.X(FE_OFN1504_n_7247), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1502_n_6724 (
-	.A(n_6724),
-	.X(FE_OFN1502_n_6724), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1501_n_6722 (
-	.A(n_6722),
-	.X(FE_OFN1501_n_6722), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1499_n_6718 (
-	.A(n_6718),
-	.X(FE_OFN1499_n_6718), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1498_n_6714 (
-	.A(n_6714),
-	.X(FE_OFN1498_n_6714), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1497_n_6713 (
-	.A(n_6713),
-	.X(FE_OFN1497_n_6713), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1496_n_6712 (
-	.A(n_6712),
-	.X(FE_OFN1496_n_6712), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1495_n_6711 (
-	.A(n_6711),
-	.X(FE_OFN1495_n_6711), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1494_n_6710 (
-	.A(n_6710),
-	.X(FE_OFN1494_n_6710), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1493_n_6490 (
-	.A(n_6490),
-	.X(FE_OFN1493_n_6490), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1492_n_5662 (
-	.A(n_5662),
-	.X(FE_OFN1492_n_5662), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1491_n_5661 (
-	.A(n_5661),
-	.X(FE_OFN1491_n_5661), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1490_n_5660 (
-	.A(n_5660),
-	.X(FE_OFN1490_n_5660), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1489_n_5659 (
-	.A(n_5659),
-	.X(FE_OFN1489_n_5659), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1488_n_5658 (
-	.A(n_5658),
-	.X(FE_OFN1488_n_5658), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1487_n_5657 (
-	.A(n_5657),
-	.X(FE_OFN1487_n_5657), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1486_n_5656 (
-	.A(n_5656),
-	.X(FE_OFN1486_n_5656), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1485_n_5655 (
-	.A(n_5655),
-	.X(FE_OFN1485_n_5655), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1484_n_5654 (
-	.A(n_5654),
-	.X(FE_OFN1484_n_5654), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1483_n_5653 (
-	.A(n_5653),
-	.X(FE_OFN1483_n_5653), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1482_n_5651 (
-	.A(n_5651),
-	.X(FE_OFN1482_n_5651), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1481_n_5649 (
-	.A(n_5649),
-	.X(FE_OFN1481_n_5649), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1480_n_5647 (
-	.A(n_5647),
-	.X(FE_OFN1480_n_5647), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1479_n_5376 (
-	.A(n_5376),
-	.X(FE_OFN1479_n_5376), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1478_n_5374 (
-	.A(n_5374),
-	.X(FE_OFN1478_n_5374), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1477_n_2980 (
-	.A(n_2980),
-	.X(FE_OFN1477_n_2980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1476_n_2542 (
-	.A(n_2542),
-	.X(FE_OFN1476_n_2542), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1475_n_2412 (
-	.A(n_2412),
-	.X(FE_OFN1475_n_2412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1474_n_2280 (
-	.A(n_2280),
-	.X(FE_OFN1474_n_2280), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1473_n_2279 (
-	.A(n_2279),
-	.X(FE_OFN1473_n_2279), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1472_n_2278 (
-	.A(n_2278),
-	.X(FE_OFN1472_n_2278), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1471_n_2277 (
-	.A(n_2277),
-	.X(FE_OFN1471_n_2277), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1470_n_2276 (
-	.A(n_2276),
-	.X(FE_OFN1470_n_2276), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1469_n_2275 (
-	.A(n_2275),
-	.X(FE_OFN1469_n_2275), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1468_n_2272 (
-	.A(n_2272),
-	.X(FE_OFN1468_n_2272), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1467_n_2271 (
-	.A(n_2271),
-	.X(FE_OFN1467_n_2271), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1466_n_2270 (
-	.A(n_2270),
-	.X(FE_OFN1466_n_2270), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1465_n_2269 (
-	.A(n_2269),
-	.X(FE_OFN1465_n_2269), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1464_n_2268 (
-	.A(n_2268),
-	.X(FE_OFN1464_n_2268), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1463_n_2267 (
-	.A(n_2267),
-	.X(FE_OFN1463_n_2267), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1462_n_2266 (
-	.A(n_2266),
-	.X(FE_OFN1462_n_2266), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1461_n_2265 (
-	.A(n_2265),
-	.X(FE_OFN1461_n_2265), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1460_n_2263 (
-	.A(n_2263),
-	.X(FE_OFN1460_n_2263), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1459_n_2262 (
-	.A(n_2262),
-	.X(FE_OFN1459_n_2262), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1458_n_2260 (
-	.A(n_2260),
-	.X(FE_OFN1458_n_2260), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1457_n_2259 (
-	.A(n_2259),
-	.X(FE_OFN1457_n_2259), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1456_n_2258 (
-	.A(n_2258),
-	.X(FE_OFN1456_n_2258), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1455_n_2257 (
-	.A(n_2257),
-	.X(FE_OFN1455_n_2257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1454_n_2145 (
-	.A(n_2145),
-	.X(FE_OFN1454_n_2145), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1453_n_2144 (
-	.A(n_2144),
-	.X(FE_OFN1453_n_2144), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1452_n_2143 (
-	.A(n_2143),
-	.X(FE_OFN1452_n_2143), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1451_n_2142 (
-	.A(n_2142),
-	.X(FE_OFN1451_n_2142), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1450_n_2141 (
-	.A(n_2141),
-	.X(FE_OFN1450_n_2141), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1449_n_2140 (
-	.A(n_2140),
-	.X(FE_OFN1449_n_2140), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1448_n_2139 (
-	.A(n_2139),
-	.X(FE_OFN1448_n_2139), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1447_n_2138 (
-	.A(n_2138),
-	.X(FE_OFN1447_n_2138), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1446_n_2134 (
-	.A(n_2134),
-	.X(FE_OFN1446_n_2134), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1445_n_2132 (
-	.A(n_2132),
-	.X(FE_OFN1445_n_2132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1444_n_2128 (
-	.A(n_2128),
-	.X(FE_OFN1444_n_2128), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1443_n_2124 (
-	.A(n_2124),
-	.X(FE_OFN1443_n_2124), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1442_n_2122 (
-	.A(n_2122),
-	.X(FE_OFN1442_n_2122), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1441_n_2120 (
-	.A(n_2120),
-	.X(FE_OFN1441_n_2120), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1440_n_2112 (
-	.A(n_2112),
-	.X(FE_OFN1440_n_2112), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1439_n_2110 (
-	.A(n_2110),
-	.X(FE_OFN1439_n_2110), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1438_n_2107 (
-	.A(n_2107),
-	.X(FE_OFN1438_n_2107), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1437_n_2104 (
-	.A(n_2104),
-	.X(FE_OFN1437_n_2104), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1436_n_2102 (
-	.A(n_2102),
-	.X(FE_OFN1436_n_2102), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1435_n_2100 (
-	.A(n_2100),
-	.X(FE_OFN1435_n_2100), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1434_n_2099 (
-	.A(n_2099),
-	.X(FE_OFN1434_n_2099), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1433_n_2097 (
-	.A(n_2097),
-	.X(FE_OFN1433_n_2097), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1432_n_2095 (
-	.A(n_2095),
-	.X(FE_OFN1432_n_2095), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1431_n_2093 (
-	.A(n_2093),
-	.X(FE_OFN1431_n_2093), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1430_n_2089 (
-	.A(n_2089),
-	.X(FE_OFN1430_n_2089), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1429_n_2085 (
-	.A(n_2085),
-	.X(FE_OFN1429_n_2085), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1428_n_2083 (
-	.A(n_2083),
-	.X(FE_OFN1428_n_2083), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1427_n_2079 (
-	.A(n_2079),
-	.X(FE_OFN1427_n_2079), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1426_n_2077 (
-	.A(n_2077),
-	.X(FE_OFN1426_n_2077), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1425_n_2075 (
-	.A(n_2075),
-	.X(FE_OFN1425_n_2075), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1424_n_2073 (
-	.A(n_2073),
-	.X(FE_OFN1424_n_2073), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1423_n_2071 (
-	.A(n_2071),
-	.X(FE_OFN1423_n_2071), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1422_n_2070 (
-	.A(n_2070),
-	.X(FE_OFN1422_n_2070), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1421_n_2069 (
-	.A(n_2069),
-	.X(FE_OFN1421_n_2069), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1420_n_2067 (
-	.A(n_2067),
-	.X(FE_OFN1420_n_2067), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1419_n_2065 (
-	.A(n_2065),
-	.X(FE_OFN1419_n_2065), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1418_n_2063 (
-	.A(n_2063),
-	.X(FE_OFN1418_n_2063), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1417_n_2061 (
-	.A(n_2061),
-	.X(FE_OFN1417_n_2061), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1416_n_2057 (
-	.A(n_2057),
-	.X(FE_OFN1416_n_2057), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1415_n_2055 (
-	.A(n_2055),
-	.X(FE_OFN1415_n_2055), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1413_n_2049 (
-	.A(n_2049),
-	.X(FE_OFN1413_n_2049), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1412_n_2045 (
-	.A(n_2045),
-	.X(FE_OFN1412_n_2045), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1409_n_2039 (
-	.A(n_2039),
-	.X(FE_OFN1409_n_2039), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1408_n_2037 (
-	.A(n_2037),
-	.X(FE_OFN1408_n_2037), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1407_n_2017 (
-	.A(n_2017),
-	.X(FE_OFN1407_n_2017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1406_n_2016 (
-	.A(n_2016),
-	.X(FE_OFN1406_n_2016), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1405_n_1850 (
-	.A(n_1850),
-	.X(FE_OFN1405_n_1850), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1404_n_1848 (
-	.A(n_1848),
-	.X(FE_OFN1404_n_1848), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1403_n_1412 (
-	.A(n_1412),
-	.X(FE_OFN1403_n_1412), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1402_n_1218 (
-	.A(n_1218),
-	.X(FE_OFN1402_n_1218), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1340_u_soc_u_iccm_addr4_1 (
-	.A(u_soc_u_iccm_addr4[1]),
-	.X(FE_OFN1340_u_soc_u_iccm_addr4_1), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1339_u_soc_u_iccm_addr4_2 (
-	.A(FE_OFN1338_u_soc_u_iccm_addr4_2),
-	.Y(FE_OFN1339_u_soc_u_iccm_addr4_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1338_u_soc_u_iccm_addr4_2 (
-	.A(u_soc_u_iccm_addr4[2]),
-	.Y(FE_OFN1338_u_soc_u_iccm_addr4_2), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1329_u_soc_u_iccm_addr4_6 (
-	.A(u_soc_u_iccm_addr4[6]),
-	.X(FE_OFN1329_u_soc_u_iccm_addr4_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC1322_u_soc_instr_wmask_0 (
-	.A(FE_OFN1321_u_soc_instr_wmask_0),
-	.Y(FE_OFN1322_u_soc_instr_wmask_0), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1321_u_soc_instr_wmask_0 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1985_u_soc_instr_wmask_0 (
 	.A(u_soc_instr_wmask[0]),
-	.Y(FE_OFN1321_u_soc_instr_wmask_0), 
+	.X(FE_OFN18053_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1320_u_soc_data_wmask_0 (
-	.A(FE_OFN1318_u_soc_data_wmask_0),
-	.Y(FE_OFN1320_u_soc_data_wmask_0), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1944_u_soc_u_iccm_addr3_5 (
+	.A(u_soc_u_iccm_addr3[5]),
+	.X(FE_OFN18012_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1318_u_soc_data_wmask_0 (
-	.A(FE_OFN18687_FE_OFN1317_u_soc_data_wmask_0),
-	.Y(FE_OFN1318_u_soc_data_wmask_0), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1938_u_soc_u_dccm_addr3_5 (
+	.A(u_soc_u_dccm_addr3[5]),
+	.X(FE_OFN18006_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1317_u_soc_data_wmask_0 (
-	.A(FE_OFN1316_u_soc_data_wmask_0),
-	.Y(FE_OFN1317_u_soc_data_wmask_0), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1937_u_soc_u_dccm_addr3_3 (
+	.A(u_soc_u_dccm_addr3[3]),
+	.X(FE_OFN18005_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1316_u_soc_data_wmask_0 (
-	.A(u_soc_data_wmask[0]),
-	.Y(FE_OFN1316_u_soc_data_wmask_0), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1930_logic_0_6_net (
+	.A(logic_0_6_net),
+	.X(FE_OFN17998_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1315_u_soc_data_wmask_1 (
-	.A(FE_OFN1313_u_soc_data_wmask_1),
-	.Y(FE_OFN1315_u_soc_data_wmask_1), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1922_logic_0_24_net (
+	.A(logic_0_24_net),
+	.X(FE_OFN17990_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1313_u_soc_data_wmask_1 (
-	.A(FE_PDN3968_FE_OFN18657_FE_OFN1312_u_soc_data_wmask_1),
-	.Y(FE_OFN1313_u_soc_data_wmask_1), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1921_logic_0_25_net (
+	.A(logic_0_25_net),
+	.X(FE_OFN17989_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1312_u_soc_data_wmask_1 (
-	.A(FE_OFN1311_u_soc_data_wmask_1),
-	.Y(FE_OFN1312_u_soc_data_wmask_1), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1916_logic_0_57_net (
+	.A(FE_PDN4100_logic_0_57_net),
+	.X(FE_OFN17984_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1311_u_soc_data_wmask_1 (
-	.A(u_soc_data_wmask[1]),
-	.Y(FE_OFN1311_u_soc_data_wmask_1), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1910_u_soc_u_dccm_addr2_6 (
+	.A(u_soc_u_dccm_addr2[6]),
+	.X(FE_OFN1910_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_8 FE_OFC1309_u_soc_data_wmask_2 (
-	.A(FE_OFN1308_u_soc_data_wmask_2),
-	.Y(FE_OFN1309_u_soc_data_wmask_2), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1909_u_soc_u_dccm_addr2_5 (
+	.A(u_soc_u_dccm_addr2[5]),
+	.X(FE_OFN1909_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1308_u_soc_data_wmask_2 (
-	.A(u_soc_data_wmask[2]),
-	.Y(FE_OFN1308_u_soc_data_wmask_2), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1908_u_soc_u_dccm_addr2_4 (
+	.A(u_soc_u_dccm_addr2[4]),
+	.X(FE_OFN1908_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1291_u_soc_instr_wdata_0 (
-	.A(FE_OFN18717_FE_OFN1289_u_soc_instr_wdata_0),
-	.X(FE_OFN1291_u_soc_instr_wdata_0), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1907_u_soc_u_dccm_addr2_3 (
+	.A(u_soc_u_dccm_addr2[3]),
+	.X(FE_OFN1907_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC1289_u_soc_instr_wdata_0 (
-	.A(FE_OFN1288_u_soc_instr_wdata_0),
-	.Y(FE_OFN1289_u_soc_instr_wdata_0), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1906_u_soc_u_dccm_addr2_2 (
+	.A(u_soc_u_dccm_addr2[2]),
+	.X(FE_OFN1906_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1288_u_soc_instr_wdata_0 (
-	.A(u_soc_instr_wdata[0]),
-	.Y(FE_OFN1288_u_soc_instr_wdata_0), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1904_u_soc_u_dccm_addr2_0 (
+	.A(u_soc_u_dccm_addr2[0]),
+	.X(FE_OFN1904_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1287_u_soc_instr_wdata_1 (
-	.A(FE_PDN4068_FE_OFN1732_n),
-	.X(FE_OFN1287_u_soc_instr_wdata_1), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1902_u_soc_u_dccm_addr3_6 (
+	.A(u_soc_u_dccm_addr3[6]),
+	.X(FE_OFN1902_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC1285_u_soc_instr_wdata_1 (
-	.A(FE_OFN1284_u_soc_instr_wdata_1),
-	.Y(FE_OFN1285_u_soc_instr_wdata_1), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1900_u_soc_u_dccm_addr3_2 (
+	.A(u_soc_u_dccm_addr3[2]),
+	.X(FE_OFN1900_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1284_u_soc_instr_wdata_1 (
-	.A(u_soc_instr_wdata[1]),
-	.Y(FE_OFN1284_u_soc_instr_wdata_1), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1883_n_8447 (
+	.A(n_8447),
+	.X(FE_OFN1883_n_8447), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1283_u_soc_instr_wdata_2 (
-	.A(FE_OFN1737_n),
-	.X(FE_OFN1283_u_soc_instr_wdata_2), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1882_n_8085 (
+	.A(n_8085),
+	.X(FE_OFN1882_n_8085), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC1281_u_soc_instr_wdata_2 (
-	.A(FE_OFN1280_u_soc_instr_wdata_2),
-	.Y(FE_OFN1281_u_soc_instr_wdata_2), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1876_n_8759 (
+	.A(FE_PSN3929_n_8759),
+	.X(FE_OFN1876_n_8759), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1280_u_soc_instr_wdata_2 (
-	.A(u_soc_instr_wdata[2]),
-	.Y(FE_OFN1280_u_soc_instr_wdata_2), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1875_n_8758 (
+	.A(FE_PSN3977_n_8758),
+	.X(FE_OFN1875_n_8758), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC1279_u_soc_instr_wdata_4 (
-	.A(FE_OFN1277_u_soc_instr_wdata_4),
-	.Y(FE_OFN1279_u_soc_instr_wdata_4), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1874_n_8757 (
+	.A(n_8757),
+	.X(FE_OFN1874_n_8757), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1277_u_soc_instr_wdata_4 (
-	.A(u_soc_instr_wdata[4]),
-	.Y(FE_OFN1277_u_soc_instr_wdata_4), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1873_n_8756 (
+	.A(FE_PSN3991_n_8756),
+	.X(FE_OFN1873_n_8756), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1276_u_soc_instr_wdata_5 (
-	.A(FE_OFN1274_u_soc_instr_wdata_5),
-	.Y(FE_OFN1276_u_soc_instr_wdata_5), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1872_n_8755 (
+	.A(FE_PSN3949_n_8755),
+	.X(FE_OFN1872_n_8755), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1274_u_soc_instr_wdata_5 (
-	.A(u_soc_instr_wdata[5]),
-	.Y(FE_OFN1274_u_soc_instr_wdata_5), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1871_n_8754 (
+	.A(n_8754),
+	.X(FE_OFN1871_n_8754), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1266_u_soc_data_wdata_9 (
-	.A(FE_OFN18702_FE_OFN1264_u_soc_data_wdata_9),
-	.X(FE_OFN1266_u_soc_data_wdata_9), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1870_n_8753 (
+	.A(n_8753),
+	.X(FE_OFN1870_n_8753), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC1264_u_soc_data_wdata_9 (
-	.A(FE_OFN1263_u_soc_data_wdata_9),
-	.Y(FE_OFN1264_u_soc_data_wdata_9), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1869_n_8752 (
+	.A(n_8752),
+	.X(FE_OFN1869_n_8752), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1263_u_soc_data_wdata_9 (
-	.A(u_soc_data_wdata[9]),
-	.Y(FE_OFN1263_u_soc_data_wdata_9), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1866_n_8749 (
+	.A(n_8749),
+	.X(FE_OFN1866_n_8749), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1262_u_soc_data_wdata_16 (
-	.A(FE_OFN1743_n),
-	.X(FE_OFN1262_u_soc_data_wdata_16), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1864_n_8747 (
+	.A(n_8747),
+	.X(FE_OFN1864_n_8747), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC1260_u_soc_data_wdata_16 (
-	.A(FE_OFN1259_u_soc_data_wdata_16),
-	.Y(FE_OFN1260_u_soc_data_wdata_16), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1863_n_8746 (
+	.A(FE_PSN3909_n_8746),
+	.X(FE_OFN1863_n_8746), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1259_u_soc_data_wdata_16 (
-	.A(u_soc_data_wdata[16]),
-	.Y(FE_OFN1259_u_soc_data_wdata_16), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1862_n_8745 (
+	.A(FE_PSN3913_n_8745),
+	.X(FE_OFN1862_n_8745), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1258_u_soc_data_wdata_18 (
-	.A(FE_OFN1748_n),
-	.X(FE_OFN1258_u_soc_data_wdata_18), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1861_n_8737 (
+	.A(n_8737),
+	.X(FE_OFN1861_n_8737), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC1256_u_soc_data_wdata_18 (
-	.A(FE_OFN1255_u_soc_data_wdata_18),
-	.Y(FE_OFN1256_u_soc_data_wdata_18), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1860_n_8736 (
+	.A(n_8736),
+	.X(FE_OFN1860_n_8736), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1255_u_soc_data_wdata_18 (
-	.A(u_soc_data_wdata[18]),
-	.Y(FE_OFN1255_u_soc_data_wdata_18), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1859_n_8735 (
+	.A(n_8735),
+	.X(FE_OFN1859_n_8735), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1254_u_soc_data_wdata_19 (
-	.A(FE_OFN1752_n),
-	.X(FE_OFN1254_u_soc_data_wdata_19), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1858_n_8734 (
+	.A(n_8734),
+	.X(FE_OFN1858_n_8734), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC1253_u_soc_data_wdata_19 (
-	.A(FE_OFN1252_u_soc_data_wdata_19),
-	.Y(FE_OFN1253_u_soc_data_wdata_19), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1857_n_8733 (
+	.A(n_8733),
+	.X(FE_OFN1857_n_8733), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1252_u_soc_data_wdata_19 (
-	.A(u_soc_data_wdata[19]),
-	.Y(FE_OFN1252_u_soc_data_wdata_19), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1856_n_8732 (
+	.A(n_8732),
+	.X(FE_OFN1856_n_8732), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1251_u_soc_data_wdata_22 (
-	.A(FE_OFN1757_n),
-	.X(FE_OFN1251_u_soc_data_wdata_22), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1855_n_8612 (
+	.A(n_8612),
+	.X(FE_OFN1855_n_8612), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC1249_u_soc_data_wdata_22 (
-	.A(FE_OFN1248_u_soc_data_wdata_22),
-	.Y(FE_OFN1249_u_soc_data_wdata_22), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1854_n_8611 (
+	.A(n_8611),
+	.X(FE_OFN1854_n_8611), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1248_u_soc_data_wdata_22 (
-	.A(u_soc_data_wdata[22]),
-	.Y(FE_OFN1248_u_soc_data_wdata_22), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1853_n_8544 (
+	.A(n_8544),
+	.X(FE_OFN1853_n_8544), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1247_u_soc_data_wdata_23 (
-	.A(FE_OFN18190_n),
-	.X(FE_OFN1247_u_soc_data_wdata_23), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1852_n_8287 (
+	.A(n_8287),
+	.X(FE_OFN1852_n_8287), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC1245_u_soc_data_wdata_23 (
-	.A(FE_OFN1244_u_soc_data_wdata_23),
-	.Y(FE_OFN1245_u_soc_data_wdata_23), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1851_n_8285 (
+	.A(n_8285),
+	.X(FE_OFN1851_n_8285), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1244_u_soc_data_wdata_23 (
-	.A(u_soc_data_wdata[23]),
-	.Y(FE_OFN1244_u_soc_data_wdata_23), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1850_n_8283 (
+	.A(n_8283),
+	.X(FE_OFN1850_n_8283), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1243_u_soc_data_wdata_24 (
-	.A(FE_OFN18721_FE_OFN1241_u_soc_data_wdata_24),
-	.X(FE_OFN1243_u_soc_data_wdata_24), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1849_n_8079 (
+	.A(n_8079),
+	.X(FE_OFN1849_n_8079), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC1241_u_soc_data_wdata_24 (
-	.A(FE_OFN1240_u_soc_data_wdata_24),
-	.Y(FE_OFN1241_u_soc_data_wdata_24), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1848_n_8077 (
+	.A(n_8077),
+	.X(FE_OFN1848_n_8077), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1240_u_soc_data_wdata_24 (
-	.A(u_soc_data_wdata[24]),
-	.Y(FE_OFN1240_u_soc_data_wdata_24), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1846_n_7913 (
+	.A(n_7913),
+	.X(FE_OFN1846_n_7913), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1239_u_soc_data_wdata_25 (
-	.A(FE_PDN4069_FE_OFN18708_FE_OFN1237_u_soc_data_wdata_25),
-	.X(FE_OFN1239_u_soc_data_wdata_25), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1845_n_7911 (
+	.A(n_7911),
+	.X(FE_OFN1845_n_7911), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC1237_u_soc_data_wdata_25 (
-	.A(FE_OFN1236_u_soc_data_wdata_25),
-	.Y(FE_OFN1237_u_soc_data_wdata_25), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1844_n_7909 (
+	.A(n_7909),
+	.X(FE_OFN1844_n_7909), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1236_u_soc_data_wdata_25 (
-	.A(u_soc_data_wdata[25]),
-	.Y(FE_OFN1236_u_soc_data_wdata_25), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1843_n_7795 (
+	.A(n_7795),
+	.X(FE_OFN1843_n_7795), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1235_u_soc_data_wdata_26 (
-	.A(FE_PDN3891_FE_OFN18728_FE_OFN1233_u_soc_data_wdata_26),
-	.X(FE_OFN1235_u_soc_data_wdata_26), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1841_n_7791 (
+	.A(n_7791),
+	.X(FE_OFN1841_n_7791), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC1233_u_soc_data_wdata_26 (
-	.A(FE_OFN1232_u_soc_data_wdata_26),
-	.Y(FE_OFN1233_u_soc_data_wdata_26), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1840_n_7789 (
+	.A(n_7789),
+	.X(FE_OFN1840_n_7789), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1232_u_soc_data_wdata_26 (
-	.A(u_soc_data_wdata[26]),
-	.Y(FE_OFN1232_u_soc_data_wdata_26), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1839_n_7783 (
+	.A(n_7783),
+	.X(FE_OFN1839_n_7783), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1231_u_soc_data_wdata_27 (
-	.A(FE_PDN4064_FE_OFN18714_FE_OFN1230_u_soc_data_wdata_27),
-	.X(FE_OFN1231_u_soc_data_wdata_27), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1838_n_7781 (
+	.A(n_7781),
+	.X(FE_OFN1838_n_7781), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC1230_u_soc_data_wdata_27 (
-	.A(FE_OFN1229_u_soc_data_wdata_27),
-	.Y(FE_OFN1230_u_soc_data_wdata_27), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1837_n_7779 (
+	.A(n_7779),
+	.X(FE_OFN1837_n_7779), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1229_u_soc_data_wdata_27 (
-	.A(u_soc_data_wdata[27]),
-	.Y(FE_OFN1229_u_soc_data_wdata_27), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1836_n_7777 (
+	.A(n_7777),
+	.X(FE_OFN1836_n_7777), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1145_u_soc_u_uart_u_uart_core_tx_fifo_clear (
-	.A(u_soc_u_uart_u_uart_core_tx_fifo_clear),
-	.X(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1835_n_7773 (
+	.A(n_7773),
+	.X(FE_OFN1835_n_7773), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1144_u_soc_u_uart_u_uart_core_rx_fifo_clr (
-	.A(u_soc_u_uart_u_uart_core_rx_fifo_clr),
-	.X(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1834_n_7617 (
+	.A(n_7617),
+	.X(FE_OFN1834_n_7617), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1142_u_soc_u_top_data_we (
-	.A(u_soc_u_top_data_we),
-	.X(FE_OFN1142_u_soc_u_top_data_we), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1833_n_7615 (
+	.A(n_7615),
+	.X(FE_OFN1833_n_7615), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1141_u_soc_u_iccm_csb4 (
-	.A(u_soc_u_iccm_csb4),
-	.X(FE_OFN1141_u_soc_u_iccm_csb4), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1832_n_7613 (
+	.A(n_7613),
+	.X(FE_OFN1832_n_7613), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1139_u_soc_u_iccm_csb2 (
-	.A(u_soc_u_iccm_csb2),
-	.X(FE_OFN1139_u_soc_u_iccm_csb2), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1831_n_7557 (
+	.A(n_7557),
+	.X(FE_OFN1831_n_7557), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1138_u_soc_u_iccm_csb1 (
-	.A(u_soc_u_iccm_csb1),
-	.X(FE_OFN1138_u_soc_u_iccm_csb1), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1830_n_7555 (
+	.A(n_7555),
+	.X(FE_OFN1830_n_7555), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1137_u_soc_u_dccm_csb4 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1828_n_5834 (
+	.A(n_5834),
+	.X(FE_OFN1828_n_5834), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1827_n_5830 (
+	.A(n_5830),
+	.X(FE_OFN1827_n_5830), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1826_n_5826 (
+	.A(n_5826),
+	.X(FE_OFN1826_n_5826), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1825_n_5080 (
+	.A(n_5080),
+	.X(FE_OFN1825_n_5080), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1824_n_3135 (
+	.A(n_3135),
+	.X(FE_OFN1824_n_3135), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1822_n_3131 (
+	.A(FE_PSN3932_n_3131),
+	.X(FE_OFN1822_n_3131), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1821_n_3128 (
+	.A(n_3128),
+	.X(FE_OFN1821_n_3128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1820_n_3077 (
+	.A(n_3077),
+	.X(FE_OFN1820_n_3077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1819_n_3024 (
+	.A(n_3024),
+	.X(FE_OFN1819_n_3024), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1818_n_3016 (
+	.A(n_3016),
+	.X(FE_OFN1818_n_3016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1807_n_16011 (
+	.A(n_16011),
+	.X(FE_OFN1807_n_16011), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1805_n_10931 (
+	.A(n_10931),
+	.X(FE_OFN1805_n_10931), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1801_n_10718 (
+	.A(n_10718),
+	.X(FE_OFN1801_n_10718), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1792_n_10516 (
+	.A(n_10516),
+	.X(FE_OFN1792_n_10516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1791_n_10481 (
+	.A(n_10481),
+	.X(FE_OFN1791_n_10481), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1790_n_10478 (
+	.A(n_10478),
+	.X(FE_OFN1790_n_10478), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1789_n_10474 (
+	.A(n_10474),
+	.X(FE_OFN1789_n_10474), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1788_n_10409 (
+	.A(n_10409),
+	.X(FE_OFN1788_n_10409), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1784_n_8730 (
+	.A(n_8730),
+	.X(FE_OFN1784_n_8730), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1783_n_7807 (
+	.A(n_7807),
+	.X(FE_OFN1783_n_7807), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1781_n_7804 (
+	.A(FE_OFN1780_n_7804),
+	.Y(FE_OFN1781_n_7804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1780_n_7804 (
+	.A(n_7804),
+	.Y(FE_OFN1780_n_7804), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1779_n_7802 (
+	.A(n_7802),
+	.X(FE_OFN1779_n_7802), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1778_n_7801 (
+	.A(n_7801),
+	.X(FE_OFN1778_n_7801), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1777_n_7800 (
+	.A(FE_OFN1776_n_7800),
+	.Y(FE_OFN1777_n_7800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1776_n_7800 (
+	.A(n_7800),
+	.Y(FE_OFN1776_n_7800), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1774_n_7272 (
+	.A(n_7272),
+	.X(FE_OFN1774_n_7272), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1773_n_7269 (
+	.A(n_7269),
+	.X(FE_OFN1773_n_7269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1772_n_7268 (
+	.A(n_7268),
+	.X(FE_OFN1772_n_7268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1771_n_7267 (
+	.A(n_7267),
+	.X(FE_OFN1771_n_7267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC1770_n_7266 (
+	.A(n_7266),
+	.X(FE_OFN1770_n_7266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1769_n_7264 (
+	.A(n_7264),
+	.X(FE_OFN1769_n_7264), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1768_n_7263 (
+	.A(n_7263),
+	.X(FE_OFN1768_n_7263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1767_n_7262 (
+	.A(FE_OFN1766_n_7262),
+	.Y(FE_OFN1767_n_7262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1766_n_7262 (
+	.A(n_7262),
+	.Y(FE_OFN1766_n_7262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1765_n_7261 (
+	.A(n_7261),
+	.X(FE_OFN1765_n_7261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1764_n_7260 (
+	.A(n_7260),
+	.X(FE_OFN1764_n_7260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1763_n_7259 (
+	.A(n_7259),
+	.X(FE_OFN1763_n_7259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1762_n_7258 (
+	.A(n_7258),
+	.X(FE_OFN1762_n_7258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1761_n_6733 (
+	.A(n_6733),
+	.X(FE_OFN1761_n_6733), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1760_n_6724 (
+	.A(n_6724),
+	.X(FE_OFN1760_n_6724), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1759_n_6722 (
+	.A(n_6722),
+	.X(FE_OFN1759_n_6722), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1758_n_5953 (
+	.A(n_5953),
+	.X(FE_OFN1758_n_5953), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1757_n_3140 (
+	.A(n_3140),
+	.X(FE_OFN1757_n_3140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1756_n_3122 (
+	.A(n_3122),
+	.X(FE_OFN1756_n_3122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1755_n_3120 (
+	.A(n_3120),
+	.X(FE_OFN1755_n_3120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1754_n_3032 (
+	.A(n_3032),
+	.X(FE_OFN1754_n_3032), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1752_n_3028 (
+	.A(FE_PSN3894_n_3028),
+	.X(FE_OFN1752_n_3028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1751_n_3026 (
+	.A(n_3026),
+	.X(FE_OFN1751_n_3026), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1750_n_3022 (
+	.A(n_3022),
+	.X(FE_OFN1750_n_3022), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1748_n_3018 (
+	.A(n_3018),
+	.X(FE_OFN1748_n_3018), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1747_n_2244 (
+	.A(n_2244),
+	.X(FE_OFN1747_n_2244), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1746_n_2241 (
+	.A(n_2241),
+	.X(FE_OFN1746_n_2241), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1745_n_2136 (
+	.A(n_2136),
+	.X(FE_OFN1745_n_2136), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1744_n_2122 (
+	.A(n_2122),
+	.X(FE_OFN1744_n_2122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1743_n_2116 (
+	.A(n_2116),
+	.X(FE_OFN1743_n_2116), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1742_n_2097 (
+	.A(n_2097),
+	.X(FE_OFN1742_n_2097), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1741_n_2077 (
+	.A(n_2077),
+	.X(FE_OFN1741_n_2077), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1740_n_2049 (
+	.A(n_2049),
+	.X(FE_OFN1740_n_2049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1739_n_2045 (
+	.A(n_2045),
+	.X(FE_OFN1739_n_2045), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1738_n_2033 (
+	.A(n_2033),
+	.X(FE_OFN1738_n_2033), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1737_n_2031 (
+	.A(n_2031),
+	.X(FE_OFN1737_n_2031), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1736_n_2029 (
+	.A(n_2029),
+	.X(FE_OFN1736_n_2029), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1735_n_2027 (
+	.A(n_2027),
+	.X(FE_OFN1735_n_2027), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1734_n_2025 (
+	.A(n_2025),
+	.X(FE_OFN1734_n_2025), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1733_n_2023 (
+	.A(n_2023),
+	.X(FE_OFN1733_n_2023), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1732_n_2021 (
+	.A(n_2021),
+	.X(FE_OFN1732_n_2021), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1731_n_2019 (
+	.A(n_2019),
+	.X(FE_OFN1731_n_2019), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1730_n_1516 (
+	.A(n_1516),
+	.X(FE_OFN1730_n_1516), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1729_n_1513 (
+	.A(n_1513),
+	.X(FE_OFN1729_n_1513), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1728_n_1431 (
+	.A(n_1431),
+	.X(FE_OFN1728_n_1431), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1727_n_1233 (
+	.A(n_1233),
+	.X(FE_OFN1727_n_1233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1720_u_soc_xbar_to_dccm_a_data__6 (
+	.A(FE_OFN1719_u_soc_xbar_to_dccm_a_data__6),
+	.X(FE_OFN1720_u_soc_xbar_to_dccm_a_data__6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1718_u_soc_xbar_to_dccm_a_data__7 (
+	.A(FE_PDN3656_FE_OFN1717_u_soc_xbar_to_dccm_a_data__7),
+	.X(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1665_u_soc_u_dccm_csb4 (
 	.A(u_soc_u_dccm_csb4),
-	.X(FE_OFN1137_u_soc_u_dccm_csb4), 
+	.X(FE_OFN1665_u_soc_u_dccm_csb4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC1136_u_soc_u_dccm_csb3 (
-	.A(u_soc_u_dccm_csb3),
-	.X(FE_OFN1136_u_soc_u_dccm_csb3), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1664_u_soc_u_dccm_csb1 (
+	.A(u_soc_u_dccm_csb1),
+	.X(FE_OFN1664_u_soc_u_dccm_csb1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC1135_u_soc_u_dccm_csb2 (
-	.A(FE_OFN1134_u_soc_u_dccm_csb2),
-	.X(FE_OFN1135_u_soc_u_dccm_csb2), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1657_n_13558 (
+	.A(n_13558),
+	.X(FE_OFN1657_n_13558), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1134_u_soc_u_dccm_csb2 (
-	.A(u_soc_u_dccm_csb2),
-	.X(FE_OFN1134_u_soc_u_dccm_csb2), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1647_n_10296 (
+	.A(n_10296),
+	.X(FE_OFN1647_n_10296), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1133_u_soc_instr_we (
-	.A(FE_OFN1131_u_soc_instr_we),
-	.Y(FE_OFN1133_u_soc_instr_we), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1644_n_10270 (
+	.A(n_10270),
+	.X(FE_OFN1644_n_10270), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC1132_u_soc_instr_we (
-	.A(FE_OFN1131_u_soc_instr_we),
-	.Y(FE_OFN1132_u_soc_instr_we), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1643_n_10248 (
+	.A(n_10248),
+	.X(FE_OFN1643_n_10248), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1131_u_soc_instr_we (
-	.A(FE_OFN19009_u_soc_instr_we),
-	.Y(FE_OFN1131_u_soc_instr_we), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1642_n_10247 (
+	.A(n_10247),
+	.X(FE_OFN1642_n_10247), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1130_u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst (
-	.A(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
-	.X(FE_OFN1130_u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1641_n_10238 (
+	.A(n_10238),
+	.X(FE_OFN1641_n_10238), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC1127_u_soc_data_we (
-	.A(FE_OFN1124_u_soc_data_we),
-	.Y(FE_OFN1127_u_soc_data_we), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1637_n_10196 (
+	.A(n_10196),
+	.X(FE_OFN1637_n_10196), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1126_u_soc_data_we (
-	.A(FE_OFN1124_u_soc_data_we),
-	.Y(FE_OFN1126_u_soc_data_we), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1631_n_9006 (
+	.A(n_9006),
+	.X(FE_OFN1631_n_9006), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_12 FE_OFC1125_u_soc_data_we (
-	.A(FE_OFN1124_u_soc_data_we),
-	.Y(FE_OFN1125_u_soc_data_we), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1630_n_9005 (
+	.A(n_9005),
+	.X(FE_OFN1630_n_9005), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC1124_u_soc_data_we (
-	.A(u_soc_data_we),
-	.Y(FE_OFN1124_u_soc_data_we), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1629_n_9004 (
+	.A(n_9004),
+	.X(FE_OFN1629_n_9004), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC1123_n_17982 (
-	.A(FE_OFN19729_n_17982),
-	.X(FE_OFN1123_n_17982), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1628_n_8982 (
+	.A(n_8982),
+	.X(FE_OFN1628_n_8982), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1119_n_15930 (
-	.A(n_15930),
-	.X(FE_OFN1119_n_15930), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1627_n_8981 (
+	.A(n_8981),
+	.X(FE_OFN1627_n_8981), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1107_n_13557 (
-	.A(n_13557),
-	.X(FE_OFN1107_n_13557), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1626_n_8980 (
+	.A(n_8980),
+	.X(FE_OFN1626_n_8980), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1106_n_13489 (
-	.A(n_13489),
-	.X(FE_OFN1106_n_13489), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1625_n_8979 (
+	.A(n_8979),
+	.X(FE_OFN1625_n_8979), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1088_n_13084 (
-	.A(n_13084),
-	.X(FE_OFN1088_n_13084), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1624_n_8978 (
+	.A(n_8978),
+	.X(FE_OFN1624_n_8978), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1049_n_9003 (
-	.A(n_9003),
-	.X(FE_OFN1049_n_9003), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1623_n_8977 (
+	.A(n_8977),
+	.X(FE_OFN1623_n_8977), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1048_n_9002 (
-	.A(n_9002),
-	.X(FE_OFN1048_n_9002), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1622_n_8976 (
+	.A(n_8976),
+	.X(FE_OFN1622_n_8976), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1047_n_9000 (
-	.A(n_9000),
-	.X(FE_OFN1047_n_9000), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1621_n_8975 (
+	.A(n_8975),
+	.X(FE_OFN1621_n_8975), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1046_n_8999 (
-	.A(n_8999),
-	.X(FE_OFN1046_n_8999), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1620_n_8974 (
+	.A(n_8974),
+	.X(FE_OFN1620_n_8974), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1045_n_8983 (
-	.A(n_8983),
-	.X(FE_OFN1045_n_8983), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1619_n_8973 (
+	.A(n_8973),
+	.X(FE_OFN1619_n_8973), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1044_n_8932 (
-	.A(n_8932),
-	.X(FE_OFN1044_n_8932), 
+   sky130_fd_sc_hd__buf_4 FE_OFC1618_n_8971 (
+	.A(n_8971),
+	.X(FE_OFN1618_n_8971), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1043_n_8921 (
-	.A(FE_OFN1039_n_8921),
-	.X(FE_OFN1043_n_8921), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1617_n_8970 (
+	.A(n_8970),
+	.X(FE_OFN1617_n_8970), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1042_n_8921 (
-	.A(FE_OFN1038_n_8921),
-	.X(FE_OFN1042_n_8921), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1616_n_8968 (
+	.A(n_8968),
+	.X(FE_OFN1616_n_8968), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1041_n_8921 (
-	.A(FE_OFN1038_n_8921),
-	.X(FE_OFN1041_n_8921), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1615_n_8968 (
+	.A(n_8968),
+	.X(FE_OFN1615_n_8968), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1040_n_8921 (
-	.A(FE_OFN1038_n_8921),
-	.X(FE_OFN1040_n_8921), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1614_n_8967 (
+	.A(n_8967),
+	.X(FE_OFN1614_n_8967), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1039_n_8921 (
-	.A(FE_OFN1037_n_8921),
-	.X(FE_OFN1039_n_8921), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1613_n_8966 (
+	.A(FE_OFN1612_n_8966),
+	.X(FE_OFN1613_n_8966), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1038_n_8921 (
-	.A(n_8921),
-	.X(FE_OFN1038_n_8921), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1612_n_8966 (
+	.A(n_8966),
+	.X(FE_OFN1612_n_8966), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1037_n_8921 (
-	.A(n_8921),
-	.X(FE_OFN1037_n_8921), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1611_n_8965 (
+	.A(FE_OFN1610_n_8965),
+	.X(FE_OFN1611_n_8965), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1036_n_8911 (
-	.A(FE_OFN1033_n_8911),
-	.X(FE_OFN1036_n_8911), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1610_n_8965 (
+	.A(n_8965),
+	.X(FE_OFN1610_n_8965), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1035_n_8911 (
-	.A(FE_OFN1032_n_8911),
-	.X(FE_OFN1035_n_8911), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1609_n_8964 (
+	.A(n_8964),
+	.X(FE_OFN1609_n_8964), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1034_n_8911 (
-	.A(n_8911),
-	.X(FE_OFN1034_n_8911), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1608_n_8963 (
+	.A(FE_OFN1607_n_8963),
+	.X(FE_OFN1608_n_8963), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1033_n_8911 (
-	.A(n_8911),
-	.X(FE_OFN1033_n_8911), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1607_n_8963 (
+	.A(n_8963),
+	.X(FE_OFN1607_n_8963), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1032_n_8911 (
-	.A(n_8911),
-	.X(FE_OFN1032_n_8911), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1606_n_8962 (
+	.A(FE_OFN1605_n_8962),
+	.X(FE_OFN1606_n_8962), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1031_n_8910 (
-	.A(n_8910),
-	.X(FE_OFN1031_n_8910), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1605_n_8962 (
+	.A(n_8962),
+	.X(FE_OFN1605_n_8962), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1030_n_8905 (
-	.A(n_8905),
-	.X(FE_OFN1030_n_8905), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1604_n_8961 (
+	.A(n_8961),
+	.X(FE_OFN1604_n_8961), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1029_n_8904 (
-	.A(n_8904),
-	.X(FE_OFN1029_n_8904), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1603_n_8961 (
+	.A(n_8961),
+	.X(FE_OFN1603_n_8961), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1028_n_8899 (
-	.A(n_8899),
-	.X(FE_OFN1028_n_8899), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1601_n_8862 (
+	.A(n_8862),
+	.X(FE_OFN1601_n_8862), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1027_n_8894 (
-	.A(n_8894),
-	.X(FE_OFN1027_n_8894), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1600_n_8549 (
+	.A(FE_PSN3892_n_8549),
+	.X(FE_OFN1600_n_8549), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1026_n_8886 (
-	.A(n_8886),
-	.X(FE_OFN1026_n_8886), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1599_n_8548 (
+	.A(FE_PSN3891_n_8548),
+	.X(FE_OFN1599_n_8548), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1025_n_8860 (
-	.A(n_8860),
-	.X(FE_OFN1025_n_8860), 
+   sky130_fd_sc_hd__buf_12 FE_OFC1597_n_8546 (
+	.A(FE_PSN3897_n_8546),
+	.X(FE_OFN1597_n_8546), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1024_n_8857 (
-	.A(n_8857),
-	.X(FE_OFN1024_n_8857), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1596_n_8545 (
+	.A(FE_PSN3976_n_8545),
+	.X(FE_OFN1596_n_8545), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1023_n_8856 (
-	.A(n_8856),
-	.X(FE_OFN1023_n_8856), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1595_n_7979 (
+	.A(n_7979),
+	.X(FE_OFN1595_n_7979), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1022_n_8855 (
-	.A(n_8855),
-	.X(FE_OFN1022_n_8855), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1594_n_7978 (
+	.A(n_7978),
+	.X(FE_OFN1594_n_7978), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1021_n_8855 (
-	.A(n_8855),
-	.X(FE_OFN1021_n_8855), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1593_n_7976 (
+	.A(n_7976),
+	.X(FE_OFN1593_n_7976), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1020_n_8853 (
-	.A(FE_OFN1019_n_8853),
-	.X(FE_OFN1020_n_8853), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1592_n_7975 (
+	.A(n_7975),
+	.X(FE_OFN1592_n_7975), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1019_n_8853 (
-	.A(n_8853),
-	.X(FE_OFN1019_n_8853), 
+   sky130_fd_sc_hd__inv_2 FE_OFC1591_n_7974 (
+	.A(FE_OFN1590_n_7974),
+	.Y(FE_OFN1591_n_7974), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1018_n_8844 (
-	.A(FE_OFN1015_n_8844),
-	.X(FE_OFN1018_n_8844), 
+   sky130_fd_sc_hd__inv_1 FE_OFC1590_n_7974 (
+	.A(FE_PSN3936_n_7974),
+	.Y(FE_OFN1590_n_7974), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1017_n_8844 (
-	.A(FE_OFN1014_n_8844),
-	.X(FE_OFN1017_n_8844), 
+   sky130_fd_sc_hd__buf_4 FE_OFC1589_n_7973 (
+	.A(n_7973),
+	.X(FE_OFN1589_n_7973), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1016_n_8844 (
-	.A(n_8844),
-	.X(FE_OFN1016_n_8844), 
+   sky130_fd_sc_hd__inv_2 FE_OFC1588_n_7969 (
+	.A(FE_OFN1587_n_7969),
+	.Y(FE_OFN1588_n_7969), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1015_n_8844 (
-	.A(n_8844),
-	.X(FE_OFN1015_n_8844), 
+   sky130_fd_sc_hd__inv_1 FE_OFC1587_n_7969 (
+	.A(n_7969),
+	.Y(FE_OFN1587_n_7969), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC1014_n_8844 (
-	.A(n_8844),
-	.X(FE_OFN1014_n_8844), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1585_n_7251 (
+	.A(n_7251),
+	.X(FE_OFN1585_n_7251), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1013_n_8835 (
-	.A(FE_OFN1008_n_8835),
-	.X(FE_OFN1013_n_8835), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1584_n_7250 (
+	.A(n_7250),
+	.X(FE_OFN1584_n_7250), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1012_n_8835 (
-	.A(FE_OFN1008_n_8835),
-	.X(FE_OFN1012_n_8835), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1583_n_7249 (
+	.A(n_7249),
+	.X(FE_OFN1583_n_7249), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1011_n_8835 (
-	.A(FE_OFN1007_n_8835),
-	.X(FE_OFN1011_n_8835), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1582_n_7248 (
+	.A(n_7248),
+	.X(FE_OFN1582_n_7248), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1010_n_8835 (
-	.A(FE_OFN1007_n_8835),
-	.X(FE_OFN1010_n_8835), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1581_n_7247 (
+	.A(n_7247),
+	.X(FE_OFN1581_n_7247), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1009_n_8835 (
-	.A(n_8835),
-	.X(FE_OFN1009_n_8835), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1579_n_6731 (
+	.A(n_6731),
+	.X(FE_OFN1579_n_6731), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1008_n_8835 (
-	.A(n_8835),
-	.X(FE_OFN1008_n_8835), 
+   sky130_fd_sc_hd__buf_4 FE_OFC1578_n_6729 (
+	.A(FE_PSN3960_n_6729),
+	.X(FE_OFN1578_n_6729), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1007_n_8835 (
-	.A(n_8835),
-	.X(FE_OFN1007_n_8835), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1577_n_6726 (
+	.A(n_6726),
+	.X(FE_OFN1577_n_6726), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1005_n_6918 (
-	.A(n_6918),
-	.X(FE_OFN1005_n_6918), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1576_n_6720 (
+	.A(n_6720),
+	.X(FE_OFN1576_n_6720), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1004_n_6917 (
-	.A(n_6917),
-	.X(FE_OFN1004_n_6917), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1575_n_6718 (
+	.A(n_6718),
+	.X(FE_OFN1575_n_6718), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC1003_n_6916 (
-	.A(n_6916),
-	.X(FE_OFN1003_n_6916), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1574_n_6714 (
+	.A(n_6714),
+	.X(FE_OFN1574_n_6714), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1002_n_6865 (
-	.A(n_6865),
-	.X(FE_OFN1002_n_6865), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1573_n_6713 (
+	.A(n_6713),
+	.X(FE_OFN1573_n_6713), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC1001_n_6859 (
-	.A(n_6859),
-	.X(FE_OFN1001_n_6859), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1572_n_6712 (
+	.A(n_6712),
+	.X(FE_OFN1572_n_6712), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC1000_n_6857 (
-	.A(n_6857),
-	.X(FE_OFN1000_n_6857), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1571_n_6711 (
+	.A(n_6711),
+	.X(FE_OFN1571_n_6711), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC997_n_6493 (
-	.A(n_6493),
-	.X(FE_OFN997_n_6493), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1570_n_6710 (
+	.A(n_6710),
+	.X(FE_OFN1570_n_6710), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC996_n_6492 (
-	.A(n_6492),
-	.X(FE_OFN996_n_6492), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1569_n_6490 (
+	.A(n_6490),
+	.X(FE_OFN1569_n_6490), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC995_n_6491 (
-	.A(n_6491),
-	.X(FE_OFN995_n_6491), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1567_n_5686 (
+	.A(FE_OFN19159_n_5686),
+	.X(FE_OFN1567_n_5686), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC994_n_6488 (
-	.A(n_6488),
-	.X(FE_OFN994_n_6488), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC993_n_6487 (
-	.A(n_6487),
-	.X(FE_OFN993_n_6487), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC992_n_5974 (
-	.A(n_5974),
-	.X(FE_OFN992_n_5974), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC991_n_5961 (
-	.A(n_5961),
-	.X(FE_OFN991_n_5961), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC989_n_5950 (
-	.A(n_5950),
-	.X(FE_OFN989_n_5950), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC988_n_5934 (
-	.A(n_5934),
-	.X(FE_OFN988_n_5934), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC987_n_5663 (
-	.A(n_5663),
-	.X(FE_OFN987_n_5663), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC986_n_5399 (
-	.A(n_5399),
-	.X(FE_OFN986_n_5399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC984_n_5382 (
-	.A(n_5382),
-	.X(FE_OFN984_n_5382), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC983_n_5372 (
-	.A(n_5372),
-	.X(FE_OFN983_n_5372), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC982_n_5371 (
-	.A(n_5371),
-	.X(FE_OFN982_n_5371), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC981_n_5370 (
-	.A(n_5370),
-	.X(FE_OFN981_n_5370), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC980_n_5369 (
-	.A(n_5369),
-	.X(FE_OFN980_n_5369), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC979_n_5368 (
-	.A(n_5368),
-	.X(FE_OFN979_n_5368), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC978_n_5367 (
-	.A(n_5367),
-	.X(FE_OFN978_n_5367), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC977_n_5366 (
-	.A(n_5366),
-	.X(FE_OFN977_n_5366), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC975_n_5362 (
-	.A(n_5362),
-	.X(FE_OFN975_n_5362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC974_n_5360 (
-	.A(n_5360),
-	.X(FE_OFN974_n_5360), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC973_n_5358 (
-	.A(n_5358),
-	.X(FE_OFN973_n_5358), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC972_n_5356 (
-	.A(FE_OFN971_n_5356),
-	.X(FE_OFN972_n_5356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC971_n_5356 (
-	.A(n_5356),
-	.X(FE_OFN971_n_5356), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC970_n_5355 (
-	.A(n_5355),
-	.X(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC969_n_5354 (
-	.A(n_5354),
-	.X(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC968_n_5353 (
-	.A(n_5353),
-	.X(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC967_n_5352 (
-	.A(n_5352),
-	.X(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC966_n_5351 (
-	.A(n_5351),
-	.X(FE_OFN966_n_5351), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC965_n_5350 (
-	.A(n_5350),
-	.X(FE_OFN965_n_5350), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC964_n_5349 (
-	.A(FE_OFN963_n_5349),
-	.X(FE_OFN964_n_5349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC963_n_5349 (
-	.A(n_5349),
-	.X(FE_OFN963_n_5349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC962_n_5348 (
-	.A(FE_OFN961_n_5348),
-	.X(FE_OFN962_n_5348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC961_n_5348 (
-	.A(n_5348),
-	.X(FE_OFN961_n_5348), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC960_n_5347 (
-	.A(n_5347),
-	.X(FE_OFN960_n_5347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC959_n_5346 (
-	.A(n_5346),
-	.X(FE_OFN959_n_5346), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC958_n_5345 (
-	.A(n_5345),
-	.X(FE_OFN958_n_5345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC957_n_5344 (
-	.A(n_5344),
-	.X(FE_OFN957_n_5344), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1566_n_5684 (
+	.A(n_5684),
+	.X(FE_OFN1566_n_5684), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC956_n_5343 (
-	.A(n_5343),
-	.X(FE_OFN956_n_5343), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1564_n_5664 (
+	.A(n_5664),
+	.X(FE_OFN1564_n_5664), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC955_n_5342 (
-	.A(n_5342),
-	.X(FE_OFN955_n_5342), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1563_n_5662 (
+	.A(n_5662),
+	.X(FE_OFN1563_n_5662), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC954_n_5342 (
-	.A(n_5342),
-	.X(FE_OFN954_n_5342), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1562_n_5661 (
+	.A(n_5661),
+	.X(FE_OFN1562_n_5661), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC953_n_5341 (
-	.A(FE_OFN952_n_5341),
-	.X(FE_OFN953_n_5341), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1561_n_5660 (
+	.A(n_5660),
+	.X(FE_OFN1561_n_5660), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC952_n_5341 (
-	.A(n_5341),
-	.X(FE_OFN952_n_5341), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1560_n_5659 (
+	.A(n_5659),
+	.X(FE_OFN1560_n_5659), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC951_n_5340 (
-	.A(n_5340),
-	.X(FE_OFN951_n_5340), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1559_n_5658 (
+	.A(n_5658),
+	.X(FE_OFN1559_n_5658), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC950_n_5340 (
-	.A(n_5340),
-	.X(FE_OFN950_n_5340), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1558_n_5657 (
+	.A(n_5657),
+	.X(FE_OFN1558_n_5657), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC949_n_5339 (
-	.A(n_5339),
-	.X(FE_OFN949_n_5339), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1557_n_5656 (
+	.A(n_5656),
+	.X(FE_OFN1557_n_5656), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC948_n_5338 (
-	.A(FE_OFN947_n_5338),
-	.X(FE_OFN948_n_5338), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1556_n_5655 (
+	.A(n_5655),
+	.X(FE_OFN1556_n_5655), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC947_n_5338 (
-	.A(n_5338),
-	.X(FE_OFN947_n_5338), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1555_n_5654 (
+	.A(n_5654),
+	.X(FE_OFN1555_n_5654), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC946_n_5337 (
-	.A(n_5337),
-	.X(FE_OFN946_n_5337), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1554_n_5653 (
+	.A(n_5653),
+	.X(FE_OFN1554_n_5653), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC945_n_5336 (
-	.A(n_5336),
-	.X(FE_OFN945_n_5336), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1553_n_5651 (
+	.A(n_5651),
+	.X(FE_OFN1553_n_5651), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC944_n_5335 (
-	.A(FE_OFN943_n_5335),
-	.X(FE_OFN944_n_5335), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1552_n_5649 (
+	.A(n_5649),
+	.X(FE_OFN1552_n_5649), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC943_n_5335 (
-	.A(n_5335),
-	.X(FE_OFN943_n_5335), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1551_n_5647 (
+	.A(n_5647),
+	.X(FE_OFN1551_n_5647), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC942_n_5334 (
-	.A(FE_OFN941_n_5334),
-	.X(FE_OFN942_n_5334), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1550_n_5645 (
+	.A(n_5645),
+	.X(FE_OFN1550_n_5645), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC941_n_5334 (
-	.A(n_5334),
-	.X(FE_OFN941_n_5334), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1549_n_3013 (
+	.A(n_3013),
+	.X(FE_OFN1549_n_3013), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC940_n_5333 (
-	.A(n_5333),
-	.X(FE_OFN940_n_5333), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1548_n_3011 (
+	.A(n_3011),
+	.X(FE_OFN1548_n_3011), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC939_n_5331 (
-	.A(FE_OFN938_n_5331),
-	.X(FE_OFN939_n_5331), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1547_n_3009 (
+	.A(n_3009),
+	.X(FE_OFN1547_n_3009), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC938_n_5331 (
-	.A(n_5331),
-	.X(FE_OFN938_n_5331), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1546_n_3007 (
+	.A(n_3007),
+	.X(FE_OFN1546_n_3007), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC937_n_5330 (
-	.A(FE_OFN936_n_5330),
-	.X(FE_OFN937_n_5330), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1545_n_3004 (
+	.A(n_3004),
+	.X(FE_OFN1545_n_3004), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC936_n_5330 (
-	.A(n_5330),
-	.X(FE_OFN936_n_5330), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1544_n_3001 (
+	.A(n_3001),
+	.X(FE_OFN1544_n_3001), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC935_n_5329 (
-	.A(FE_OFN934_n_5329),
-	.X(FE_OFN935_n_5329), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1543_n_2999 (
+	.A(n_2999),
+	.X(FE_OFN1543_n_2999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC934_n_5329 (
-	.A(n_5329),
-	.X(FE_OFN934_n_5329), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1542_n_2997 (
+	.A(n_2997),
+	.X(FE_OFN1542_n_2997), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC933_n_5328 (
-	.A(n_5328),
-	.X(FE_OFN933_n_5328), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1541_n_2994 (
+	.A(n_2994),
+	.X(FE_OFN1541_n_2994), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC932_n_5327 (
-	.A(n_5327),
-	.X(FE_OFN932_n_5327), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1540_n_2992 (
+	.A(n_2992),
+	.X(FE_OFN1540_n_2992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC931_n_5326 (
-	.A(n_5326),
-	.X(FE_OFN931_n_5326), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1539_n_2991 (
+	.A(n_2991),
+	.X(FE_OFN1539_n_2991), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC930_n_2987 (
-	.A(n_2987),
-	.X(FE_OFN930_n_2987), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1538_n_2989 (
+	.A(n_2989),
+	.X(FE_OFN1538_n_2989), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC929_n_2985 (
-	.A(n_2985),
-	.X(FE_OFN929_n_2985), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1537_n_2980 (
+	.A(n_2980),
+	.X(FE_OFN1537_n_2980), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC927_n_2421 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1534_n_2421 (
 	.A(n_2421),
-	.X(FE_OFN927_n_2421), 
+	.X(FE_OFN1534_n_2421), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC926_n_2274 (
-	.A(n_2274),
-	.X(FE_OFN926_n_2274), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1533_n_2412 (
+	.A(n_2412),
+	.X(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC925_n_2273 (
-	.A(n_2273),
-	.X(FE_OFN925_n_2273), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1526_n_2280 (
+	.A(n_2280),
+	.X(FE_OFN1526_n_2280), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC924_n_2261 (
-	.A(n_2261),
-	.X(FE_OFN924_n_2261), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1525_n_2279 (
+	.A(n_2279),
+	.X(FE_OFN1525_n_2279), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC923_n_2252 (
-	.A(n_2252),
-	.X(FE_OFN923_n_2252), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1524_n_2278 (
+	.A(n_2278),
+	.X(FE_OFN1524_n_2278), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC922_n_2250 (
-	.A(n_2250),
-	.X(FE_OFN922_n_2250), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1523_n_2277 (
+	.A(n_2277),
+	.X(FE_OFN1523_n_2277), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC921_n_2243 (
-	.A(n_2243),
-	.X(FE_OFN921_n_2243), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1522_n_2276 (
+	.A(n_2276),
+	.X(FE_OFN1522_n_2276), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC920_n_2242 (
-	.A(n_2242),
-	.X(FE_OFN920_n_2242), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1521_n_2275 (
+	.A(n_2275),
+	.X(FE_OFN1521_n_2275), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC919_n_2240 (
-	.A(FE_OFN918_n_2240),
-	.X(FE_OFN919_n_2240), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1520_n_2272 (
+	.A(n_2272),
+	.X(FE_OFN1520_n_2272), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC918_n_2240 (
-	.A(n_2240),
-	.X(FE_OFN918_n_2240), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1519_n_2271 (
+	.A(n_2271),
+	.X(FE_OFN1519_n_2271), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC917_n_2238 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1518_n_2270 (
+	.A(n_2270),
+	.X(FE_OFN1518_n_2270), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1517_n_2269 (
+	.A(n_2269),
+	.X(FE_OFN1517_n_2269), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1516_n_2268 (
+	.A(n_2268),
+	.X(FE_OFN1516_n_2268), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1515_n_2267 (
+	.A(n_2267),
+	.X(FE_OFN1515_n_2267), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1514_n_2266 (
+	.A(n_2266),
+	.X(FE_OFN1514_n_2266), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1513_n_2265 (
+	.A(n_2265),
+	.X(FE_OFN1513_n_2265), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1512_n_2263 (
+	.A(n_2263),
+	.X(FE_OFN1512_n_2263), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1511_n_2262 (
+	.A(n_2262),
+	.X(FE_OFN1511_n_2262), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1510_n_2260 (
+	.A(n_2260),
+	.X(FE_OFN1510_n_2260), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1509_n_2259 (
+	.A(n_2259),
+	.X(FE_OFN1509_n_2259), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1508_n_2258 (
+	.A(n_2258),
+	.X(FE_OFN1508_n_2258), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1507_n_2257 (
+	.A(n_2257),
+	.X(FE_OFN1507_n_2257), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1506_n_2238 (
 	.A(n_2238),
-	.X(FE_OFN917_n_2238), 
+	.X(FE_OFN1506_n_2238), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC916_n_2237 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1505_n_2237 (
 	.A(n_2237),
-	.X(FE_OFN916_n_2237), 
+	.X(FE_OFN1505_n_2237), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC915_n_2235 (
-	.A(FE_OFN914_n_2235),
-	.X(FE_OFN915_n_2235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC914_n_2235 (
-	.A(n_2235),
-	.X(FE_OFN914_n_2235), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC913_n_2233 (
-	.A(n_2233),
-	.X(FE_OFN913_n_2233), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC912_n_2231 (
-	.A(FE_OFN911_n_2231),
-	.X(FE_OFN912_n_2231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC911_n_2231 (
-	.A(n_2231),
-	.X(FE_OFN911_n_2231), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC910_n_2230 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1504_n_2230 (
 	.A(n_2230),
-	.X(FE_OFN910_n_2230), 
+	.X(FE_OFN1504_n_2230), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC909_n_2229 (
-	.A(n_2229),
-	.X(FE_OFN909_n_2229), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC908_n_2227 (
-	.A(n_2227),
-	.X(FE_OFN908_n_2227), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC907_n_2226 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1503_n_2226 (
 	.A(n_2226),
-	.X(FE_OFN907_n_2226), 
+	.X(FE_OFN1503_n_2226), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC906_n_2224 (
-	.A(n_2224),
-	.X(FE_OFN906_n_2224), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC905_n_2223 (
-	.A(n_2223),
-	.X(FE_OFN905_n_2223), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC904_n_2222 (
-	.A(n_2222),
-	.X(FE_OFN904_n_2222), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC903_n_2220 (
-	.A(n_2220),
-	.X(FE_OFN903_n_2220), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC902_n_2219 (
-	.A(n_2219),
-	.X(FE_OFN902_n_2219), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC901_n_2218 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1502_n_2218 (
 	.A(n_2218),
-	.X(FE_OFN901_n_2218), 
+	.X(FE_OFN1502_n_2218), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC900_n_2217 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1501_n_2217 (
 	.A(n_2217),
-	.X(FE_OFN900_n_2217), 
+	.X(FE_OFN1501_n_2217), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC899_n_2217 (
-	.A(n_2217),
-	.X(FE_OFN899_n_2217), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC898_n_2216 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1500_n_2216 (
 	.A(n_2216),
-	.X(FE_OFN898_n_2216), 
+	.X(FE_OFN1500_n_2216), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC897_n_2216 (
-	.A(n_2216),
-	.X(FE_OFN897_n_2216), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1499_n_2160 (
+	.A(n_2160),
+	.X(FE_OFN1499_n_2160), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC896_n_2215 (
-	.A(n_2215),
-	.X(FE_OFN896_n_2215), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1498_n_2145 (
+	.A(n_2145),
+	.X(FE_OFN1498_n_2145), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC895_n_2012 (
-	.A(n_2012),
-	.X(FE_OFN895_n_2012), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1497_n_2144 (
+	.A(n_2144),
+	.X(FE_OFN1497_n_2144), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC894_n_2011 (
-	.A(n_2011),
-	.X(FE_OFN894_n_2011), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1496_n_2143 (
+	.A(n_2143),
+	.X(FE_OFN1496_n_2143), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC893_n_2007 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1495_n_2142 (
+	.A(n_2142),
+	.X(FE_OFN1495_n_2142), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1494_n_2141 (
+	.A(n_2141),
+	.X(FE_OFN1494_n_2141), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1493_n_2140 (
+	.A(n_2140),
+	.X(FE_OFN1493_n_2140), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1492_n_2139 (
+	.A(n_2139),
+	.X(FE_OFN1492_n_2139), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1491_n_2138 (
+	.A(n_2138),
+	.X(FE_OFN1491_n_2138), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1490_n_2134 (
+	.A(n_2134),
+	.X(FE_OFN1490_n_2134), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1489_n_2132 (
+	.A(n_2132),
+	.X(FE_OFN1489_n_2132), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1488_n_2128 (
+	.A(n_2128),
+	.X(FE_OFN1488_n_2128), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1487_n_2124 (
+	.A(n_2124),
+	.X(FE_OFN1487_n_2124), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1486_n_2120 (
+	.A(n_2120),
+	.X(FE_OFN1486_n_2120), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1485_n_2112 (
+	.A(n_2112),
+	.X(FE_OFN1485_n_2112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1484_n_2110 (
+	.A(n_2110),
+	.X(FE_OFN1484_n_2110), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1483_n_2108 (
+	.A(n_2108),
+	.X(FE_OFN1483_n_2108), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1482_n_2107 (
+	.A(n_2107),
+	.X(FE_OFN1482_n_2107), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1481_n_2106 (
+	.A(n_2106),
+	.X(FE_OFN1481_n_2106), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1480_n_2104 (
+	.A(n_2104),
+	.X(FE_OFN1480_n_2104), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1479_n_2102 (
+	.A(n_2102),
+	.X(FE_OFN1479_n_2102), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1478_n_2100 (
+	.A(n_2100),
+	.X(FE_OFN1478_n_2100), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1477_n_2099 (
+	.A(n_2099),
+	.X(FE_OFN1477_n_2099), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1476_n_2095 (
+	.A(n_2095),
+	.X(FE_OFN1476_n_2095), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1475_n_2093 (
+	.A(n_2093),
+	.X(FE_OFN1475_n_2093), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1474_n_2091 (
+	.A(n_2091),
+	.X(FE_OFN1474_n_2091), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1473_n_2085 (
+	.A(n_2085),
+	.X(FE_OFN1473_n_2085), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1472_n_2083 (
+	.A(n_2083),
+	.X(FE_OFN1472_n_2083), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1471_n_2081 (
+	.A(n_2081),
+	.X(FE_OFN1471_n_2081), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1470_n_2079 (
+	.A(n_2079),
+	.X(FE_OFN1470_n_2079), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1469_n_2075 (
+	.A(n_2075),
+	.X(FE_OFN1469_n_2075), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1468_n_2073 (
+	.A(n_2073),
+	.X(FE_OFN1468_n_2073), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1467_n_2071 (
+	.A(n_2071),
+	.X(FE_OFN1467_n_2071), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1466_n_2070 (
+	.A(n_2070),
+	.X(FE_OFN1466_n_2070), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1465_n_2069 (
+	.A(n_2069),
+	.X(FE_OFN1465_n_2069), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1464_n_2065 (
+	.A(n_2065),
+	.X(FE_OFN1464_n_2065), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1463_n_2063 (
+	.A(n_2063),
+	.X(FE_OFN1463_n_2063), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1462_n_2061 (
+	.A(n_2061),
+	.X(FE_OFN1462_n_2061), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1461_n_2059 (
+	.A(n_2059),
+	.X(FE_OFN1461_n_2059), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1460_n_2057 (
+	.A(n_2057),
+	.X(FE_OFN1460_n_2057), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1459_n_2037 (
+	.A(n_2037),
+	.X(FE_OFN1459_n_2037), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1458_n_2035 (
+	.A(n_2035),
+	.X(FE_OFN1458_n_2035), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1457_n_2017 (
+	.A(n_2017),
+	.X(FE_OFN1457_n_2017), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1456_n_2016 (
+	.A(n_2016),
+	.X(FE_OFN1456_n_2016), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1455_n_2007 (
 	.A(n_2007),
-	.X(FE_OFN893_n_2007), 
+	.X(FE_OFN1455_n_2007), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC892_n_2004 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1452_n_1879 (
+	.A(n_1879),
+	.X(FE_OFN1452_n_1879), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1451_n_1875 (
+	.A(n_1875),
+	.X(FE_OFN1451_n_1875), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1450_n_1850 (
+	.A(n_1850),
+	.X(FE_OFN1450_n_1850), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1449_n_1848 (
+	.A(n_1848),
+	.X(FE_OFN1449_n_1848), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1447_n_1751 (
+	.A(n_1751),
+	.X(FE_OFN1447_n_1751), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1446_n_1412 (
+	.A(n_1412),
+	.X(FE_OFN1446_n_1412), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1444_n_1218 (
+	.A(n_1218),
+	.X(FE_OFN1444_n_1218), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1443_n_1217 (
+	.A(n_1217),
+	.X(FE_OFN1443_n_1217), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1442_n_1049 (
+	.A(n_1049),
+	.X(FE_OFN1442_n_1049), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1295_u_soc_uart_to_xbar_d_valid (
+	.A(\u_soc_uart_to_xbar[d_valid] ),
+	.X(FE_OFN1295_u_soc_uart_to_xbar_d_valid), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1293_u_soc_u_uart_u_uart_core_tx_fifo_clear (
+	.A(u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.X(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1291_u_soc_u_uart_u_uart_core_rx_fifo_clr (
+	.A(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.X(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1290_u_soc_u_top_data_we (
+	.A(u_soc_u_top_data_we),
+	.X(FE_OFN1290_u_soc_u_top_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1289_u_soc_u_iccm_csb4 (
+	.A(u_soc_u_iccm_csb4),
+	.X(FE_OFN1289_u_soc_u_iccm_csb4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1286_u_soc_u_iccm_csb1 (
+	.A(u_soc_u_iccm_csb1),
+	.X(FE_OFN1286_u_soc_u_iccm_csb1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1284_u_soc_prog_rst_ni (
+	.A(u_soc_prog_rst_ni),
+	.X(FE_OFN1284_u_soc_prog_rst_ni), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1283_u_soc_instr_we (
+	.A(FE_OFN1281_u_soc_instr_we),
+	.Y(FE_OFN1283_u_soc_instr_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1282_u_soc_instr_we (
+	.A(FE_OFN1281_u_soc_instr_we),
+	.Y(FE_OFN1282_u_soc_instr_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1281_u_soc_instr_we (
+	.A(u_soc_instr_we),
+	.Y(FE_OFN1281_u_soc_instr_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC1280_u_soc_data_we (
+	.A(FE_PDN3711_FE_OFN1278_u_soc_data_we),
+	.Y(FE_OFN1280_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC1279_u_soc_data_we (
+	.A(FE_OFN1278_u_soc_data_we),
+	.Y(FE_OFN1279_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1278_u_soc_data_we (
+	.A(FE_OFN1277_u_soc_data_we),
+	.Y(FE_OFN1278_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1277_u_soc_data_we (
+	.A(u_soc_data_we),
+	.X(FE_OFN1277_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1276_u_soc_data_we (
+	.A(u_soc_data_we),
+	.X(FE_OFN1276_u_soc_data_we), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1275_u_soc_data_csb (
+	.A(u_soc_data_csb),
+	.X(FE_OFN1275_u_soc_data_csb), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1274_n_17982 (
+	.A(n_17982),
+	.X(FE_OFN1274_n_17982), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1273_n_17395 (
+	.A(n_17395),
+	.X(FE_OFN1273_n_17395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1271_n_15930 (
+	.A(n_15930),
+	.X(FE_OFN1271_n_15930), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1270_n_13557 (
+	.A(n_13557),
+	.X(FE_OFN1270_n_13557), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1269_n_13494 (
+	.A(n_13494),
+	.X(FE_OFN1269_n_13494), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1268_n_13493 (
+	.A(n_13493),
+	.X(FE_OFN1268_n_13493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1267_n_13489 (
+	.A(n_13489),
+	.X(FE_OFN1267_n_13489), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC1255_n_13084 (
+	.A(n_13084),
+	.X(FE_OFN1255_n_13084), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1239_n_10999 (
+	.A(n_10999),
+	.X(FE_OFN1239_n_10999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1238_n_10692 (
+	.A(n_10692),
+	.X(FE_OFN1238_n_10692), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1237_n_9003 (
+	.A(n_9003),
+	.X(FE_OFN1237_n_9003), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1236_n_9002 (
+	.A(n_9002),
+	.X(FE_OFN1236_n_9002), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1235_n_9000 (
+	.A(n_9000),
+	.X(FE_OFN1235_n_9000), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1234_n_8999 (
+	.A(FE_OFN1233_n_8999),
+	.X(FE_OFN1234_n_8999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1233_n_8999 (
+	.A(n_8999),
+	.X(FE_OFN1233_n_8999), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1232_n_8983 (
+	.A(n_8983),
+	.X(FE_OFN1232_n_8983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1231_n_8933 (
+	.A(n_8933),
+	.X(FE_OFN1231_n_8933), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1230_n_8932 (
+	.A(n_8932),
+	.X(FE_OFN1230_n_8932), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1229_n_8921 (
+	.A(FE_OFN1228_n_8921),
+	.X(FE_OFN1229_n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1228_n_8921 (
+	.A(FE_OFN1226_n_8921),
+	.X(FE_OFN1228_n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1227_n_8921 (
+	.A(FE_OFN1226_n_8921),
+	.X(FE_OFN1227_n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1226_n_8921 (
+	.A(FE_OFN1224_n_8921),
+	.X(FE_OFN1226_n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1225_n_8921 (
+	.A(FE_OFN1224_n_8921),
+	.X(FE_OFN1225_n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1224_n_8921 (
+	.A(n_8921),
+	.X(FE_OFN1224_n_8921), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1223_n_8911 (
+	.A(FE_OFN1222_n_8911),
+	.X(FE_OFN1223_n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1222_n_8911 (
+	.A(FE_OFN1220_n_8911),
+	.X(FE_OFN1222_n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1221_n_8911 (
+	.A(n_8911),
+	.X(FE_OFN1221_n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1220_n_8911 (
+	.A(n_8911),
+	.X(FE_OFN1220_n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1219_n_8911 (
+	.A(n_8911),
+	.X(FE_OFN1219_n_8911), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1218_n_8860 (
+	.A(n_8860),
+	.X(FE_OFN1218_n_8860), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1217_n_8857 (
+	.A(n_8857),
+	.X(FE_OFN1217_n_8857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1216_n_8856 (
+	.A(n_8856),
+	.X(FE_OFN1216_n_8856), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1215_n_8855 (
+	.A(FE_OFN1214_n_8855),
+	.X(FE_OFN1215_n_8855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1214_n_8855 (
+	.A(n_8855),
+	.X(FE_OFN1214_n_8855), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1213_n_8853 (
+	.A(FE_OFN1212_n_8853),
+	.X(FE_OFN1213_n_8853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1212_n_8853 (
+	.A(n_8853),
+	.X(FE_OFN1212_n_8853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1211_n_8844 (
+	.A(FE_OFN1209_n_8844),
+	.X(FE_OFN1211_n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1210_n_8844 (
+	.A(FE_OFN1206_n_8844),
+	.X(FE_OFN1210_n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1209_n_8844 (
+	.A(FE_OFN1206_n_8844),
+	.X(FE_OFN1209_n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1208_n_8844 (
+	.A(FE_OFN1206_n_8844),
+	.X(FE_OFN1208_n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1207_n_8844 (
+	.A(n_8844),
+	.X(FE_OFN1207_n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1206_n_8844 (
+	.A(n_8844),
+	.X(FE_OFN1206_n_8844), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1205_n_8835 (
+	.A(FE_OFN1204_n_8835),
+	.X(FE_OFN1205_n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1204_n_8835 (
+	.A(FE_OFN1201_n_8835),
+	.X(FE_OFN1204_n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1203_n_8835 (
+	.A(FE_OFN1201_n_8835),
+	.X(FE_OFN1203_n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1202_n_8835 (
+	.A(n_8835),
+	.X(FE_OFN1202_n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1201_n_8835 (
+	.A(n_8835),
+	.X(FE_OFN1201_n_8835), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1200_n_8448 (
+	.A(n_8448),
+	.X(FE_OFN1200_n_8448), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1198_n_6918 (
+	.A(n_6918),
+	.X(FE_OFN1198_n_6918), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1197_n_6917 (
+	.A(n_6917),
+	.X(FE_OFN1197_n_6917), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1196_n_6916 (
+	.A(n_6916),
+	.X(FE_OFN1196_n_6916), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1195_n_6865 (
+	.A(n_6865),
+	.X(FE_OFN1195_n_6865), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1193_n_6857 (
+	.A(n_6857),
+	.X(FE_OFN1193_n_6857), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1190_n_6493 (
+	.A(n_6493),
+	.X(FE_OFN1190_n_6493), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1189_n_6492 (
+	.A(n_6492),
+	.X(FE_OFN1189_n_6492), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1188_n_6491 (
+	.A(n_6491),
+	.X(FE_OFN1188_n_6491), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1187_n_6488 (
+	.A(n_6488),
+	.X(FE_OFN1187_n_6488), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1186_n_6487 (
+	.A(n_6487),
+	.X(FE_OFN1186_n_6487), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1185_n_5961 (
+	.A(n_5961),
+	.X(FE_OFN1185_n_5961), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1184_n_5950 (
+	.A(n_5950),
+	.X(FE_OFN1184_n_5950), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1183_n_5934 (
+	.A(n_5934),
+	.X(FE_OFN1183_n_5934), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1182_n_5663 (
+	.A(n_5663),
+	.X(FE_OFN1182_n_5663), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1181_n_5404 (
+	.A(n_5404),
+	.X(FE_OFN1181_n_5404), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1180_n_5399 (
+	.A(n_5399),
+	.X(FE_OFN1180_n_5399), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1179_n_5397 (
+	.A(n_5397),
+	.X(FE_OFN1179_n_5397), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1178_n_5394 (
+	.A(n_5394),
+	.X(FE_OFN1178_n_5394), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1177_n_5382 (
+	.A(n_5382),
+	.X(FE_OFN1177_n_5382), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1176_n_5376 (
+	.A(n_5376),
+	.X(FE_OFN1176_n_5376), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1175_n_5374 (
+	.A(n_5374),
+	.X(FE_OFN1175_n_5374), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1174_n_5372 (
+	.A(n_5372),
+	.X(FE_OFN1174_n_5372), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1173_n_5371 (
+	.A(n_5371),
+	.X(FE_OFN1173_n_5371), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1172_n_5370 (
+	.A(n_5370),
+	.X(FE_OFN1172_n_5370), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1171_n_5369 (
+	.A(n_5369),
+	.X(FE_OFN1171_n_5369), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1170_n_5368 (
+	.A(n_5368),
+	.X(FE_OFN1170_n_5368), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1169_n_5367 (
+	.A(n_5367),
+	.X(FE_OFN1169_n_5367), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1168_n_5366 (
+	.A(n_5366),
+	.X(FE_OFN1168_n_5366), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1166_n_5362 (
+	.A(n_5362),
+	.X(FE_OFN1166_n_5362), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1165_n_5360 (
+	.A(n_5360),
+	.X(FE_OFN1165_n_5360), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1164_n_5358 (
+	.A(n_5358),
+	.X(FE_OFN1164_n_5358), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1163_n_5356 (
+	.A(FE_OFN1162_n_5356),
+	.X(FE_OFN1163_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1162_n_5356 (
+	.A(n_5356),
+	.X(FE_OFN1162_n_5356), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1161_n_5355 (
+	.A(n_5355),
+	.X(FE_OFN1161_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1160_n_5354 (
+	.A(n_5354),
+	.X(FE_OFN1160_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1159_n_5353 (
+	.A(n_5353),
+	.X(FE_OFN1159_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1158_n_5352 (
+	.A(n_5352),
+	.X(FE_OFN1158_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1157_n_5351 (
+	.A(n_5351),
+	.X(FE_OFN1157_n_5351), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1156_n_5350 (
+	.A(n_5350),
+	.X(FE_OFN1156_n_5350), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1155_n_5349 (
+	.A(n_5349),
+	.X(FE_OFN1155_n_5349), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1154_n_5348 (
+	.A(n_5348),
+	.X(FE_OFN1154_n_5348), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1153_n_5347 (
+	.A(n_5347),
+	.X(FE_OFN1153_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1152_n_5346 (
+	.A(n_5346),
+	.X(FE_OFN1152_n_5346), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1151_n_5345 (
+	.A(n_5345),
+	.X(FE_OFN1151_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1150_n_5344 (
+	.A(n_5344),
+	.X(FE_OFN1150_n_5344), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1149_n_5343 (
+	.A(n_5343),
+	.X(FE_OFN1149_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1148_n_5342 (
+	.A(FE_OFN1147_n_5342),
+	.X(FE_OFN1148_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1147_n_5342 (
+	.A(n_5342),
+	.X(FE_OFN1147_n_5342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1146_n_5341 (
+	.A(FE_OFN1145_n_5341),
+	.X(FE_OFN1146_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1145_n_5341 (
+	.A(n_5341),
+	.X(FE_OFN1145_n_5341), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1144_n_5340 (
+	.A(FE_OFN1143_n_5340),
+	.X(FE_OFN1144_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1143_n_5340 (
+	.A(n_5340),
+	.X(FE_OFN1143_n_5340), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1142_n_5339 (
+	.A(n_5339),
+	.X(FE_OFN1142_n_5339), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1141_n_5338 (
+	.A(FE_OFN1140_n_5338),
+	.X(FE_OFN1141_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1140_n_5338 (
+	.A(n_5338),
+	.X(FE_OFN1140_n_5338), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1139_n_5337 (
+	.A(n_5337),
+	.X(FE_OFN1139_n_5337), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1138_n_5336 (
+	.A(n_5336),
+	.X(FE_OFN1138_n_5336), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1137_n_5335 (
+	.A(FE_OFN1136_n_5335),
+	.X(FE_OFN1137_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1136_n_5335 (
+	.A(n_5335),
+	.X(FE_OFN1136_n_5335), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1135_n_5334 (
+	.A(FE_OFN1134_n_5334),
+	.X(FE_OFN1135_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1134_n_5334 (
+	.A(n_5334),
+	.X(FE_OFN1134_n_5334), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1133_n_5333 (
+	.A(n_5333),
+	.X(FE_OFN1133_n_5333), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1132_n_5331 (
+	.A(n_5331),
+	.X(FE_OFN1132_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1131_n_5331 (
+	.A(n_5331),
+	.X(FE_OFN1131_n_5331), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1130_n_5330 (
+	.A(n_5330),
+	.X(FE_OFN1130_n_5330), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1129_n_5329 (
+	.A(FE_OFN1128_n_5329),
+	.X(FE_OFN1129_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1128_n_5329 (
+	.A(n_5329),
+	.X(FE_OFN1128_n_5329), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1127_n_5328 (
+	.A(n_5328),
+	.X(FE_OFN1127_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC1126_n_5327 (
+	.A(n_5327),
+	.X(FE_OFN1126_n_5327), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1125_n_5326 (
+	.A(n_5326),
+	.X(FE_OFN1125_n_5326), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1124_n_2987 (
+	.A(n_2987),
+	.X(FE_OFN1124_n_2987), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1123_n_2985 (
+	.A(n_2985),
+	.X(FE_OFN1123_n_2985), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1122_n_2981 (
+	.A(n_2981),
+	.X(FE_OFN1122_n_2981), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1120_n_2542 (
+	.A(n_2542),
+	.X(FE_OFN1120_n_2542), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1119_n_2274 (
+	.A(n_2274),
+	.X(FE_OFN1119_n_2274), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1118_n_2273 (
+	.A(n_2273),
+	.X(FE_OFN1118_n_2273), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1117_n_2261 (
+	.A(n_2261),
+	.X(FE_OFN1117_n_2261), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1116_n_2252 (
+	.A(n_2252),
+	.X(FE_OFN1116_n_2252), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1115_n_2250 (
+	.A(n_2250),
+	.X(FE_OFN1115_n_2250), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1114_n_2245 (
+	.A(n_2245),
+	.X(FE_OFN1114_n_2245), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1113_n_2243 (
+	.A(n_2243),
+	.X(FE_OFN1113_n_2243), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1112_n_2242 (
+	.A(n_2242),
+	.X(FE_OFN1112_n_2242), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1111_n_2240 (
+	.A(n_2240),
+	.X(FE_OFN1111_n_2240), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1110_n_2235 (
+	.A(n_2235),
+	.X(FE_OFN1110_n_2235), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1109_n_2233 (
+	.A(n_2233),
+	.X(FE_OFN1109_n_2233), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1108_n_2231 (
+	.A(n_2231),
+	.X(FE_OFN1108_n_2231), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1107_n_2229 (
+	.A(n_2229),
+	.X(FE_OFN1107_n_2229), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1106_n_2227 (
+	.A(n_2227),
+	.X(FE_OFN1106_n_2227), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1105_n_2224 (
+	.A(n_2224),
+	.X(FE_OFN1105_n_2224), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1104_n_2223 (
+	.A(n_2223),
+	.X(FE_OFN1104_n_2223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1103_n_2222 (
+	.A(n_2222),
+	.X(FE_OFN1103_n_2222), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1102_n_2220 (
+	.A(n_2220),
+	.X(FE_OFN1102_n_2220), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1101_n_2219 (
+	.A(n_2219),
+	.X(FE_OFN1101_n_2219), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1100_n_2215 (
+	.A(n_2215),
+	.X(FE_OFN1100_n_2215), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1099_n_2006 (
+	.A(n_2006),
+	.X(FE_OFN1099_n_2006), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1098_n_2004 (
 	.A(n_2004),
-	.X(FE_OFN892_n_2004), 
+	.X(FE_OFN1098_n_2004), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC891_n_1998 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1097_n_1998 (
 	.A(n_1998),
-	.X(FE_OFN891_n_1998), 
+	.X(FE_OFN1097_n_1998), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC890_n_1996 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1096_n_1996 (
 	.A(n_1996),
-	.X(FE_OFN890_n_1996), 
+	.X(FE_OFN1096_n_1996), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC889_n_1994 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1095_n_1994 (
 	.A(n_1994),
-	.X(FE_OFN889_n_1994), 
+	.X(FE_OFN1095_n_1994), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC888_n_1852 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1094_n_1852 (
 	.A(n_1852),
-	.X(FE_OFN888_n_1852), 
+	.X(FE_OFN1094_n_1852), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC887_n_1753 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1092_n_1753 (
 	.A(n_1753),
-	.X(FE_OFN887_n_1753), 
+	.X(FE_OFN1092_n_1753), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC886_n_1742 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1091_n_1742 (
 	.A(n_1742),
-	.X(FE_OFN886_n_1742), 
+	.X(FE_OFN1091_n_1742), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC885_n_1518 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1090_n_1518 (
 	.A(n_1518),
-	.X(FE_OFN885_n_1518), 
+	.X(FE_OFN1090_n_1518), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC884_n_1413 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1089_n_1438 (
+	.A(n_1438),
+	.X(FE_OFN1089_n_1438), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1088_n_1433 (
+	.A(n_1433),
+	.X(FE_OFN1088_n_1433), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1087_n_1413 (
 	.A(n_1413),
-	.X(FE_OFN884_n_1413), 
+	.X(FE_OFN1087_n_1413), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC883_n_1219 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1086_n_1219 (
 	.A(n_1219),
-	.X(FE_OFN883_n_1219), 
+	.X(FE_OFN1086_n_1219), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC882_n_1214 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1085_n_1214 (
 	.A(n_1214),
-	.X(FE_OFN882_n_1214), 
+	.X(FE_OFN1085_n_1214), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC881_n_831 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1084_n_1213 (
+	.A(n_1213),
+	.X(FE_OFN1084_n_1213), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1083_n_831 (
 	.A(n_831),
-	.X(FE_OFN881_n_831), 
+	.X(FE_OFN1083_n_831), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC880_n_831 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1082_n_831 (
 	.A(n_831),
-	.X(FE_OFN880_n_831), 
+	.X(FE_OFN1082_n_831), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC879_n_690 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1081_n_690 (
 	.A(n_690),
-	.X(FE_OFN879_n_690), 
+	.X(FE_OFN1081_n_690), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC878_n_641 (
-	.A(n_641),
-	.X(FE_OFN878_n_641), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC876_n_471 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1078_n_471 (
 	.A(n_471),
-	.X(FE_OFN876_n_471), 
+	.X(FE_OFN1078_n_471), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC875_n_470 (
-	.A(FE_OFN874_n_470),
-	.X(FE_OFN875_n_470), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1077_n_470 (
+	.A(FE_OFN1076_n_470),
+	.X(FE_OFN1077_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC874_n_470 (
-	.A(FE_OFN873_n_470),
-	.X(FE_OFN874_n_470), 
+   sky130_fd_sc_hd__inv_2 FE_OFC1076_n_470 (
+	.A(FE_OFN1074_n_470),
+	.Y(FE_OFN1076_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC873_n_470 (
-	.A(FE_OFN872_n_470),
-	.Y(FE_OFN873_n_470), 
+   sky130_fd_sc_hd__inv_2 FE_OFC1075_n_470 (
+	.A(FE_OFN1074_n_470),
+	.Y(FE_OFN1075_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC872_n_470 (
+   sky130_fd_sc_hd__inv_2 FE_OFC1074_n_470 (
 	.A(n_470),
-	.Y(FE_OFN872_n_470), 
+	.Y(FE_OFN1074_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC867_logic_0_36_net (
-	.A(FE_OFN866_logic_0_36_net),
-	.Y(FE_OFN867_logic_0_36_net), 
+   sky130_fd_sc_hd__inv_2 FE_OFC1060_logic_0_22_net (
+	.A(FE_OFN1059_logic_0_22_net),
+	.Y(FE_OFN1060_logic_0_22_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC866_logic_0_36_net (
-	.A(logic_0_36_net),
-	.Y(FE_OFN866_logic_0_36_net), 
+   sky130_fd_sc_hd__inv_2 FE_OFC1059_logic_0_22_net (
+	.A(logic_0_22_net),
+	.Y(FE_OFN1059_logic_0_22_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC865_logic_0_35_net (
-	.A(FE_OFN864_logic_0_35_net),
-	.Y(FE_OFN865_logic_0_35_net), 
+   sky130_fd_sc_hd__inv_2 FE_OFC1055_logic_0_12_net (
+	.A(FE_OFN1054_logic_0_12_net),
+	.Y(FE_OFN1055_logic_0_12_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC864_logic_0_35_net (
-	.A(logic_0_35_net),
-	.Y(FE_OFN864_logic_0_35_net), 
+   sky130_fd_sc_hd__inv_2 FE_OFC1054_logic_0_12_net (
+	.A(logic_0_12_net),
+	.Y(FE_OFN1054_logic_0_12_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC863_logic_0_34_net (
-	.A(FE_OFN862_logic_0_34_net),
-	.Y(FE_OFN863_logic_0_34_net), 
+   sky130_fd_sc_hd__inv_2 FE_OFC1044_logic_0_5_net (
+	.A(FE_OFN1043_logic_0_5_net),
+	.Y(FE_OFN1044_logic_0_5_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC862_logic_0_34_net (
-	.A(logic_0_34_net),
-	.Y(FE_OFN862_logic_0_34_net), 
+   sky130_fd_sc_hd__inv_2 FE_OFC1043_logic_0_5_net (
+	.A(logic_0_5_net),
+	.Y(FE_OFN1043_logic_0_5_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC861_logic_0_33_net (
-	.A(FE_OFN860_logic_0_33_net),
-	.Y(FE_OFN861_logic_0_33_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC860_logic_0_33_net (
-	.A(logic_0_33_net),
-	.Y(FE_OFN860_logic_0_33_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC857_logic_0_30_net (
-	.A(logic_0_30_net),
-	.X(FE_OFN857_logic_0_30_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC850_logic_0_23_net (
-	.A(logic_0_23_net),
-	.X(FE_OFN850_logic_0_23_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC843_logic_0_18_net (
-	.A(FE_OFN842_logic_0_18_net),
-	.Y(FE_OFN843_logic_0_18_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC842_logic_0_18_net (
-	.A(logic_0_18_net),
-	.Y(FE_OFN842_logic_0_18_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC841_logic_0_17_net (
-	.A(FE_OFN840_logic_0_17_net),
-	.Y(FE_OFN841_logic_0_17_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC840_logic_0_17_net (
-	.A(logic_0_17_net),
-	.Y(FE_OFN840_logic_0_17_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC839_logic_0_16_net (
-	.A(FE_OFN838_logic_0_16_net),
-	.Y(FE_OFN839_logic_0_16_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC838_logic_0_16_net (
-	.A(logic_0_16_net),
-	.Y(FE_OFN838_logic_0_16_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC837_logic_0_15_net (
-	.A(FE_OFN836_logic_0_15_net),
-	.Y(FE_OFN837_logic_0_15_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC836_logic_0_15_net (
-	.A(logic_0_15_net),
-	.Y(FE_OFN836_logic_0_15_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC835_logic_0_14_net (
-	.A(logic_0_14_net),
-	.X(FE_OFN835_logic_0_14_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC833_logic_0_13_net (
-	.A(logic_0_13_net),
-	.X(FE_OFN833_logic_0_13_net), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1 (
 	.A(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
-	.X(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1), 
+	.X(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC780_u_soc_u_top_u_core_rf_wdata_fwd_wb_22 (
-	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[22]),
-	.X(FE_OFN780_u_soc_u_top_u_core_rf_wdata_fwd_wb_22), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1038_u_soc_uart_to_xbar_d_data__0 (
+	.A(\u_soc_uart_to_xbar[d_data] [0]),
+	.X(FE_OFN1038_u_soc_uart_to_xbar_d_data__0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC778_u_soc_u_top_u_core_rf_wdata_fwd_wb_27 (
-	.A(u_soc_u_top_u_core_rf_wdata_fwd_wb[27]),
-	.X(FE_OFN778_u_soc_u_top_u_core_rf_wdata_fwd_wb_27), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1037_u_soc_uart_to_xbar_d_data__1 (
+	.A(\u_soc_uart_to_xbar[d_data] [1]),
+	.X(FE_OFN1037_u_soc_uart_to_xbar_d_data__1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0 (
-	.A(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
-	.X(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1036_u_soc_uart_to_xbar_d_data__2 (
+	.A(\u_soc_uart_to_xbar[d_data] [2]),
+	.X(FE_OFN1036_u_soc_uart_to_xbar_d_data__2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC745_u_soc_u_uart_u_uart_core_rx_0 (
-	.A(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
-	.X(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0), 
+   sky130_fd_sc_hd__buf_2 FE_OFC1035_u_soc_uart_to_xbar_d_data__3 (
+	.A(\u_soc_uart_to_xbar[d_data] [3]),
+	.X(FE_OFN1035_u_soc_uart_to_xbar_d_data__3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC744_u_soc_u_uart_u_uart_core_rx_0 (
+   sky130_fd_sc_hd__buf_2 FE_OFC1034_u_soc_uart_to_xbar_d_data__4 (
+	.A(\u_soc_uart_to_xbar[d_data] [4]),
+	.X(FE_OFN1034_u_soc_uart_to_xbar_d_data__4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1033_u_soc_uart_to_xbar_d_data__5 (
+	.A(\u_soc_uart_to_xbar[d_data] [5]),
+	.X(FE_OFN1033_u_soc_uart_to_xbar_d_data__5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1032_u_soc_uart_to_xbar_d_data__6 (
+	.A(\u_soc_uart_to_xbar[d_data] [6]),
+	.X(FE_OFN1032_u_soc_uart_to_xbar_d_data__6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC1031_u_soc_uart_to_xbar_d_data__7 (
+	.A(\u_soc_uart_to_xbar[d_data] [7]),
+	.X(FE_OFN1031_u_soc_uart_to_xbar_d_data__7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1030_u_soc_uart_to_xbar_d_data__8 (
+	.A(\u_soc_uart_to_xbar[d_data] [8]),
+	.X(FE_OFN1030_u_soc_uart_to_xbar_d_data__8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1028_u_soc_uart_to_xbar_d_data__10 (
+	.A(\u_soc_uart_to_xbar[d_data] [10]),
+	.X(FE_OFN1028_u_soc_uart_to_xbar_d_data__10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1027_u_soc_uart_to_xbar_d_data__11 (
+	.A(\u_soc_uart_to_xbar[d_data] [11]),
+	.X(FE_OFN1027_u_soc_uart_to_xbar_d_data__11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1026_u_soc_uart_to_xbar_d_data__13 (
+	.A(\u_soc_uart_to_xbar[d_data] [13]),
+	.X(FE_OFN1026_u_soc_uart_to_xbar_d_data__13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1024_u_soc_uart_to_xbar_d_data__16 (
+	.A(\u_soc_uart_to_xbar[d_data] [16]),
+	.X(FE_OFN1024_u_soc_uart_to_xbar_d_data__16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1023_u_soc_uart_to_xbar_d_data__17 (
+	.A(\u_soc_uart_to_xbar[d_data] [17]),
+	.X(FE_OFN1023_u_soc_uart_to_xbar_d_data__17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1022_u_soc_uart_to_xbar_d_data__18 (
+	.A(\u_soc_uart_to_xbar[d_data] [18]),
+	.X(FE_OFN1022_u_soc_uart_to_xbar_d_data__18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1021_u_soc_uart_to_xbar_d_data__19 (
+	.A(\u_soc_uart_to_xbar[d_data] [19]),
+	.X(FE_OFN1021_u_soc_uart_to_xbar_d_data__19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1020_u_soc_uart_to_xbar_d_data__20 (
+	.A(\u_soc_uart_to_xbar[d_data] [20]),
+	.X(FE_OFN1020_u_soc_uart_to_xbar_d_data__20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1019_u_soc_uart_to_xbar_d_data__21 (
+	.A(\u_soc_uart_to_xbar[d_data] [21]),
+	.X(FE_OFN1019_u_soc_uart_to_xbar_d_data__21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1018_u_soc_uart_to_xbar_d_data__22 (
+	.A(\u_soc_uart_to_xbar[d_data] [22]),
+	.X(FE_OFN1018_u_soc_uart_to_xbar_d_data__22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1017_u_soc_uart_to_xbar_d_data__23 (
+	.A(\u_soc_uart_to_xbar[d_data] [23]),
+	.X(FE_OFN1017_u_soc_uart_to_xbar_d_data__23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_8 FE_OFC1016_u_soc_uart_to_xbar_d_data__24 (
+	.A(\u_soc_uart_to_xbar[d_data] [24]),
+	.X(FE_OFN1016_u_soc_uart_to_xbar_d_data__24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1015_u_soc_uart_to_xbar_d_data__25 (
+	.A(\u_soc_uart_to_xbar[d_data] [25]),
+	.X(FE_OFN1015_u_soc_uart_to_xbar_d_data__25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1014_u_soc_uart_to_xbar_d_data__26 (
+	.A(\u_soc_uart_to_xbar[d_data] [26]),
+	.X(FE_OFN1014_u_soc_uart_to_xbar_d_data__26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1013_u_soc_uart_to_xbar_d_data__27 (
+	.A(\u_soc_uart_to_xbar[d_data] [27]),
+	.X(FE_OFN1013_u_soc_uart_to_xbar_d_data__27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1012_u_soc_uart_to_xbar_d_data__28 (
+	.A(\u_soc_uart_to_xbar[d_data] [28]),
+	.X(FE_OFN1012_u_soc_uart_to_xbar_d_data__28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1011_u_soc_uart_to_xbar_d_data__29 (
+	.A(\u_soc_uart_to_xbar[d_data] [29]),
+	.X(FE_OFN1011_u_soc_uart_to_xbar_d_data__29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1010_u_soc_uart_to_xbar_d_data__30 (
+	.A(\u_soc_uart_to_xbar[d_data] [30]),
+	.X(FE_OFN1010_u_soc_uart_to_xbar_d_data__30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC1009_u_soc_uart_to_xbar_d_data__31 (
+	.A(\u_soc_uart_to_xbar[d_data] [31]),
+	.X(FE_OFN1009_u_soc_uart_to_xbar_d_data__31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC949_u_soc_instr_wmask_0 (
+	.A(u_soc_instr_wmask[0]),
+	.X(FE_OFN949_u_soc_instr_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC947_u_soc_instr_wmask_0 (
+	.A(FE_OFN945_u_soc_instr_wmask_0),
+	.Y(FE_OFN947_u_soc_instr_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC945_u_soc_instr_wmask_0 (
+	.A(u_soc_instr_wmask[0]),
+	.Y(FE_OFN945_u_soc_instr_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC944_u_soc_data_wmask_0 (
+	.A(FE_PDN3780_FE_OFN942_u_soc_data_wmask_0),
+	.Y(FE_OFN944_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC942_u_soc_data_wmask_0 (
+	.A(FE_OFN18795_FE_OFN939_u_soc_data_wmask_0),
+	.X(FE_OFN942_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC941_u_soc_data_wmask_0 (
+	.A(FE_OFN939_u_soc_data_wmask_0),
+	.Y(FE_OFN941_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC940_u_soc_data_wmask_0 (
+	.A(FE_OFN938_u_soc_data_wmask_0),
+	.Y(FE_OFN940_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC939_u_soc_data_wmask_0 (
+	.A(FE_OFN938_u_soc_data_wmask_0),
+	.X(FE_OFN939_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC938_u_soc_data_wmask_0 (
+	.A(u_soc_data_wmask[0]),
+	.Y(FE_OFN938_u_soc_data_wmask_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC937_u_soc_data_wmask_1 (
+	.A(FE_PDN3849_FE_OFN935_u_soc_data_wmask_1),
+	.Y(FE_OFN937_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC935_u_soc_data_wmask_1 (
+	.A(FE_PDN3671_FE_OFN933_u_soc_data_wmask_1),
+	.X(FE_OFN935_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC934_u_soc_data_wmask_1 (
+	.A(FE_PDN3671_FE_OFN933_u_soc_data_wmask_1),
+	.Y(FE_OFN934_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC933_u_soc_data_wmask_1 (
+	.A(u_soc_data_wmask[1]),
+	.Y(FE_OFN933_u_soc_data_wmask_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC931_u_soc_data_wmask_2 (
+	.A(FE_OFN929_u_soc_data_wmask_2),
+	.Y(FE_OFN931_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC929_u_soc_data_wmask_2 (
+	.A(FE_OFN928_u_soc_data_wmask_2),
+	.Y(FE_OFN929_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC928_u_soc_data_wmask_2 (
+	.A(FE_OFN926_u_soc_data_wmask_2),
+	.Y(FE_OFN928_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC927_u_soc_data_wmask_2 (
+	.A(FE_OFN924_u_soc_data_wmask_2),
+	.Y(FE_OFN927_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC926_u_soc_data_wmask_2 (
+	.A(FE_OFN924_u_soc_data_wmask_2),
+	.X(FE_OFN926_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC924_u_soc_data_wmask_2 (
+	.A(u_soc_data_wmask[2]),
+	.Y(FE_OFN924_u_soc_data_wmask_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC921_u_soc_data_wmask_3 (
+	.A(FE_PDN3784_FE_OFN919_u_soc_data_wmask_3),
+	.Y(FE_OFN921_u_soc_data_wmask_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC919_u_soc_data_wmask_3 (
+	.A(FE_OFN916_u_soc_data_wmask_3),
+	.X(FE_OFN919_u_soc_data_wmask_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC918_u_soc_data_wmask_3 (
+	.A(FE_OFN916_u_soc_data_wmask_3),
+	.Y(FE_OFN918_u_soc_data_wmask_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC916_u_soc_data_wmask_3 (
+	.A(u_soc_data_wmask[3]),
+	.Y(FE_OFN916_u_soc_data_wmask_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC914_u_soc_data_addr_1 (
+	.A(u_soc_data_addr[1]),
+	.X(FE_OFN914_u_soc_data_addr_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC913_u_soc_data_addr_2 (
+	.A(u_soc_data_addr[2]),
+	.X(FE_OFN913_u_soc_data_addr_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC912_u_soc_data_addr_4 (
+	.A(u_soc_data_addr[4]),
+	.X(FE_OFN912_u_soc_data_addr_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC910_u_soc_data_addr_7 (
+	.A(u_soc_data_addr[7]),
+	.X(FE_OFN910_u_soc_data_addr_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC908_u_soc_main_swith_host_lsu_dev_select_outstanding_1 (
+	.A(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.X(FE_OFN908_u_soc_main_swith_host_lsu_dev_select_outstanding_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC894_u_soc_lsu_to_xbar_a_address__14 (
+	.A(\u_soc_lsu_to_xbar[a_address] [14]),
+	.X(FE_OFN894_u_soc_lsu_to_xbar_a_address__14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC889_u_soc_lsu_to_xbar_a_address__19 (
+	.A(\u_soc_lsu_to_xbar[a_address] [19]),
+	.X(FE_OFN889_u_soc_lsu_to_xbar_a_address__19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC875_u_soc_u_uart_u_uart_core_rx_0 (
 	.A(u_soc_u_uart_u_uart_core_rx[0]),
-	.X(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0), 
+	.X(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC743_u_soc_u_uart_u_uart_core_rx_0 (
+   sky130_fd_sc_hd__buf_4 FE_OFC874_u_soc_u_uart_u_uart_core_rx_0 (
 	.A(u_soc_u_uart_u_uart_core_rx[0]),
-	.X(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0), 
+	.X(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC742_u_soc_u_uart_u_uart_core_rx_1 (
+   sky130_fd_sc_hd__buf_2 FE_OFC873_u_soc_u_uart_u_uart_core_rx_1 (
+	.A(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
+	.X(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC872_u_soc_u_uart_u_uart_core_rx_1 (
 	.A(u_soc_u_uart_u_uart_core_rx[1]),
-	.X(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1), 
+	.X(FE_OFN872_u_soc_u_uart_u_uart_core_rx_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC741_u_soc_u_uart_u_uart_core_rx_1 (
+   sky130_fd_sc_hd__buf_2 FE_OFC871_u_soc_u_uart_u_uart_core_rx_1 (
 	.A(u_soc_u_uart_u_uart_core_rx[1]),
-	.X(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1), 
+	.X(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC740_u_soc_u_uart_u_uart_core_rx_2 (
+   sky130_fd_sc_hd__buf_2 FE_OFC870_u_soc_u_uart_u_uart_core_rx_2 (
+	.A(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
+	.X(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC869_u_soc_u_uart_u_uart_core_rx_2 (
 	.A(u_soc_u_uart_u_uart_core_rx[2]),
-	.X(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2), 
+	.X(FE_OFN869_u_soc_u_uart_u_uart_core_rx_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC739_u_soc_u_uart_u_uart_core_rx_2 (
+   sky130_fd_sc_hd__buf_2 FE_OFC868_u_soc_u_uart_u_uart_core_rx_2 (
 	.A(u_soc_u_uart_u_uart_core_rx[2]),
-	.X(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2), 
+	.X(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC737_u_soc_u_uart_u_uart_core_rx_3 (
-	.A(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
-	.X(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3), 
+   sky130_fd_sc_hd__buf_4 FE_OFC867_u_soc_u_uart_u_uart_core_rx_3 (
+	.A(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
+	.X(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC736_u_soc_u_uart_u_uart_core_rx_3 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC866_u_soc_u_uart_u_uart_core_rx_3 (
 	.A(u_soc_u_uart_u_uart_core_rx[3]),
-	.X(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3), 
+	.X(FE_OFN866_u_soc_u_uart_u_uart_core_rx_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC735_u_soc_u_uart_u_uart_core_rx_3 (
+   sky130_fd_sc_hd__buf_2 FE_OFC865_u_soc_u_uart_u_uart_core_rx_3 (
 	.A(u_soc_u_uart_u_uart_core_rx[3]),
-	.X(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3), 
+	.X(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC734_u_soc_u_uart_u_uart_core_rx_4 (
-	.A(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
-	.X(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4), 
+   sky130_fd_sc_hd__buf_2 FE_OFC864_u_soc_u_uart_u_uart_core_rx_4 (
+	.A(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
+	.X(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC733_u_soc_u_uart_u_uart_core_rx_4 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC863_u_soc_u_uart_u_uart_core_rx_4 (
 	.A(u_soc_u_uart_u_uart_core_rx[4]),
-	.X(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4), 
+	.X(FE_OFN863_u_soc_u_uart_u_uart_core_rx_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC731_u_soc_u_uart_u_uart_core_rx_5 (
+   sky130_fd_sc_hd__buf_4 FE_OFC862_u_soc_u_uart_u_uart_core_rx_4 (
+	.A(u_soc_u_uart_u_uart_core_rx[4]),
+	.X(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC861_u_soc_u_uart_u_uart_core_rx_5 (
+	.A(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
+	.X(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC860_u_soc_u_uart_u_uart_core_rx_5 (
+	.A(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
+	.X(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC859_u_soc_u_uart_u_uart_core_rx_5 (
 	.A(u_soc_u_uart_u_uart_core_rx[5]),
-	.X(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5), 
+	.X(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC730_u_soc_u_uart_u_uart_core_rx_5 (
-	.A(u_soc_u_uart_u_uart_core_rx[5]),
-	.X(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC729_u_soc_u_uart_u_uart_core_rx_6 (
-	.A(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
-	.X(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC728_u_soc_u_uart_u_uart_core_rx_6 (
+   sky130_fd_sc_hd__buf_2 FE_OFC858_u_soc_u_uart_u_uart_core_rx_6 (
 	.A(u_soc_u_uart_u_uart_core_rx[6]),
-	.X(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6), 
+	.X(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC727_u_soc_u_uart_u_uart_core_rx_6 (
+   sky130_fd_sc_hd__buf_2 FE_OFC857_u_soc_u_uart_u_uart_core_rx_6 (
 	.A(u_soc_u_uart_u_uart_core_rx[6]),
-	.X(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6), 
+	.X(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC726_u_soc_u_uart_u_uart_core_rx_7 (
+   sky130_fd_sc_hd__buf_2 FE_OFC855_u_soc_u_uart_u_uart_core_rx_7 (
 	.A(u_soc_u_uart_u_uart_core_rx[7]),
-	.X(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7), 
+	.X(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC725_u_soc_u_uart_u_uart_core_rx_7 (
+   sky130_fd_sc_hd__buf_2 FE_OFC854_u_soc_u_uart_u_uart_core_rx_7 (
 	.A(u_soc_u_uart_u_uart_core_rx[7]),
-	.X(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7), 
+	.X(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC713_u_soc_u_top_u_core_instr_rdata_id_29 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC836_u_soc_u_top_u_core_instr_rdata_id_28 (
+	.A(FE_OFN18341_u_soc_u_top_u_core_instr_rdata_id_28),
+	.X(FE_OFN836_u_soc_u_top_u_core_instr_rdata_id_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC835_u_soc_u_top_u_core_instr_rdata_id_29 (
 	.A(u_soc_u_top_u_core_instr_rdata_id[29]),
-	.X(FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29), 
+	.X(FE_OFN835_u_soc_u_top_u_core_instr_rdata_id_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC711_u_soc_u_tcam_rdata_0 (
-	.A(FE_OFN710_u_soc_u_tcam_rdata_0),
-	.Y(FE_OFN711_u_soc_u_tcam_rdata_0), 
+   sky130_fd_sc_hd__inv_2 FE_OFC833_u_soc_u_tcam_rdata_0 (
+	.A(FE_OFN832_u_soc_u_tcam_rdata_0),
+	.Y(FE_OFN833_u_soc_u_tcam_rdata_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC710_u_soc_u_tcam_rdata_0 (
-	.A(FE_OFN18185_u_soc_u_tcam_rdata_0),
-	.Y(FE_OFN710_u_soc_u_tcam_rdata_0), 
+   sky130_fd_sc_hd__inv_1 FE_OFC832_u_soc_u_tcam_rdata_0 (
+	.A(FE_OFN18649_u_soc_u_tcam_rdata_0),
+	.Y(FE_OFN832_u_soc_u_tcam_rdata_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC709_u_soc_u_tcam_rdata_1 (
-	.A(FE_OFN708_u_soc_u_tcam_rdata_1),
-	.Y(FE_OFN709_u_soc_u_tcam_rdata_1), 
+   sky130_fd_sc_hd__inv_2 FE_OFC831_u_soc_u_tcam_rdata_1 (
+	.A(FE_OFN830_u_soc_u_tcam_rdata_1),
+	.Y(FE_OFN831_u_soc_u_tcam_rdata_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC708_u_soc_u_tcam_rdata_1 (
-	.A(FE_OFN19022_u_soc_u_tcam_rdata_1),
-	.Y(FE_OFN708_u_soc_u_tcam_rdata_1), 
+   sky130_fd_sc_hd__inv_1 FE_OFC830_u_soc_u_tcam_rdata_1 (
+	.A(FE_OFN18689_u_soc_u_tcam_rdata_1),
+	.Y(FE_OFN830_u_soc_u_tcam_rdata_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC707_u_soc_u_tcam_rdata_2 (
-	.A(FE_OFN706_u_soc_u_tcam_rdata_2),
-	.Y(FE_OFN707_u_soc_u_tcam_rdata_2), 
+   sky130_fd_sc_hd__inv_2 FE_OFC829_u_soc_u_tcam_rdata_2 (
+	.A(FE_OFN828_u_soc_u_tcam_rdata_2),
+	.Y(FE_OFN829_u_soc_u_tcam_rdata_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC706_u_soc_u_tcam_rdata_2 (
-	.A(FE_OFN18994_u_soc_u_tcam_rdata_2),
-	.Y(FE_OFN706_u_soc_u_tcam_rdata_2), 
+   sky130_fd_sc_hd__inv_1 FE_OFC828_u_soc_u_tcam_rdata_2 (
+	.A(FE_OFN18652_u_soc_u_tcam_rdata_2),
+	.Y(FE_OFN828_u_soc_u_tcam_rdata_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC705_u_soc_u_tcam_rdata_3 (
-	.A(FE_OFN704_u_soc_u_tcam_rdata_3),
-	.Y(FE_OFN705_u_soc_u_tcam_rdata_3), 
+   sky130_fd_sc_hd__inv_2 FE_OFC827_u_soc_u_tcam_rdata_3 (
+	.A(FE_OFN826_u_soc_u_tcam_rdata_3),
+	.Y(FE_OFN827_u_soc_u_tcam_rdata_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC704_u_soc_u_tcam_rdata_3 (
-	.A(FE_OFN18183_u_soc_u_tcam_rdata_3),
-	.Y(FE_OFN704_u_soc_u_tcam_rdata_3), 
+   sky130_fd_sc_hd__inv_1 FE_OFC826_u_soc_u_tcam_rdata_3 (
+	.A(FE_OFN18653_u_soc_u_tcam_rdata_3),
+	.Y(FE_OFN826_u_soc_u_tcam_rdata_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC703_u_soc_u_tcam_rdata_4 (
-	.A(FE_OFN702_u_soc_u_tcam_rdata_4),
-	.Y(FE_OFN703_u_soc_u_tcam_rdata_4), 
+   sky130_fd_sc_hd__inv_2 FE_OFC825_u_soc_u_tcam_rdata_4 (
+	.A(FE_OFN824_u_soc_u_tcam_rdata_4),
+	.Y(FE_OFN825_u_soc_u_tcam_rdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC702_u_soc_u_tcam_rdata_4 (
-	.A(FE_OFN19021_u_soc_u_tcam_rdata_4),
-	.Y(FE_OFN702_u_soc_u_tcam_rdata_4), 
+   sky130_fd_sc_hd__inv_1 FE_OFC824_u_soc_u_tcam_rdata_4 (
+	.A(FE_OFN18694_u_soc_u_tcam_rdata_4),
+	.Y(FE_OFN824_u_soc_u_tcam_rdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC701_u_soc_u_tcam_rdata_5 (
-	.A(FE_OFN18821_u_soc_u_tcam_rdata_5),
-	.X(FE_OFN701_u_soc_u_tcam_rdata_5), 
+   sky130_fd_sc_hd__inv_2 FE_OFC823_u_soc_u_tcam_rdata_5 (
+	.A(FE_OFN822_u_soc_u_tcam_rdata_5),
+	.Y(FE_OFN823_u_soc_u_tcam_rdata_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC667_u_soc_u_iccm_rdata3_2 (
-	.A(FE_PDN4016_u_soc_u_iccm_rdata3_2),
-	.X(FE_OFN667_u_soc_u_iccm_rdata3_2), 
+   sky130_fd_sc_hd__inv_1 FE_OFC822_u_soc_u_tcam_rdata_5 (
+	.A(FE_OFN3119_u_soc_u_tcam_rdata_5),
+	.Y(FE_OFN822_u_soc_u_tcam_rdata_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC616_u_soc_u_iccm_rdata1_2 (
-	.A(FE_OFN615_u_soc_u_iccm_rdata1_2),
-	.Y(FE_OFN616_u_soc_u_iccm_rdata1_2), 
+   sky130_fd_sc_hd__buf_2 FE_OFC819_u_soc_u_iccm_rdata4_2 (
+	.A(u_soc_u_iccm_rdata4[2]),
+	.X(FE_OFN819_u_soc_u_iccm_rdata4_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC615_u_soc_u_iccm_rdata1_2 (
+   sky130_fd_sc_hd__buf_2 FE_OFC818_u_soc_u_iccm_rdata4_3 (
+	.A(u_soc_u_iccm_rdata4[3]),
+	.X(FE_OFN818_u_soc_u_iccm_rdata4_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC817_u_soc_u_iccm_rdata4_4 (
+	.A(u_soc_u_iccm_rdata4[4]),
+	.X(FE_OFN817_u_soc_u_iccm_rdata4_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC816_u_soc_u_iccm_rdata4_5 (
+	.A(FE_OFN815_u_soc_u_iccm_rdata4_5),
+	.Y(FE_OFN816_u_soc_u_iccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC815_u_soc_u_iccm_rdata4_5 (
+	.A(u_soc_u_iccm_rdata4[5]),
+	.Y(FE_OFN815_u_soc_u_iccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC814_u_soc_u_iccm_rdata4_6 (
+	.A(u_soc_u_iccm_rdata4[6]),
+	.X(FE_OFN814_u_soc_u_iccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC813_u_soc_u_iccm_rdata4_7 (
+	.A(u_soc_u_iccm_rdata4[7]),
+	.X(FE_OFN813_u_soc_u_iccm_rdata4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC812_u_soc_u_iccm_rdata4_8 (
+	.A(u_soc_u_iccm_rdata4[8]),
+	.X(FE_OFN812_u_soc_u_iccm_rdata4_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC811_u_soc_u_iccm_rdata4_9 (
+	.A(u_soc_u_iccm_rdata4[9]),
+	.X(FE_OFN811_u_soc_u_iccm_rdata4_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC810_u_soc_u_iccm_rdata4_10 (
+	.A(u_soc_u_iccm_rdata4[10]),
+	.X(FE_OFN810_u_soc_u_iccm_rdata4_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC809_u_soc_u_iccm_rdata4_11 (
+	.A(u_soc_u_iccm_rdata4[11]),
+	.X(FE_OFN809_u_soc_u_iccm_rdata4_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC808_u_soc_u_iccm_rdata4_12 (
+	.A(u_soc_u_iccm_rdata4[12]),
+	.X(FE_OFN808_u_soc_u_iccm_rdata4_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC807_u_soc_u_iccm_rdata4_13 (
+	.A(u_soc_u_iccm_rdata4[13]),
+	.X(FE_OFN807_u_soc_u_iccm_rdata4_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC806_u_soc_u_iccm_rdata4_14 (
+	.A(u_soc_u_iccm_rdata4[14]),
+	.X(FE_OFN806_u_soc_u_iccm_rdata4_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC805_u_soc_u_iccm_rdata4_15 (
+	.A(u_soc_u_iccm_rdata4[15]),
+	.X(FE_OFN805_u_soc_u_iccm_rdata4_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC802_u_soc_u_iccm_rdata4_18 (
+	.A(u_soc_u_iccm_rdata4[18]),
+	.X(FE_OFN802_u_soc_u_iccm_rdata4_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC801_u_soc_u_iccm_rdata4_19 (
+	.A(u_soc_u_iccm_rdata4[19]),
+	.X(FE_OFN801_u_soc_u_iccm_rdata4_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC800_u_soc_u_iccm_rdata4_20 (
+	.A(u_soc_u_iccm_rdata4[20]),
+	.X(FE_OFN800_u_soc_u_iccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC799_u_soc_u_iccm_rdata4_21 (
+	.A(u_soc_u_iccm_rdata4[21]),
+	.X(FE_OFN799_u_soc_u_iccm_rdata4_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC798_u_soc_u_iccm_rdata4_22 (
+	.A(u_soc_u_iccm_rdata4[22]),
+	.X(FE_OFN798_u_soc_u_iccm_rdata4_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC797_u_soc_u_iccm_rdata4_23 (
+	.A(u_soc_u_iccm_rdata4[23]),
+	.X(FE_OFN797_u_soc_u_iccm_rdata4_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC796_u_soc_u_iccm_rdata4_24 (
+	.A(u_soc_u_iccm_rdata4[24]),
+	.X(FE_OFN796_u_soc_u_iccm_rdata4_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC795_u_soc_u_iccm_rdata4_25 (
+	.A(u_soc_u_iccm_rdata4[25]),
+	.X(FE_OFN795_u_soc_u_iccm_rdata4_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC794_u_soc_u_iccm_rdata4_26 (
+	.A(u_soc_u_iccm_rdata4[26]),
+	.X(FE_OFN794_u_soc_u_iccm_rdata4_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC793_u_soc_u_iccm_rdata4_27 (
+	.A(u_soc_u_iccm_rdata4[27]),
+	.X(FE_OFN793_u_soc_u_iccm_rdata4_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC792_u_soc_u_iccm_rdata4_28 (
+	.A(u_soc_u_iccm_rdata4[28]),
+	.X(FE_OFN792_u_soc_u_iccm_rdata4_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC791_u_soc_u_iccm_rdata4_29 (
+	.A(u_soc_u_iccm_rdata4[29]),
+	.X(FE_OFN791_u_soc_u_iccm_rdata4_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC790_u_soc_u_iccm_rdata4_30 (
+	.A(u_soc_u_iccm_rdata4[30]),
+	.X(FE_OFN790_u_soc_u_iccm_rdata4_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC789_u_soc_u_iccm_rdata4_31 (
+	.A(u_soc_u_iccm_rdata4[31]),
+	.X(FE_OFN789_u_soc_u_iccm_rdata4_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC788_u_soc_u_iccm_rdata2_2 (
+	.A(u_soc_u_iccm_rdata2[2]),
+	.X(FE_OFN788_u_soc_u_iccm_rdata2_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC787_u_soc_u_iccm_rdata2_4 (
+	.A(u_soc_u_iccm_rdata2[4]),
+	.X(FE_OFN787_u_soc_u_iccm_rdata2_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC786_u_soc_u_iccm_rdata2_5 (
+	.A(u_soc_u_iccm_rdata2[5]),
+	.X(FE_OFN786_u_soc_u_iccm_rdata2_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC785_u_soc_u_iccm_rdata2_6 (
+	.A(u_soc_u_iccm_rdata2[6]),
+	.X(FE_OFN785_u_soc_u_iccm_rdata2_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC784_u_soc_u_iccm_rdata2_7 (
+	.A(u_soc_u_iccm_rdata2[7]),
+	.X(FE_OFN784_u_soc_u_iccm_rdata2_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC783_u_soc_u_iccm_rdata2_19 (
+	.A(u_soc_u_iccm_rdata2[19]),
+	.X(FE_OFN783_u_soc_u_iccm_rdata2_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC782_u_soc_u_iccm_rdata2_20 (
+	.A(u_soc_u_iccm_rdata2[20]),
+	.X(FE_OFN782_u_soc_u_iccm_rdata2_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC781_u_soc_u_iccm_rdata2_22 (
+	.A(u_soc_u_iccm_rdata2[22]),
+	.X(FE_OFN781_u_soc_u_iccm_rdata2_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC780_u_soc_u_iccm_rdata2_25 (
+	.A(u_soc_u_iccm_rdata2[25]),
+	.X(FE_OFN780_u_soc_u_iccm_rdata2_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC779_u_soc_u_iccm_rdata2_27 (
+	.A(u_soc_u_iccm_rdata2[27]),
+	.X(FE_OFN779_u_soc_u_iccm_rdata2_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC776_u_soc_u_iccm_rdata1_2 (
+	.A(FE_OFN775_u_soc_u_iccm_rdata1_2),
+	.Y(FE_OFN776_u_soc_u_iccm_rdata1_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC775_u_soc_u_iccm_rdata1_2 (
 	.A(u_soc_u_iccm_rdata1[2]),
-	.Y(FE_OFN615_u_soc_u_iccm_rdata1_2), 
+	.Y(FE_OFN775_u_soc_u_iccm_rdata1_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC614_u_soc_u_iccm_rdata1_3 (
+   sky130_fd_sc_hd__buf_2 FE_OFC774_u_soc_u_iccm_rdata1_3 (
 	.A(u_soc_u_iccm_rdata1[3]),
-	.X(FE_OFN614_u_soc_u_iccm_rdata1_3), 
+	.X(FE_OFN774_u_soc_u_iccm_rdata1_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC613_u_soc_u_iccm_rdata1_4 (
+   sky130_fd_sc_hd__inv_2 FE_OFC773_u_soc_u_iccm_rdata1_4 (
+	.A(FE_OFN772_u_soc_u_iccm_rdata1_4),
+	.Y(FE_OFN773_u_soc_u_iccm_rdata1_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC772_u_soc_u_iccm_rdata1_4 (
 	.A(u_soc_u_iccm_rdata1[4]),
-	.X(FE_OFN613_u_soc_u_iccm_rdata1_4), 
+	.Y(FE_OFN772_u_soc_u_iccm_rdata1_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC612_u_soc_u_iccm_rdata1_5 (
+   sky130_fd_sc_hd__inv_2 FE_OFC771_u_soc_u_iccm_rdata1_5 (
+	.A(FE_OFN770_u_soc_u_iccm_rdata1_5),
+	.Y(FE_OFN771_u_soc_u_iccm_rdata1_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC770_u_soc_u_iccm_rdata1_5 (
 	.A(u_soc_u_iccm_rdata1[5]),
-	.X(FE_OFN612_u_soc_u_iccm_rdata1_5), 
+	.Y(FE_OFN770_u_soc_u_iccm_rdata1_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC611_u_soc_u_iccm_rdata1_6 (
+   sky130_fd_sc_hd__inv_2 FE_OFC769_u_soc_u_iccm_rdata1_6 (
+	.A(FE_OFN768_u_soc_u_iccm_rdata1_6),
+	.Y(FE_OFN769_u_soc_u_iccm_rdata1_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC768_u_soc_u_iccm_rdata1_6 (
 	.A(u_soc_u_iccm_rdata1[6]),
-	.X(FE_OFN611_u_soc_u_iccm_rdata1_6), 
+	.Y(FE_OFN768_u_soc_u_iccm_rdata1_6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC610_u_soc_u_iccm_rdata1_7 (
+   sky130_fd_sc_hd__inv_2 FE_OFC767_u_soc_u_iccm_rdata1_7 (
+	.A(FE_OFN766_u_soc_u_iccm_rdata1_7),
+	.Y(FE_OFN767_u_soc_u_iccm_rdata1_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC766_u_soc_u_iccm_rdata1_7 (
 	.A(u_soc_u_iccm_rdata1[7]),
-	.X(FE_OFN610_u_soc_u_iccm_rdata1_7), 
+	.Y(FE_OFN766_u_soc_u_iccm_rdata1_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC609_u_soc_u_iccm_rdata1_8 (
+   sky130_fd_sc_hd__buf_2 FE_OFC765_u_soc_u_iccm_rdata1_8 (
 	.A(u_soc_u_iccm_rdata1[8]),
-	.X(FE_OFN609_u_soc_u_iccm_rdata1_8), 
+	.X(FE_OFN765_u_soc_u_iccm_rdata1_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC608_u_soc_u_iccm_rdata1_9 (
+   sky130_fd_sc_hd__buf_2 FE_OFC764_u_soc_u_iccm_rdata1_9 (
 	.A(u_soc_u_iccm_rdata1[9]),
-	.X(FE_OFN608_u_soc_u_iccm_rdata1_9), 
+	.X(FE_OFN764_u_soc_u_iccm_rdata1_9), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC607_u_soc_u_iccm_rdata1_10 (
-	.A(u_soc_u_iccm_rdata1[10]),
-	.X(FE_OFN607_u_soc_u_iccm_rdata1_10), 
+   sky130_fd_sc_hd__buf_2 FE_OFC763_u_soc_u_iccm_rdata1_10 (
+	.A(FE_PDN19203_u_soc_u_iccm_rdata1_10),
+	.X(FE_OFN763_u_soc_u_iccm_rdata1_10), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC606_u_soc_u_iccm_rdata1_11 (
+   sky130_fd_sc_hd__buf_2 FE_OFC762_u_soc_u_iccm_rdata1_11 (
 	.A(u_soc_u_iccm_rdata1[11]),
-	.X(FE_OFN606_u_soc_u_iccm_rdata1_11), 
+	.X(FE_OFN762_u_soc_u_iccm_rdata1_11), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC605_u_soc_u_iccm_rdata1_12 (
+   sky130_fd_sc_hd__buf_2 FE_OFC761_u_soc_u_iccm_rdata1_12 (
 	.A(u_soc_u_iccm_rdata1[12]),
-	.X(FE_OFN605_u_soc_u_iccm_rdata1_12), 
+	.X(FE_OFN761_u_soc_u_iccm_rdata1_12), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC604_u_soc_u_iccm_rdata1_13 (
+   sky130_fd_sc_hd__buf_2 FE_OFC760_u_soc_u_iccm_rdata1_13 (
 	.A(u_soc_u_iccm_rdata1[13]),
-	.X(FE_OFN604_u_soc_u_iccm_rdata1_13), 
+	.X(FE_OFN760_u_soc_u_iccm_rdata1_13), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC603_u_soc_u_iccm_rdata1_14 (
+   sky130_fd_sc_hd__buf_2 FE_OFC759_u_soc_u_iccm_rdata1_14 (
 	.A(u_soc_u_iccm_rdata1[14]),
-	.X(FE_OFN603_u_soc_u_iccm_rdata1_14), 
+	.X(FE_OFN759_u_soc_u_iccm_rdata1_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC602_u_soc_u_iccm_rdata1_15 (
+   sky130_fd_sc_hd__buf_2 FE_OFC758_u_soc_u_iccm_rdata1_15 (
 	.A(u_soc_u_iccm_rdata1[15]),
-	.X(FE_OFN602_u_soc_u_iccm_rdata1_15), 
+	.X(FE_OFN758_u_soc_u_iccm_rdata1_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC599_u_soc_u_iccm_rdata1_18 (
+   sky130_fd_sc_hd__buf_2 FE_OFC755_u_soc_u_iccm_rdata1_18 (
 	.A(u_soc_u_iccm_rdata1[18]),
-	.X(FE_OFN599_u_soc_u_iccm_rdata1_18), 
+	.X(FE_OFN755_u_soc_u_iccm_rdata1_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC598_u_soc_u_iccm_rdata1_19 (
+   sky130_fd_sc_hd__inv_2 FE_OFC754_u_soc_u_iccm_rdata1_19 (
+	.A(FE_OFN753_u_soc_u_iccm_rdata1_19),
+	.Y(FE_OFN754_u_soc_u_iccm_rdata1_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC753_u_soc_u_iccm_rdata1_19 (
 	.A(u_soc_u_iccm_rdata1[19]),
-	.X(FE_OFN598_u_soc_u_iccm_rdata1_19), 
+	.Y(FE_OFN753_u_soc_u_iccm_rdata1_19), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC597_u_soc_u_iccm_rdata1_20 (
+   sky130_fd_sc_hd__inv_2 FE_OFC752_u_soc_u_iccm_rdata1_20 (
+	.A(FE_OFN751_u_soc_u_iccm_rdata1_20),
+	.Y(FE_OFN752_u_soc_u_iccm_rdata1_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC751_u_soc_u_iccm_rdata1_20 (
 	.A(u_soc_u_iccm_rdata1[20]),
-	.X(FE_OFN597_u_soc_u_iccm_rdata1_20), 
+	.Y(FE_OFN751_u_soc_u_iccm_rdata1_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC596_u_soc_u_iccm_rdata1_21 (
+   sky130_fd_sc_hd__buf_2 FE_OFC750_u_soc_u_iccm_rdata1_21 (
 	.A(u_soc_u_iccm_rdata1[21]),
-	.X(FE_OFN596_u_soc_u_iccm_rdata1_21), 
+	.X(FE_OFN750_u_soc_u_iccm_rdata1_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC595_u_soc_u_iccm_rdata1_22 (
+   sky130_fd_sc_hd__inv_2 FE_OFC749_u_soc_u_iccm_rdata1_22 (
+	.A(FE_OFN748_u_soc_u_iccm_rdata1_22),
+	.Y(FE_OFN749_u_soc_u_iccm_rdata1_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC748_u_soc_u_iccm_rdata1_22 (
 	.A(u_soc_u_iccm_rdata1[22]),
-	.X(FE_OFN595_u_soc_u_iccm_rdata1_22), 
+	.Y(FE_OFN748_u_soc_u_iccm_rdata1_22), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC594_u_soc_u_iccm_rdata1_23 (
+   sky130_fd_sc_hd__buf_2 FE_OFC747_u_soc_u_iccm_rdata1_23 (
 	.A(u_soc_u_iccm_rdata1[23]),
-	.X(FE_OFN594_u_soc_u_iccm_rdata1_23), 
+	.X(FE_OFN747_u_soc_u_iccm_rdata1_23), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC593_u_soc_u_iccm_rdata1_24 (
+   sky130_fd_sc_hd__buf_2 FE_OFC746_u_soc_u_iccm_rdata1_24 (
 	.A(u_soc_u_iccm_rdata1[24]),
-	.X(FE_OFN593_u_soc_u_iccm_rdata1_24), 
+	.X(FE_OFN746_u_soc_u_iccm_rdata1_24), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC592_u_soc_u_iccm_rdata1_25 (
+   sky130_fd_sc_hd__inv_2 FE_OFC745_u_soc_u_iccm_rdata1_25 (
+	.A(FE_OFN744_u_soc_u_iccm_rdata1_25),
+	.Y(FE_OFN745_u_soc_u_iccm_rdata1_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC744_u_soc_u_iccm_rdata1_25 (
 	.A(u_soc_u_iccm_rdata1[25]),
-	.X(FE_OFN592_u_soc_u_iccm_rdata1_25), 
+	.Y(FE_OFN744_u_soc_u_iccm_rdata1_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC591_u_soc_u_iccm_rdata1_26 (
-	.A(u_soc_u_iccm_rdata1[26]),
-	.X(FE_OFN591_u_soc_u_iccm_rdata1_26), 
+   sky130_fd_sc_hd__buf_2 FE_OFC743_u_soc_u_iccm_rdata1_26 (
+	.A(FE_PDN3764_u_soc_u_iccm_rdata1_26),
+	.X(FE_OFN743_u_soc_u_iccm_rdata1_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC590_u_soc_u_iccm_rdata1_27 (
+   sky130_fd_sc_hd__buf_2 FE_OFC742_u_soc_u_iccm_rdata1_27 (
 	.A(u_soc_u_iccm_rdata1[27]),
-	.X(FE_OFN590_u_soc_u_iccm_rdata1_27), 
+	.X(FE_OFN742_u_soc_u_iccm_rdata1_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC589_u_soc_u_iccm_rdata1_28 (
+   sky130_fd_sc_hd__buf_2 FE_OFC741_u_soc_u_iccm_rdata1_28 (
 	.A(u_soc_u_iccm_rdata1[28]),
-	.X(FE_OFN589_u_soc_u_iccm_rdata1_28), 
+	.X(FE_OFN741_u_soc_u_iccm_rdata1_28), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC588_u_soc_u_iccm_rdata1_29 (
+   sky130_fd_sc_hd__buf_2 FE_OFC740_u_soc_u_iccm_rdata1_29 (
 	.A(u_soc_u_iccm_rdata1[29]),
-	.X(FE_OFN588_u_soc_u_iccm_rdata1_29), 
+	.X(FE_OFN740_u_soc_u_iccm_rdata1_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC587_u_soc_u_iccm_rdata1_30 (
+   sky130_fd_sc_hd__buf_2 FE_OFC739_u_soc_u_iccm_rdata1_30 (
 	.A(u_soc_u_iccm_rdata1[30]),
-	.X(FE_OFN587_u_soc_u_iccm_rdata1_30), 
+	.X(FE_OFN739_u_soc_u_iccm_rdata1_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC586_u_soc_u_iccm_rdata1_31 (
+   sky130_fd_sc_hd__buf_2 FE_OFC738_u_soc_u_iccm_rdata1_31 (
 	.A(u_soc_u_iccm_rdata1[31]),
-	.X(FE_OFN586_u_soc_u_iccm_rdata1_31), 
+	.X(FE_OFN738_u_soc_u_iccm_rdata1_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC585_u_soc_instr_wdata_3 (
-	.A(FE_OFN584_u_soc_instr_wdata_3),
-	.Y(FE_OFN585_u_soc_instr_wdata_3), 
+   sky130_fd_sc_hd__inv_1 FE_OFC737_u_soc_instr_wdata_0 (
+	.A(FE_PDN3771_FE_OFN735_u_soc_instr_wdata_0),
+	.Y(FE_OFN737_u_soc_instr_wdata_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC584_u_soc_instr_wdata_3 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC736_u_soc_instr_wdata_0 (
+	.A(FE_OFN734_u_soc_instr_wdata_0),
+	.X(FE_OFN736_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC735_u_soc_instr_wdata_0 (
+	.A(FE_OFN732_u_soc_instr_wdata_0),
+	.Y(FE_OFN735_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC734_u_soc_instr_wdata_0 (
+	.A(FE_OFN731_u_soc_instr_wdata_0),
+	.Y(FE_OFN734_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC733_u_soc_instr_wdata_0 (
+	.A(FE_OFN731_u_soc_instr_wdata_0),
+	.Y(FE_OFN733_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC732_u_soc_instr_wdata_0 (
+	.A(u_soc_instr_wdata[0]),
+	.X(FE_OFN732_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC731_u_soc_instr_wdata_0 (
+	.A(u_soc_instr_wdata[0]),
+	.Y(FE_OFN731_u_soc_instr_wdata_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC730_u_soc_instr_wdata_1 (
+	.A(FE_OFN728_u_soc_instr_wdata_1),
+	.Y(FE_OFN730_u_soc_instr_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC729_u_soc_instr_wdata_1 (
+	.A(FE_OFN728_u_soc_instr_wdata_1),
+	.Y(FE_OFN729_u_soc_instr_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC728_u_soc_instr_wdata_1 (
+	.A(FE_OFN725_u_soc_instr_wdata_1),
+	.Y(FE_OFN728_u_soc_instr_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC727_u_soc_instr_wdata_1 (
+	.A(FE_OFN724_u_soc_instr_wdata_1),
+	.Y(FE_OFN727_u_soc_instr_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC726_u_soc_instr_wdata_1 (
+	.A(FE_OFN724_u_soc_instr_wdata_1),
+	.Y(FE_OFN726_u_soc_instr_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC725_u_soc_instr_wdata_1 (
+	.A(FE_OFN724_u_soc_instr_wdata_1),
+	.Y(FE_OFN725_u_soc_instr_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC724_u_soc_instr_wdata_1 (
+	.A(u_soc_instr_wdata[1]),
+	.Y(FE_OFN724_u_soc_instr_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC723_u_soc_instr_wdata_2 (
+	.A(FE_OFN18686_FE_OFN720_u_soc_instr_wdata_2),
+	.Y(FE_OFN723_u_soc_instr_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC722_u_soc_instr_wdata_2 (
+	.A(FE_OFN18686_FE_OFN720_u_soc_instr_wdata_2),
+	.Y(FE_OFN722_u_soc_instr_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC721_u_soc_instr_wdata_2 (
+	.A(FE_OFN718_u_soc_instr_wdata_2),
+	.Y(FE_OFN721_u_soc_instr_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC720_u_soc_instr_wdata_2 (
+	.A(u_soc_instr_wdata[2]),
+	.Y(FE_OFN720_u_soc_instr_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC719_u_soc_instr_wdata_2 (
+	.A(u_soc_instr_wdata[2]),
+	.X(FE_OFN719_u_soc_instr_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC718_u_soc_instr_wdata_2 (
+	.A(u_soc_instr_wdata[2]),
+	.Y(FE_OFN718_u_soc_instr_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC717_u_soc_instr_wdata_3 (
+	.A(FE_OFN715_u_soc_instr_wdata_3),
+	.X(FE_OFN717_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC716_u_soc_instr_wdata_3 (
+	.A(FE_PDN3750_FE_OFN713_u_soc_instr_wdata_3),
+	.X(FE_OFN716_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC715_u_soc_instr_wdata_3 (
+	.A(FE_OFN712_u_soc_instr_wdata_3),
+	.Y(FE_OFN715_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC714_u_soc_instr_wdata_3 (
+	.A(FE_OFN712_u_soc_instr_wdata_3),
+	.Y(FE_OFN714_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC713_u_soc_instr_wdata_3 (
+	.A(FE_OFN712_u_soc_instr_wdata_3),
+	.Y(FE_OFN713_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC712_u_soc_instr_wdata_3 (
+	.A(FE_OFN711_u_soc_instr_wdata_3),
+	.Y(FE_OFN712_u_soc_instr_wdata_3), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC711_u_soc_instr_wdata_3 (
 	.A(u_soc_instr_wdata[3]),
-	.Y(FE_OFN584_u_soc_instr_wdata_3), 
+	.X(FE_OFN711_u_soc_instr_wdata_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC583_u_soc_instr_wdata_3 (
-	.A(FE_PDN3933_FE_OFN580_u_soc_instr_wdata_3),
-	.Y(FE_OFN583_u_soc_instr_wdata_3), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC710_u_soc_instr_wdata_4 (
+	.A(FE_OFN708_u_soc_instr_wdata_4),
+	.X(FE_OFN710_u_soc_instr_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC581_u_soc_instr_wdata_3 (
-	.A(u_soc_instr_wdata[3]),
-	.X(FE_OFN581_u_soc_instr_wdata_3), 
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC709_u_soc_instr_wdata_4 (
+	.A(FE_PDN3733_FE_OFN706_u_soc_instr_wdata_4),
+	.X(FE_OFN709_u_soc_instr_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC580_u_soc_instr_wdata_3 (
-	.A(u_soc_instr_wdata[3]),
-	.Y(FE_OFN580_u_soc_instr_wdata_3), 
+   sky130_fd_sc_hd__inv_2 FE_OFC708_u_soc_instr_wdata_4 (
+	.A(FE_OFN705_u_soc_instr_wdata_4),
+	.Y(FE_OFN708_u_soc_instr_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC579_u_soc_instr_wdata_6 (
-	.A(FE_PDN3883_FE_OFN576_u_soc_instr_wdata_6),
-	.X(FE_OFN579_u_soc_instr_wdata_6), 
+   sky130_fd_sc_hd__inv_1 FE_OFC707_u_soc_instr_wdata_4 (
+	.A(FE_OFN705_u_soc_instr_wdata_4),
+	.Y(FE_OFN707_u_soc_instr_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC578_u_soc_instr_wdata_6 (
-	.A(FE_PDN3883_FE_OFN576_u_soc_instr_wdata_6),
-	.X(FE_OFN578_u_soc_instr_wdata_6), 
+   sky130_fd_sc_hd__inv_2 FE_OFC706_u_soc_instr_wdata_4 (
+	.A(FE_OFN705_u_soc_instr_wdata_4),
+	.Y(FE_OFN706_u_soc_instr_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC577_u_soc_instr_wdata_6 (
-	.A(FE_OFN576_u_soc_instr_wdata_6),
-	.X(FE_OFN577_u_soc_instr_wdata_6), 
+   sky130_fd_sc_hd__inv_2 FE_OFC705_u_soc_instr_wdata_4 (
+	.A(FE_OFN704_u_soc_instr_wdata_4),
+	.Y(FE_OFN705_u_soc_instr_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC576_u_soc_instr_wdata_6 (
-	.A(FE_OFN575_u_soc_instr_wdata_6),
-	.Y(FE_OFN576_u_soc_instr_wdata_6), 
+   sky130_fd_sc_hd__buf_2 FE_OFC704_u_soc_instr_wdata_4 (
+	.A(u_soc_instr_wdata[4]),
+	.X(FE_OFN704_u_soc_instr_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC575_u_soc_instr_wdata_6 (
-	.A(FE_OFN574_u_soc_instr_wdata_6),
-	.Y(FE_OFN575_u_soc_instr_wdata_6), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC703_u_soc_instr_wdata_5 (
+	.A(FE_OFN700_u_soc_instr_wdata_5),
+	.X(FE_OFN703_u_soc_instr_wdata_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC574_u_soc_instr_wdata_6 (
+   sky130_fd_sc_hd__buf_8 FE_OFC702_u_soc_instr_wdata_5 (
+	.A(FE_PDN3731_FE_OFN699_u_soc_instr_wdata_5),
+	.X(FE_OFN702_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC701_u_soc_instr_wdata_5 (
+	.A(FE_OFN698_u_soc_instr_wdata_5),
+	.Y(FE_OFN701_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC700_u_soc_instr_wdata_5 (
+	.A(FE_OFN698_u_soc_instr_wdata_5),
+	.Y(FE_OFN700_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC699_u_soc_instr_wdata_5 (
+	.A(FE_OFN698_u_soc_instr_wdata_5),
+	.Y(FE_OFN699_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC698_u_soc_instr_wdata_5 (
+	.A(FE_OFN697_u_soc_instr_wdata_5),
+	.Y(FE_OFN698_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC697_u_soc_instr_wdata_5 (
+	.A(u_soc_instr_wdata[5]),
+	.X(FE_OFN697_u_soc_instr_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC696_u_soc_instr_wdata_6 (
+	.A(FE_OFN695_u_soc_instr_wdata_6),
+	.Y(FE_OFN696_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC695_u_soc_instr_wdata_6 (
+	.A(FE_OFN694_u_soc_instr_wdata_6),
+	.Y(FE_OFN695_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC694_u_soc_instr_wdata_6 (
+	.A(FE_OFN692_u_soc_instr_wdata_6),
+	.X(FE_OFN694_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC693_u_soc_instr_wdata_6 (
+	.A(FE_OFN690_u_soc_instr_wdata_6),
+	.Y(FE_OFN693_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC692_u_soc_instr_wdata_6 (
+	.A(FE_OFN690_u_soc_instr_wdata_6),
+	.Y(FE_OFN692_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC691_u_soc_instr_wdata_6 (
+	.A(FE_OFN690_u_soc_instr_wdata_6),
+	.Y(FE_OFN691_u_soc_instr_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC690_u_soc_instr_wdata_6 (
 	.A(u_soc_instr_wdata[6]),
-	.X(FE_OFN574_u_soc_instr_wdata_6), 
+	.Y(FE_OFN690_u_soc_instr_wdata_6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC573_u_soc_instr_wdata_7 (
-	.A(FE_OFN571_u_soc_instr_wdata_7),
-	.Y(FE_OFN573_u_soc_instr_wdata_7), 
+   sky130_fd_sc_hd__inv_1 FE_OFC689_u_soc_instr_wdata_7 (
+	.A(FE_OFN688_u_soc_instr_wdata_7),
+	.Y(FE_OFN689_u_soc_instr_wdata_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC572_u_soc_instr_wdata_7 (
-	.A(FE_OFN571_u_soc_instr_wdata_7),
-	.Y(FE_OFN572_u_soc_instr_wdata_7), 
+   sky130_fd_sc_hd__inv_2 FE_OFC688_u_soc_instr_wdata_7 (
+	.A(FE_OFN687_u_soc_instr_wdata_7),
+	.Y(FE_OFN688_u_soc_instr_wdata_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC571_u_soc_instr_wdata_7 (
-	.A(FE_PDN3890_FE_OFN569_u_soc_instr_wdata_7),
-	.Y(FE_OFN571_u_soc_instr_wdata_7), 
+   sky130_fd_sc_hd__buf_6 FE_OFC687_u_soc_instr_wdata_7 (
+	.A(FE_PDN3845_FE_OFN685_u_soc_instr_wdata_7),
+	.X(FE_OFN687_u_soc_instr_wdata_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC570_u_soc_instr_wdata_7 (
-	.A(FE_OFN569_u_soc_instr_wdata_7),
-	.X(FE_OFN570_u_soc_instr_wdata_7), 
+   sky130_fd_sc_hd__inv_1 FE_OFC686_u_soc_instr_wdata_7 (
+	.A(FE_PDN3710_FE_OFN683_u_soc_instr_wdata_7),
+	.Y(FE_OFN686_u_soc_instr_wdata_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC569_u_soc_instr_wdata_7 (
+   sky130_fd_sc_hd__inv_2 FE_OFC685_u_soc_instr_wdata_7 (
+	.A(FE_OFN683_u_soc_instr_wdata_7),
+	.Y(FE_OFN685_u_soc_instr_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC684_u_soc_instr_wdata_7 (
+	.A(FE_OFN683_u_soc_instr_wdata_7),
+	.Y(FE_OFN684_u_soc_instr_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC683_u_soc_instr_wdata_7 (
 	.A(u_soc_instr_wdata[7]),
-	.X(FE_OFN569_u_soc_instr_wdata_7), 
+	.Y(FE_OFN683_u_soc_instr_wdata_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC567_u_soc_instr_wdata_8 (
-	.A(FE_OFN565_u_soc_instr_wdata_8),
-	.Y(FE_OFN567_u_soc_instr_wdata_8), 
+   sky130_fd_sc_hd__buf_2 FE_OFC682_u_soc_instr_wdata_8 (
+	.A(FE_OFN680_u_soc_instr_wdata_8),
+	.X(FE_OFN682_u_soc_instr_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC566_u_soc_instr_wdata_8 (
-	.A(FE_OFN565_u_soc_instr_wdata_8),
-	.Y(FE_OFN566_u_soc_instr_wdata_8), 
+   sky130_fd_sc_hd__inv_1 FE_OFC681_u_soc_instr_wdata_8 (
+	.A(FE_OFN678_u_soc_instr_wdata_8),
+	.Y(FE_OFN681_u_soc_instr_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC565_u_soc_instr_wdata_8 (
-	.A(FE_OFN564_u_soc_instr_wdata_8),
-	.Y(FE_OFN565_u_soc_instr_wdata_8), 
+   sky130_fd_sc_hd__inv_2 FE_OFC680_u_soc_instr_wdata_8 (
+	.A(FE_OFN678_u_soc_instr_wdata_8),
+	.Y(FE_OFN680_u_soc_instr_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC564_u_soc_instr_wdata_8 (
-	.A(FE_OFN563_u_soc_instr_wdata_8),
-	.X(FE_OFN564_u_soc_instr_wdata_8), 
+   sky130_fd_sc_hd__bufinv_8 FE_OFC679_u_soc_instr_wdata_8 (
+	.A(FE_PDN3727_FE_OFN676_u_soc_instr_wdata_8),
+	.Y(FE_OFN679_u_soc_instr_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC563_u_soc_instr_wdata_8 (
-	.A(FE_OFN562_u_soc_instr_wdata_8),
-	.X(FE_OFN563_u_soc_instr_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC562_u_soc_instr_wdata_8 (
+   sky130_fd_sc_hd__inv_2 FE_OFC678_u_soc_instr_wdata_8 (
 	.A(u_soc_instr_wdata[8]),
-	.X(FE_OFN562_u_soc_instr_wdata_8), 
+	.Y(FE_OFN678_u_soc_instr_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC561_u_soc_instr_wdata_9 (
-	.A(FE_OFN559_u_soc_instr_wdata_9),
-	.Y(FE_OFN561_u_soc_instr_wdata_9), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC677_u_soc_instr_wdata_8 (
+	.A(u_soc_instr_wdata[8]),
+	.X(FE_OFN677_u_soc_instr_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC560_u_soc_instr_wdata_9 (
-	.A(FE_OFN559_u_soc_instr_wdata_9),
-	.Y(FE_OFN560_u_soc_instr_wdata_9), 
+   sky130_fd_sc_hd__inv_2 FE_OFC676_u_soc_instr_wdata_8 (
+	.A(u_soc_instr_wdata[8]),
+	.Y(FE_OFN676_u_soc_instr_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC559_u_soc_instr_wdata_9 (
-	.A(FE_OFN558_u_soc_instr_wdata_9),
-	.Y(FE_OFN559_u_soc_instr_wdata_9), 
+   sky130_fd_sc_hd__inv_1 FE_OFC675_u_soc_instr_wdata_9 (
+	.A(FE_OFN674_u_soc_instr_wdata_9),
+	.Y(FE_OFN675_u_soc_instr_wdata_9), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_8 FE_OFC558_u_soc_instr_wdata_9 (
-	.A(FE_OFN557_u_soc_instr_wdata_9),
-	.X(FE_OFN558_u_soc_instr_wdata_9), 
+   sky130_fd_sc_hd__inv_2 FE_OFC674_u_soc_instr_wdata_9 (
+	.A(FE_OFN673_u_soc_instr_wdata_9),
+	.Y(FE_OFN674_u_soc_instr_wdata_9), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC557_u_soc_instr_wdata_9 (
-	.A(FE_OFN556_u_soc_instr_wdata_9),
-	.X(FE_OFN557_u_soc_instr_wdata_9), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC673_u_soc_instr_wdata_9 (
+	.A(FE_OFN671_u_soc_instr_wdata_9),
+	.X(FE_OFN673_u_soc_instr_wdata_9), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC556_u_soc_instr_wdata_9 (
+   sky130_fd_sc_hd__inv_1 FE_OFC672_u_soc_instr_wdata_9 (
+	.A(FE_OFN669_u_soc_instr_wdata_9),
+	.Y(FE_OFN672_u_soc_instr_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC671_u_soc_instr_wdata_9 (
+	.A(FE_OFN669_u_soc_instr_wdata_9),
+	.Y(FE_OFN671_u_soc_instr_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC670_u_soc_instr_wdata_9 (
+	.A(FE_OFN669_u_soc_instr_wdata_9),
+	.Y(FE_OFN670_u_soc_instr_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC669_u_soc_instr_wdata_9 (
 	.A(u_soc_instr_wdata[9]),
-	.X(FE_OFN556_u_soc_instr_wdata_9), 
+	.Y(FE_OFN669_u_soc_instr_wdata_9), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC555_u_soc_instr_wdata_10 (
-	.A(FE_OFN553_u_soc_instr_wdata_10),
-	.Y(FE_OFN555_u_soc_instr_wdata_10), 
+   sky130_fd_sc_hd__bufinv_16 FE_OFC668_u_soc_instr_wdata_10 (
+	.A(FE_OFN666_u_soc_instr_wdata_10),
+	.Y(FE_OFN668_u_soc_instr_wdata_10), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC554_u_soc_instr_wdata_10 (
-	.A(FE_OFN552_u_soc_instr_wdata_10),
-	.Y(FE_OFN554_u_soc_instr_wdata_10), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC667_u_soc_instr_wdata_10 (
+	.A(FE_OFN665_u_soc_instr_wdata_10),
+	.X(FE_OFN667_u_soc_instr_wdata_10), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC553_u_soc_instr_wdata_10 (
-	.A(FE_OFN551_u_soc_instr_wdata_10),
-	.Y(FE_OFN553_u_soc_instr_wdata_10), 
+   sky130_fd_sc_hd__inv_2 FE_OFC666_u_soc_instr_wdata_10 (
+	.A(FE_OFN663_u_soc_instr_wdata_10),
+	.Y(FE_OFN666_u_soc_instr_wdata_10), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC552_u_soc_instr_wdata_10 (
-	.A(FE_OFN19407_FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10),
-	.Y(FE_OFN552_u_soc_instr_wdata_10), 
+   sky130_fd_sc_hd__inv_2 FE_OFC665_u_soc_instr_wdata_10 (
+	.A(FE_PDN3690_FE_OFN662_u_soc_instr_wdata_10),
+	.Y(FE_OFN665_u_soc_instr_wdata_10), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC551_u_soc_instr_wdata_10 (
+   sky130_fd_sc_hd__inv_1 FE_OFC664_u_soc_instr_wdata_10 (
+	.A(FE_PDN3690_FE_OFN662_u_soc_instr_wdata_10),
+	.Y(FE_OFN664_u_soc_instr_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC663_u_soc_instr_wdata_10 (
 	.A(u_soc_instr_wdata[10]),
-	.X(FE_OFN551_u_soc_instr_wdata_10), 
+	.X(FE_OFN663_u_soc_instr_wdata_10), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC549_u_soc_instr_wdata_11 (
-	.A(FE_OFN18811_FE_OFN546_u_soc_instr_wdata_11),
-	.X(FE_OFN549_u_soc_instr_wdata_11), 
+   sky130_fd_sc_hd__inv_2 FE_OFC662_u_soc_instr_wdata_10 (
+	.A(u_soc_instr_wdata[10]),
+	.Y(FE_OFN662_u_soc_instr_wdata_10), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC548_u_soc_instr_wdata_11 (
-	.A(FE_OFN544_u_soc_instr_wdata_11),
-	.Y(FE_OFN548_u_soc_instr_wdata_11), 
+   sky130_fd_sc_hd__inv_1 FE_OFC661_u_soc_instr_wdata_11 (
+	.A(FE_OFN660_u_soc_instr_wdata_11),
+	.Y(FE_OFN661_u_soc_instr_wdata_11), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC547_u_soc_instr_wdata_11 (
-	.A(FE_OFN544_u_soc_instr_wdata_11),
-	.Y(FE_OFN547_u_soc_instr_wdata_11), 
+   sky130_fd_sc_hd__inv_2 FE_OFC660_u_soc_instr_wdata_11 (
+	.A(FE_OFN659_u_soc_instr_wdata_11),
+	.Y(FE_OFN660_u_soc_instr_wdata_11), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC546_u_soc_instr_wdata_11 (
-	.A(FE_OFN544_u_soc_instr_wdata_11),
-	.Y(FE_OFN546_u_soc_instr_wdata_11), 
+   sky130_fd_sc_hd__buf_2 FE_OFC659_u_soc_instr_wdata_11 (
+	.A(FE_OFN657_u_soc_instr_wdata_11),
+	.X(FE_OFN659_u_soc_instr_wdata_11), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC544_u_soc_instr_wdata_11 (
+   sky130_fd_sc_hd__inv_1 FE_OFC658_u_soc_instr_wdata_11 (
+	.A(FE_PDN3744_FE_OFN655_u_soc_instr_wdata_11),
+	.Y(FE_OFN658_u_soc_instr_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC657_u_soc_instr_wdata_11 (
+	.A(FE_OFN655_u_soc_instr_wdata_11),
+	.Y(FE_OFN657_u_soc_instr_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC656_u_soc_instr_wdata_11 (
+	.A(FE_OFN655_u_soc_instr_wdata_11),
+	.Y(FE_OFN656_u_soc_instr_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC655_u_soc_instr_wdata_11 (
 	.A(u_soc_instr_wdata[11]),
-	.Y(FE_OFN544_u_soc_instr_wdata_11), 
+	.Y(FE_OFN655_u_soc_instr_wdata_11), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC543_u_soc_instr_wdata_12 (
-	.A(FE_OFN542_u_soc_instr_wdata_12),
-	.Y(FE_OFN543_u_soc_instr_wdata_12), 
+   sky130_fd_sc_hd__buf_2 FE_OFC654_u_soc_instr_wdata_12 (
+	.A(FE_OFN653_u_soc_instr_wdata_12),
+	.X(FE_OFN654_u_soc_instr_wdata_12), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC542_u_soc_instr_wdata_12 (
-	.A(FE_OFN540_u_soc_instr_wdata_12),
-	.Y(FE_OFN542_u_soc_instr_wdata_12), 
+   sky130_fd_sc_hd__inv_2 FE_OFC653_u_soc_instr_wdata_12 (
+	.A(FE_OFN650_u_soc_instr_wdata_12),
+	.Y(FE_OFN653_u_soc_instr_wdata_12), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC541_u_soc_instr_wdata_12 (
-	.A(FE_PDN3910_FE_OFN539_u_soc_instr_wdata_12),
-	.Y(FE_OFN541_u_soc_instr_wdata_12), 
+   sky130_fd_sc_hd__inv_1 FE_OFC652_u_soc_instr_wdata_12 (
+	.A(FE_OFN650_u_soc_instr_wdata_12),
+	.Y(FE_OFN652_u_soc_instr_wdata_12), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_8 FE_OFC540_u_soc_instr_wdata_12 (
-	.A(FE_OFN539_u_soc_instr_wdata_12),
-	.Y(FE_OFN540_u_soc_instr_wdata_12), 
+   sky130_fd_sc_hd__inv_1 FE_OFC651_u_soc_instr_wdata_12 (
+	.A(FE_OFN648_u_soc_instr_wdata_12),
+	.Y(FE_OFN651_u_soc_instr_wdata_12), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC539_u_soc_instr_wdata_12 (
-	.A(FE_OFN537_u_soc_instr_wdata_12),
-	.Y(FE_OFN539_u_soc_instr_wdata_12), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC537_u_soc_instr_wdata_12 (
+   sky130_fd_sc_hd__inv_2 FE_OFC650_u_soc_instr_wdata_12 (
 	.A(u_soc_instr_wdata[12]),
-	.X(FE_OFN537_u_soc_instr_wdata_12), 
+	.Y(FE_OFN650_u_soc_instr_wdata_12), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC536_u_soc_instr_wdata_13 (
-	.A(FE_OFN535_u_soc_instr_wdata_13),
-	.Y(FE_OFN536_u_soc_instr_wdata_13), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC649_u_soc_instr_wdata_12 (
+	.A(u_soc_instr_wdata[12]),
+	.X(FE_OFN649_u_soc_instr_wdata_12), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC535_u_soc_instr_wdata_13 (
-	.A(FE_OFN533_u_soc_instr_wdata_13),
-	.Y(FE_OFN535_u_soc_instr_wdata_13), 
+   sky130_fd_sc_hd__inv_2 FE_OFC648_u_soc_instr_wdata_12 (
+	.A(u_soc_instr_wdata[12]),
+	.Y(FE_OFN648_u_soc_instr_wdata_12), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC534_u_soc_instr_wdata_13 (
-	.A(FE_OFN532_u_soc_instr_wdata_13),
-	.Y(FE_OFN534_u_soc_instr_wdata_13), 
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC647_u_soc_instr_wdata_13 (
+	.A(FE_OFN646_u_soc_instr_wdata_13),
+	.X(FE_OFN647_u_soc_instr_wdata_13), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC533_u_soc_instr_wdata_13 (
-	.A(FE_OFN531_u_soc_instr_wdata_13),
-	.X(FE_OFN533_u_soc_instr_wdata_13), 
+   sky130_fd_sc_hd__inv_2 FE_OFC646_u_soc_instr_wdata_13 (
+	.A(FE_OFN643_u_soc_instr_wdata_13),
+	.Y(FE_OFN646_u_soc_instr_wdata_13), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC532_u_soc_instr_wdata_13 (
-	.A(FE_OFN531_u_soc_instr_wdata_13),
-	.Y(FE_OFN532_u_soc_instr_wdata_13), 
+   sky130_fd_sc_hd__inv_1 FE_OFC645_u_soc_instr_wdata_13 (
+	.A(FE_OFN643_u_soc_instr_wdata_13),
+	.Y(FE_OFN645_u_soc_instr_wdata_13), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC531_u_soc_instr_wdata_13 (
-	.A(FE_OFN530_u_soc_instr_wdata_13),
-	.X(FE_OFN531_u_soc_instr_wdata_13), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC644_u_soc_instr_wdata_13 (
+	.A(FE_OFN642_u_soc_instr_wdata_13),
+	.X(FE_OFN644_u_soc_instr_wdata_13), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC530_u_soc_instr_wdata_13 (
+   sky130_fd_sc_hd__inv_2 FE_OFC643_u_soc_instr_wdata_13 (
+	.A(FE_OFN642_u_soc_instr_wdata_13),
+	.Y(FE_OFN643_u_soc_instr_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC642_u_soc_instr_wdata_13 (
+	.A(FE_OFN641_u_soc_instr_wdata_13),
+	.X(FE_OFN642_u_soc_instr_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC641_u_soc_instr_wdata_13 (
 	.A(u_soc_instr_wdata[13]),
-	.X(FE_OFN530_u_soc_instr_wdata_13), 
+	.X(FE_OFN641_u_soc_instr_wdata_13), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC529_u_soc_instr_wdata_14 (
-	.A(FE_OFN528_u_soc_instr_wdata_14),
-	.Y(FE_OFN529_u_soc_instr_wdata_14), 
+   sky130_fd_sc_hd__inv_1 FE_OFC640_u_soc_instr_wdata_14 (
+	.A(FE_OFN638_u_soc_instr_wdata_14),
+	.Y(FE_OFN640_u_soc_instr_wdata_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC528_u_soc_instr_wdata_14 (
-	.A(FE_OFN526_u_soc_instr_wdata_14),
-	.Y(FE_OFN528_u_soc_instr_wdata_14), 
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC639_u_soc_instr_wdata_14 (
+	.A(FE_OFN637_u_soc_instr_wdata_14),
+	.X(FE_OFN639_u_soc_instr_wdata_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC526_u_soc_instr_wdata_14 (
-	.A(FE_OFN524_u_soc_instr_wdata_14),
-	.X(FE_OFN526_u_soc_instr_wdata_14), 
+   sky130_fd_sc_hd__inv_2 FE_OFC638_u_soc_instr_wdata_14 (
+	.A(FE_OFN635_u_soc_instr_wdata_14),
+	.Y(FE_OFN638_u_soc_instr_wdata_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC525_u_soc_instr_wdata_14 (
-	.A(FE_OFN523_u_soc_instr_wdata_14),
-	.Y(FE_OFN525_u_soc_instr_wdata_14), 
+   sky130_fd_sc_hd__inv_2 FE_OFC637_u_soc_instr_wdata_14 (
+	.A(FE_OFN634_u_soc_instr_wdata_14),
+	.Y(FE_OFN637_u_soc_instr_wdata_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC524_u_soc_instr_wdata_14 (
-	.A(FE_OFN523_u_soc_instr_wdata_14),
-	.Y(FE_OFN524_u_soc_instr_wdata_14), 
+   sky130_fd_sc_hd__inv_1 FE_OFC636_u_soc_instr_wdata_14 (
+	.A(FE_OFN634_u_soc_instr_wdata_14),
+	.Y(FE_OFN636_u_soc_instr_wdata_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC523_u_soc_instr_wdata_14 (
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC635_u_soc_instr_wdata_14 (
 	.A(u_soc_instr_wdata[14]),
-	.Y(FE_OFN523_u_soc_instr_wdata_14), 
+	.X(FE_OFN635_u_soc_instr_wdata_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC522_u_soc_instr_wdata_15 (
-	.A(FE_OFN518_u_soc_instr_wdata_15),
-	.X(FE_OFN522_u_soc_instr_wdata_15), 
+   sky130_fd_sc_hd__inv_2 FE_OFC634_u_soc_instr_wdata_14 (
+	.A(u_soc_instr_wdata[14]),
+	.Y(FE_OFN634_u_soc_instr_wdata_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC521_u_soc_instr_wdata_15 (
-	.A(FE_OFN516_u_soc_instr_wdata_15),
-	.Y(FE_OFN521_u_soc_instr_wdata_15), 
+   sky130_fd_sc_hd__inv_1 FE_OFC633_u_soc_instr_wdata_15 (
+	.A(FE_OFN632_u_soc_instr_wdata_15),
+	.Y(FE_OFN633_u_soc_instr_wdata_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC520_u_soc_instr_wdata_15 (
-	.A(FE_OFN516_u_soc_instr_wdata_15),
-	.Y(FE_OFN520_u_soc_instr_wdata_15), 
+   sky130_fd_sc_hd__inv_2 FE_OFC632_u_soc_instr_wdata_15 (
+	.A(FE_OFN630_u_soc_instr_wdata_15),
+	.Y(FE_OFN632_u_soc_instr_wdata_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC519_u_soc_instr_wdata_15 (
-	.A(FE_OFN516_u_soc_instr_wdata_15),
-	.Y(FE_OFN519_u_soc_instr_wdata_15), 
+   sky130_fd_sc_hd__inv_1 FE_OFC631_u_soc_instr_wdata_15 (
+	.A(FE_OFN629_u_soc_instr_wdata_15),
+	.Y(FE_OFN631_u_soc_instr_wdata_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC518_u_soc_instr_wdata_15 (
-	.A(FE_OFN516_u_soc_instr_wdata_15),
-	.Y(FE_OFN518_u_soc_instr_wdata_15), 
+   sky130_fd_sc_hd__inv_1 FE_OFC630_u_soc_instr_wdata_15 (
+	.A(FE_PDN3753_FE_OFN628_u_soc_instr_wdata_15),
+	.Y(FE_OFN630_u_soc_instr_wdata_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC516_u_soc_instr_wdata_15 (
+   sky130_fd_sc_hd__inv_2 FE_OFC629_u_soc_instr_wdata_15 (
+	.A(FE_OFN627_u_soc_instr_wdata_15),
+	.Y(FE_OFN629_u_soc_instr_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC628_u_soc_instr_wdata_15 (
+	.A(FE_OFN627_u_soc_instr_wdata_15),
+	.Y(FE_OFN628_u_soc_instr_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC627_u_soc_instr_wdata_15 (
 	.A(u_soc_instr_wdata[15]),
-	.Y(FE_OFN516_u_soc_instr_wdata_15), 
+	.X(FE_OFN627_u_soc_instr_wdata_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC515_u_soc_instr_wdata_16 (
-	.A(FE_OFN514_u_soc_instr_wdata_16),
-	.Y(FE_OFN515_u_soc_instr_wdata_16), 
+   sky130_fd_sc_hd__clkbuf_4 FE_OFC626_u_soc_instr_wdata_16 (
+	.A(FE_OFN624_u_soc_instr_wdata_16),
+	.X(FE_OFN626_u_soc_instr_wdata_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC514_u_soc_instr_wdata_16 (
-	.A(FE_OFN512_u_soc_instr_wdata_16),
-	.Y(FE_OFN514_u_soc_instr_wdata_16), 
+   sky130_fd_sc_hd__inv_1 FE_OFC625_u_soc_instr_wdata_16 (
+	.A(FE_OFN622_u_soc_instr_wdata_16),
+	.Y(FE_OFN625_u_soc_instr_wdata_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC513_u_soc_instr_wdata_16 (
+   sky130_fd_sc_hd__inv_2 FE_OFC624_u_soc_instr_wdata_16 (
+	.A(FE_OFN622_u_soc_instr_wdata_16),
+	.Y(FE_OFN624_u_soc_instr_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC623_u_soc_instr_wdata_16 (
+	.A(FE_PDN3805_FE_OFN621_u_soc_instr_wdata_16),
+	.Y(FE_OFN623_u_soc_instr_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC622_u_soc_instr_wdata_16 (
+	.A(FE_OFN620_u_soc_instr_wdata_16),
+	.Y(FE_OFN622_u_soc_instr_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC621_u_soc_instr_wdata_16 (
+	.A(FE_OFN620_u_soc_instr_wdata_16),
+	.Y(FE_OFN621_u_soc_instr_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC620_u_soc_instr_wdata_16 (
 	.A(u_soc_instr_wdata[16]),
-	.X(FE_OFN513_u_soc_instr_wdata_16), 
+	.X(FE_OFN620_u_soc_instr_wdata_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC512_u_soc_instr_wdata_16 (
-	.A(FE_OFN509_u_soc_instr_wdata_16),
-	.Y(FE_OFN512_u_soc_instr_wdata_16), 
+   sky130_fd_sc_hd__inv_1 FE_OFC619_u_soc_instr_wdata_17 (
+	.A(FE_OFN618_u_soc_instr_wdata_17),
+	.Y(FE_OFN619_u_soc_instr_wdata_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC510_u_soc_instr_wdata_16 (
-	.A(u_soc_instr_wdata[16]),
-	.X(FE_OFN510_u_soc_instr_wdata_16), 
+   sky130_fd_sc_hd__inv_2 FE_OFC618_u_soc_instr_wdata_17 (
+	.A(FE_OFN617_u_soc_instr_wdata_17),
+	.Y(FE_OFN618_u_soc_instr_wdata_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC509_u_soc_instr_wdata_16 (
-	.A(u_soc_instr_wdata[16]),
-	.Y(FE_OFN509_u_soc_instr_wdata_16), 
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC617_u_soc_instr_wdata_17 (
+	.A(FE_OFN615_u_soc_instr_wdata_17),
+	.X(FE_OFN617_u_soc_instr_wdata_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC508_u_soc_instr_wdata_17 (
-	.A(FE_OFN507_u_soc_instr_wdata_17),
-	.Y(FE_OFN508_u_soc_instr_wdata_17), 
+   sky130_fd_sc_hd__inv_1 FE_OFC616_u_soc_instr_wdata_17 (
+	.A(FE_OFN614_u_soc_instr_wdata_17),
+	.Y(FE_OFN616_u_soc_instr_wdata_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC507_u_soc_instr_wdata_17 (
-	.A(FE_OFN506_u_soc_instr_wdata_17),
-	.Y(FE_OFN507_u_soc_instr_wdata_17), 
+   sky130_fd_sc_hd__inv_2 FE_OFC615_u_soc_instr_wdata_17 (
+	.A(FE_OFN614_u_soc_instr_wdata_17),
+	.Y(FE_OFN615_u_soc_instr_wdata_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC506_u_soc_instr_wdata_17 (
-	.A(FE_OFN505_u_soc_instr_wdata_17),
-	.Y(FE_OFN506_u_soc_instr_wdata_17), 
+   sky130_fd_sc_hd__inv_2 FE_OFC614_u_soc_instr_wdata_17 (
+	.A(FE_OFN613_u_soc_instr_wdata_17),
+	.Y(FE_OFN614_u_soc_instr_wdata_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC505_u_soc_instr_wdata_17 (
-	.A(FE_OFN504_u_soc_instr_wdata_17),
-	.Y(FE_OFN505_u_soc_instr_wdata_17), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC504_u_soc_instr_wdata_17 (
+   sky130_fd_sc_hd__buf_2 FE_OFC613_u_soc_instr_wdata_17 (
 	.A(u_soc_instr_wdata[17]),
-	.X(FE_OFN504_u_soc_instr_wdata_17), 
+	.X(FE_OFN613_u_soc_instr_wdata_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC503_u_soc_instr_wdata_17 (
-	.A(u_soc_instr_wdata[17]),
-	.X(FE_OFN503_u_soc_instr_wdata_17), 
+   sky130_fd_sc_hd__inv_1 FE_OFC612_u_soc_instr_wdata_18 (
+	.A(FE_OFN611_u_soc_instr_wdata_18),
+	.Y(FE_OFN612_u_soc_instr_wdata_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC502_u_soc_instr_wdata_18 (
-	.A(FE_OFN501_u_soc_instr_wdata_18),
-	.Y(FE_OFN502_u_soc_instr_wdata_18), 
+   sky130_fd_sc_hd__inv_2 FE_OFC611_u_soc_instr_wdata_18 (
+	.A(FE_OFN610_u_soc_instr_wdata_18),
+	.Y(FE_OFN611_u_soc_instr_wdata_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC501_u_soc_instr_wdata_18 (
-	.A(FE_OFN500_u_soc_instr_wdata_18),
-	.Y(FE_OFN501_u_soc_instr_wdata_18), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC610_u_soc_instr_wdata_18 (
+	.A(FE_OFN608_u_soc_instr_wdata_18),
+	.X(FE_OFN610_u_soc_instr_wdata_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC500_u_soc_instr_wdata_18 (
+   sky130_fd_sc_hd__inv_1 FE_OFC609_u_soc_instr_wdata_18 (
+	.A(FE_OFN607_u_soc_instr_wdata_18),
+	.Y(FE_OFN609_u_soc_instr_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC608_u_soc_instr_wdata_18 (
+	.A(FE_OFN607_u_soc_instr_wdata_18),
+	.Y(FE_OFN608_u_soc_instr_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC607_u_soc_instr_wdata_18 (
+	.A(FE_OFN606_u_soc_instr_wdata_18),
+	.Y(FE_OFN607_u_soc_instr_wdata_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC606_u_soc_instr_wdata_18 (
 	.A(u_soc_instr_wdata[18]),
-	.X(FE_OFN500_u_soc_instr_wdata_18), 
+	.X(FE_OFN606_u_soc_instr_wdata_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC499_u_soc_instr_wdata_18 (
-	.A(FE_OFN497_u_soc_instr_wdata_18),
-	.Y(FE_OFN499_u_soc_instr_wdata_18), 
+   sky130_fd_sc_hd__inv_1 FE_OFC605_u_soc_instr_wdata_19 (
+	.A(FE_PDN3760_FE_OFN604_u_soc_instr_wdata_19),
+	.Y(FE_OFN605_u_soc_instr_wdata_19), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC497_u_soc_instr_wdata_18 (
-	.A(u_soc_instr_wdata[18]),
-	.Y(FE_OFN497_u_soc_instr_wdata_18), 
+   sky130_fd_sc_hd__inv_2 FE_OFC604_u_soc_instr_wdata_19 (
+	.A(FE_OFN603_u_soc_instr_wdata_19),
+	.Y(FE_OFN604_u_soc_instr_wdata_19), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC495_u_soc_instr_wdata_19 (
-	.A(FE_OFN494_u_soc_instr_wdata_19),
-	.Y(FE_OFN495_u_soc_instr_wdata_19), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC603_u_soc_instr_wdata_19 (
+	.A(FE_OFN601_u_soc_instr_wdata_19),
+	.X(FE_OFN603_u_soc_instr_wdata_19), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC494_u_soc_instr_wdata_19 (
-	.A(FE_OFN493_u_soc_instr_wdata_19),
-	.Y(FE_OFN494_u_soc_instr_wdata_19), 
+   sky130_fd_sc_hd__inv_1 FE_OFC602_u_soc_instr_wdata_19 (
+	.A(FE_OFN599_u_soc_instr_wdata_19),
+	.Y(FE_OFN602_u_soc_instr_wdata_19), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC493_u_soc_instr_wdata_19 (
-	.A(FE_OFN492_u_soc_instr_wdata_19),
-	.Y(FE_OFN493_u_soc_instr_wdata_19), 
+   sky130_fd_sc_hd__inv_2 FE_OFC601_u_soc_instr_wdata_19 (
+	.A(FE_OFN599_u_soc_instr_wdata_19),
+	.Y(FE_OFN601_u_soc_instr_wdata_19), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC492_u_soc_instr_wdata_19 (
-	.A(FE_PDN4040_FE_OFN490_u_soc_instr_wdata_19),
-	.Y(FE_OFN492_u_soc_instr_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC491_u_soc_instr_wdata_19 (
-	.A(FE_OFN489_u_soc_instr_wdata_19),
-	.Y(FE_OFN491_u_soc_instr_wdata_19), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC490_u_soc_instr_wdata_19 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC600_u_soc_instr_wdata_19 (
 	.A(u_soc_instr_wdata[19]),
-	.X(FE_OFN490_u_soc_instr_wdata_19), 
+	.X(FE_OFN600_u_soc_instr_wdata_19), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC489_u_soc_instr_wdata_19 (
+   sky130_fd_sc_hd__inv_2 FE_OFC599_u_soc_instr_wdata_19 (
 	.A(u_soc_instr_wdata[19]),
-	.Y(FE_OFN489_u_soc_instr_wdata_19), 
+	.Y(FE_OFN599_u_soc_instr_wdata_19), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC488_u_soc_instr_wdata_20 (
-	.A(FE_OFN486_u_soc_instr_wdata_20),
-	.Y(FE_OFN488_u_soc_instr_wdata_20), 
+   sky130_fd_sc_hd__inv_1 FE_OFC598_u_soc_instr_wdata_20 (
+	.A(FE_OFN597_u_soc_instr_wdata_20),
+	.Y(FE_OFN598_u_soc_instr_wdata_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC487_u_soc_instr_wdata_20 (
-	.A(FE_OFN485_u_soc_instr_wdata_20),
-	.Y(FE_OFN487_u_soc_instr_wdata_20), 
+   sky130_fd_sc_hd__inv_2 FE_OFC597_u_soc_instr_wdata_20 (
+	.A(FE_OFN595_u_soc_instr_wdata_20),
+	.Y(FE_OFN597_u_soc_instr_wdata_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC486_u_soc_instr_wdata_20 (
-	.A(FE_OFN484_u_soc_instr_wdata_20),
-	.Y(FE_OFN486_u_soc_instr_wdata_20), 
+   sky130_fd_sc_hd__inv_1 FE_OFC596_u_soc_instr_wdata_20 (
+	.A(FE_OFN594_u_soc_instr_wdata_20),
+	.Y(FE_OFN596_u_soc_instr_wdata_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC485_u_soc_instr_wdata_20 (
-	.A(FE_OFN483_u_soc_instr_wdata_20),
-	.Y(FE_OFN485_u_soc_instr_wdata_20), 
+   sky130_fd_sc_hd__inv_1 FE_OFC595_u_soc_instr_wdata_20 (
+	.A(FE_OFN593_u_soc_instr_wdata_20),
+	.Y(FE_OFN595_u_soc_instr_wdata_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC484_u_soc_instr_wdata_20 (
-	.A(FE_OFN482_u_soc_instr_wdata_20),
-	.Y(FE_OFN484_u_soc_instr_wdata_20), 
+   sky130_fd_sc_hd__inv_2 FE_OFC594_u_soc_instr_wdata_20 (
+	.A(FE_OFN592_u_soc_instr_wdata_20),
+	.Y(FE_OFN594_u_soc_instr_wdata_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC483_u_soc_instr_wdata_20 (
-	.A(FE_OFN482_u_soc_instr_wdata_20),
-	.Y(FE_OFN483_u_soc_instr_wdata_20), 
+   sky130_fd_sc_hd__inv_2 FE_OFC593_u_soc_instr_wdata_20 (
+	.A(FE_OFN592_u_soc_instr_wdata_20),
+	.Y(FE_OFN593_u_soc_instr_wdata_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC482_u_soc_instr_wdata_20 (
+   sky130_fd_sc_hd__buf_2 FE_OFC592_u_soc_instr_wdata_20 (
 	.A(u_soc_instr_wdata[20]),
-	.Y(FE_OFN482_u_soc_instr_wdata_20), 
+	.X(FE_OFN592_u_soc_instr_wdata_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC481_u_soc_instr_wdata_21 (
-	.A(FE_OFN479_u_soc_instr_wdata_21),
-	.Y(FE_OFN481_u_soc_instr_wdata_21), 
+   sky130_fd_sc_hd__inv_4 FE_OFC591_u_soc_instr_wdata_21 (
+	.A(FE_OFN590_u_soc_instr_wdata_21),
+	.Y(FE_OFN591_u_soc_instr_wdata_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC480_u_soc_instr_wdata_21 (
-	.A(FE_OFN478_u_soc_instr_wdata_21),
-	.X(FE_OFN480_u_soc_instr_wdata_21), 
+   sky130_fd_sc_hd__inv_2 FE_OFC590_u_soc_instr_wdata_21 (
+	.A(FE_OFN588_u_soc_instr_wdata_21),
+	.Y(FE_OFN590_u_soc_instr_wdata_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC479_u_soc_instr_wdata_21 (
-	.A(FE_OFN476_u_soc_instr_wdata_21),
-	.Y(FE_OFN479_u_soc_instr_wdata_21), 
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC589_u_soc_instr_wdata_21 (
+	.A(FE_OFN586_u_soc_instr_wdata_21),
+	.X(FE_OFN589_u_soc_instr_wdata_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC478_u_soc_instr_wdata_21 (
-	.A(FE_OFN475_u_soc_instr_wdata_21),
-	.Y(FE_OFN478_u_soc_instr_wdata_21), 
+   sky130_fd_sc_hd__inv_2 FE_OFC588_u_soc_instr_wdata_21 (
+	.A(FE_OFN585_u_soc_instr_wdata_21),
+	.Y(FE_OFN588_u_soc_instr_wdata_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC477_u_soc_instr_wdata_21 (
-	.A(FE_OFN475_u_soc_instr_wdata_21),
-	.Y(FE_OFN477_u_soc_instr_wdata_21), 
+   sky130_fd_sc_hd__inv_1 FE_OFC587_u_soc_instr_wdata_21 (
+	.A(FE_OFN585_u_soc_instr_wdata_21),
+	.Y(FE_OFN587_u_soc_instr_wdata_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC476_u_soc_instr_wdata_21 (
+   sky130_fd_sc_hd__inv_2 FE_OFC586_u_soc_instr_wdata_21 (
+	.A(FE_OFN585_u_soc_instr_wdata_21),
+	.Y(FE_OFN586_u_soc_instr_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC585_u_soc_instr_wdata_21 (
 	.A(u_soc_instr_wdata[21]),
-	.X(FE_OFN476_u_soc_instr_wdata_21), 
+	.Y(FE_OFN585_u_soc_instr_wdata_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC475_u_soc_instr_wdata_21 (
-	.A(u_soc_instr_wdata[21]),
-	.Y(FE_OFN475_u_soc_instr_wdata_21), 
+   sky130_fd_sc_hd__inv_1 FE_OFC584_u_soc_instr_wdata_22 (
+	.A(FE_PDN3739_FE_OFN582_u_soc_instr_wdata_22),
+	.Y(FE_OFN584_u_soc_instr_wdata_22), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC474_u_soc_instr_wdata_22 (
-	.A(FE_OFN472_u_soc_instr_wdata_22),
-	.Y(FE_OFN474_u_soc_instr_wdata_22), 
+   sky130_fd_sc_hd__inv_1 FE_OFC583_u_soc_instr_wdata_22 (
+	.A(FE_OFN582_u_soc_instr_wdata_22),
+	.Y(FE_OFN583_u_soc_instr_wdata_22), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC473_u_soc_instr_wdata_22 (
-	.A(FE_OFN471_u_soc_instr_wdata_22),
-	.Y(FE_OFN473_u_soc_instr_wdata_22), 
+   sky130_fd_sc_hd__inv_2 FE_OFC582_u_soc_instr_wdata_22 (
+	.A(FE_OFN580_u_soc_instr_wdata_22),
+	.Y(FE_OFN582_u_soc_instr_wdata_22), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC472_u_soc_instr_wdata_22 (
-	.A(FE_OFN469_u_soc_instr_wdata_22),
-	.Y(FE_OFN472_u_soc_instr_wdata_22), 
+   sky130_fd_sc_hd__inv_1 FE_OFC581_u_soc_instr_wdata_22 (
+	.A(FE_OFN579_u_soc_instr_wdata_22),
+	.Y(FE_OFN581_u_soc_instr_wdata_22), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC471_u_soc_instr_wdata_22 (
-	.A(FE_OFN469_u_soc_instr_wdata_22),
-	.Y(FE_OFN471_u_soc_instr_wdata_22), 
+   sky130_fd_sc_hd__inv_2 FE_OFC580_u_soc_instr_wdata_22 (
+	.A(FE_OFN579_u_soc_instr_wdata_22),
+	.Y(FE_OFN580_u_soc_instr_wdata_22), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC469_u_soc_instr_wdata_22 (
+   sky130_fd_sc_hd__inv_2 FE_OFC579_u_soc_instr_wdata_22 (
+	.A(FE_OFN578_u_soc_instr_wdata_22),
+	.Y(FE_OFN579_u_soc_instr_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC578_u_soc_instr_wdata_22 (
 	.A(u_soc_instr_wdata[22]),
-	.X(FE_OFN469_u_soc_instr_wdata_22), 
+	.X(FE_OFN578_u_soc_instr_wdata_22), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC468_u_soc_instr_wdata_23 (
-	.A(FE_OFN466_u_soc_instr_wdata_23),
-	.X(FE_OFN468_u_soc_instr_wdata_23), 
+   sky130_fd_sc_hd__inv_1 FE_OFC577_u_soc_instr_wdata_23 (
+	.A(FE_OFN575_u_soc_instr_wdata_23),
+	.Y(FE_OFN577_u_soc_instr_wdata_23), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC467_u_soc_instr_wdata_23 (
-	.A(FE_OFN463_u_soc_instr_wdata_23),
-	.Y(FE_OFN467_u_soc_instr_wdata_23), 
+   sky130_fd_sc_hd__inv_1 FE_OFC576_u_soc_instr_wdata_23 (
+	.A(FE_OFN575_u_soc_instr_wdata_23),
+	.Y(FE_OFN576_u_soc_instr_wdata_23), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC466_u_soc_instr_wdata_23 (
-	.A(FE_OFN463_u_soc_instr_wdata_23),
-	.Y(FE_OFN466_u_soc_instr_wdata_23), 
+   sky130_fd_sc_hd__inv_2 FE_OFC575_u_soc_instr_wdata_23 (
+	.A(FE_OFN573_u_soc_instr_wdata_23),
+	.Y(FE_OFN575_u_soc_instr_wdata_23), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC465_u_soc_instr_wdata_23 (
-	.A(FE_OFN463_u_soc_instr_wdata_23),
-	.Y(FE_OFN465_u_soc_instr_wdata_23), 
+   sky130_fd_sc_hd__inv_1 FE_OFC574_u_soc_instr_wdata_23 (
+	.A(FE_OFN572_u_soc_instr_wdata_23),
+	.Y(FE_OFN574_u_soc_instr_wdata_23), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC463_u_soc_instr_wdata_23 (
-	.A(FE_OFN462_u_soc_instr_wdata_23),
-	.Y(FE_OFN463_u_soc_instr_wdata_23), 
+   sky130_fd_sc_hd__inv_2 FE_OFC573_u_soc_instr_wdata_23 (
+	.A(FE_OFN572_u_soc_instr_wdata_23),
+	.Y(FE_OFN573_u_soc_instr_wdata_23), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC462_u_soc_instr_wdata_23 (
+   sky130_fd_sc_hd__inv_2 FE_OFC572_u_soc_instr_wdata_23 (
+	.A(FE_OFN571_u_soc_instr_wdata_23),
+	.Y(FE_OFN572_u_soc_instr_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC571_u_soc_instr_wdata_23 (
 	.A(u_soc_instr_wdata[23]),
-	.X(FE_OFN462_u_soc_instr_wdata_23), 
+	.X(FE_OFN571_u_soc_instr_wdata_23), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC461_u_soc_instr_wdata_24 (
-	.A(FE_OFN460_u_soc_instr_wdata_24),
-	.Y(FE_OFN461_u_soc_instr_wdata_24), 
+   sky130_fd_sc_hd__inv_1 FE_OFC570_u_soc_instr_wdata_24 (
+	.A(FE_OFN568_u_soc_instr_wdata_24),
+	.Y(FE_OFN570_u_soc_instr_wdata_24), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC460_u_soc_instr_wdata_24 (
-	.A(FE_OFN459_u_soc_instr_wdata_24),
-	.Y(FE_OFN460_u_soc_instr_wdata_24), 
+   sky130_fd_sc_hd__inv_1 FE_OFC569_u_soc_instr_wdata_24 (
+	.A(FE_OFN568_u_soc_instr_wdata_24),
+	.Y(FE_OFN569_u_soc_instr_wdata_24), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC459_u_soc_instr_wdata_24 (
-	.A(FE_OFN458_u_soc_instr_wdata_24),
-	.Y(FE_OFN459_u_soc_instr_wdata_24), 
+   sky130_fd_sc_hd__inv_2 FE_OFC568_u_soc_instr_wdata_24 (
+	.A(FE_OFN566_u_soc_instr_wdata_24),
+	.Y(FE_OFN568_u_soc_instr_wdata_24), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC458_u_soc_instr_wdata_24 (
-	.A(FE_OFN19665_FE_OFN457_u_soc_instr_wdata_24),
-	.Y(FE_OFN458_u_soc_instr_wdata_24), 
+   sky130_fd_sc_hd__inv_1 FE_OFC567_u_soc_instr_wdata_24 (
+	.A(FE_OFN565_u_soc_instr_wdata_24),
+	.Y(FE_OFN567_u_soc_instr_wdata_24), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC457_u_soc_instr_wdata_24 (
+   sky130_fd_sc_hd__inv_2 FE_OFC566_u_soc_instr_wdata_24 (
+	.A(FE_OFN565_u_soc_instr_wdata_24),
+	.Y(FE_OFN566_u_soc_instr_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC565_u_soc_instr_wdata_24 (
+	.A(FE_PDN3689_FE_OFN564_u_soc_instr_wdata_24),
+	.Y(FE_OFN565_u_soc_instr_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC564_u_soc_instr_wdata_24 (
 	.A(u_soc_instr_wdata[24]),
-	.X(FE_OFN457_u_soc_instr_wdata_24), 
+	.X(FE_OFN564_u_soc_instr_wdata_24), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC456_u_soc_instr_wdata_24 (
-	.A(u_soc_instr_wdata[24]),
-	.X(FE_OFN456_u_soc_instr_wdata_24), 
+   sky130_fd_sc_hd__inv_1 FE_OFC563_u_soc_instr_wdata_25 (
+	.A(FE_PDN3714_FE_OFN561_u_soc_instr_wdata_25),
+	.Y(FE_OFN563_u_soc_instr_wdata_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC454_u_soc_instr_wdata_25 (
-	.A(FE_OFN451_u_soc_instr_wdata_25),
-	.X(FE_OFN454_u_soc_instr_wdata_25), 
+   sky130_fd_sc_hd__inv_1 FE_OFC562_u_soc_instr_wdata_25 (
+	.A(FE_OFN561_u_soc_instr_wdata_25),
+	.Y(FE_OFN562_u_soc_instr_wdata_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC453_u_soc_instr_wdata_25 (
-	.A(FE_OFN450_u_soc_instr_wdata_25),
-	.Y(FE_OFN453_u_soc_instr_wdata_25), 
+   sky130_fd_sc_hd__inv_2 FE_OFC561_u_soc_instr_wdata_25 (
+	.A(FE_OFN559_u_soc_instr_wdata_25),
+	.Y(FE_OFN561_u_soc_instr_wdata_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC452_u_soc_instr_wdata_25 (
-	.A(FE_OFN450_u_soc_instr_wdata_25),
-	.Y(FE_OFN452_u_soc_instr_wdata_25), 
+   sky130_fd_sc_hd__inv_1 FE_OFC560_u_soc_instr_wdata_25 (
+	.A(FE_OFN557_u_soc_instr_wdata_25),
+	.Y(FE_OFN560_u_soc_instr_wdata_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC451_u_soc_instr_wdata_25 (
-	.A(FE_OFN450_u_soc_instr_wdata_25),
-	.Y(FE_OFN451_u_soc_instr_wdata_25), 
+   sky130_fd_sc_hd__inv_2 FE_OFC559_u_soc_instr_wdata_25 (
+	.A(FE_OFN557_u_soc_instr_wdata_25),
+	.Y(FE_OFN559_u_soc_instr_wdata_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC450_u_soc_instr_wdata_25 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC558_u_soc_instr_wdata_25 (
 	.A(u_soc_instr_wdata[25]),
-	.Y(FE_OFN450_u_soc_instr_wdata_25), 
+	.X(FE_OFN558_u_soc_instr_wdata_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC449_u_soc_instr_wdata_26 (
-	.A(FE_OFN448_u_soc_instr_wdata_26),
-	.Y(FE_OFN449_u_soc_instr_wdata_26), 
+   sky130_fd_sc_hd__inv_2 FE_OFC557_u_soc_instr_wdata_25 (
+	.A(u_soc_instr_wdata[25]),
+	.Y(FE_OFN557_u_soc_instr_wdata_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC448_u_soc_instr_wdata_26 (
-	.A(FE_OFN447_u_soc_instr_wdata_26),
-	.Y(FE_OFN448_u_soc_instr_wdata_26), 
+   sky130_fd_sc_hd__inv_2 FE_OFC556_u_soc_instr_wdata_26 (
+	.A(FE_OFN554_u_soc_instr_wdata_26),
+	.Y(FE_OFN556_u_soc_instr_wdata_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC447_u_soc_instr_wdata_26 (
-	.A(FE_OFN445_u_soc_instr_wdata_26),
-	.Y(FE_OFN447_u_soc_instr_wdata_26), 
+   sky130_fd_sc_hd__inv_1 FE_OFC555_u_soc_instr_wdata_26 (
+	.A(FE_OFN554_u_soc_instr_wdata_26),
+	.Y(FE_OFN555_u_soc_instr_wdata_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC446_u_soc_instr_wdata_26 (
-	.A(FE_OFN444_u_soc_instr_wdata_26),
-	.Y(FE_OFN446_u_soc_instr_wdata_26), 
+   sky130_fd_sc_hd__inv_2 FE_OFC554_u_soc_instr_wdata_26 (
+	.A(FE_OFN551_u_soc_instr_wdata_26),
+	.Y(FE_OFN554_u_soc_instr_wdata_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC445_u_soc_instr_wdata_26 (
-	.A(FE_OFN443_u_soc_instr_wdata_26),
-	.Y(FE_OFN445_u_soc_instr_wdata_26), 
+   sky130_fd_sc_hd__inv_1 FE_OFC553_u_soc_instr_wdata_26 (
+	.A(FE_OFN550_u_soc_instr_wdata_26),
+	.Y(FE_OFN553_u_soc_instr_wdata_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC444_u_soc_instr_wdata_26 (
+   sky130_fd_sc_hd__inv_1 FE_OFC552_u_soc_instr_wdata_26 (
+	.A(FE_OFN550_u_soc_instr_wdata_26),
+	.Y(FE_OFN552_u_soc_instr_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC551_u_soc_instr_wdata_26 (
+	.A(FE_OFN550_u_soc_instr_wdata_26),
+	.Y(FE_OFN551_u_soc_instr_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC550_u_soc_instr_wdata_26 (
 	.A(u_soc_instr_wdata[26]),
-	.Y(FE_OFN444_u_soc_instr_wdata_26), 
+	.Y(FE_OFN550_u_soc_instr_wdata_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC443_u_soc_instr_wdata_26 (
-	.A(u_soc_instr_wdata[26]),
-	.X(FE_OFN443_u_soc_instr_wdata_26), 
+   sky130_fd_sc_hd__inv_1 FE_OFC549_u_soc_instr_wdata_27 (
+	.A(FE_OFN547_u_soc_instr_wdata_27),
+	.Y(FE_OFN549_u_soc_instr_wdata_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC442_u_soc_instr_wdata_27 (
-	.A(FE_OFN441_u_soc_instr_wdata_27),
-	.Y(FE_OFN442_u_soc_instr_wdata_27), 
+   sky130_fd_sc_hd__inv_1 FE_OFC548_u_soc_instr_wdata_27 (
+	.A(FE_OFN547_u_soc_instr_wdata_27),
+	.Y(FE_OFN548_u_soc_instr_wdata_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC441_u_soc_instr_wdata_27 (
-	.A(FE_OFN440_u_soc_instr_wdata_27),
-	.Y(FE_OFN441_u_soc_instr_wdata_27), 
+   sky130_fd_sc_hd__inv_2 FE_OFC547_u_soc_instr_wdata_27 (
+	.A(FE_OFN544_u_soc_instr_wdata_27),
+	.Y(FE_OFN547_u_soc_instr_wdata_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC440_u_soc_instr_wdata_27 (
-	.A(FE_OFN439_u_soc_instr_wdata_27),
-	.X(FE_OFN440_u_soc_instr_wdata_27), 
+   sky130_fd_sc_hd__inv_1 FE_OFC546_u_soc_instr_wdata_27 (
+	.A(FE_OFN543_u_soc_instr_wdata_27),
+	.Y(FE_OFN546_u_soc_instr_wdata_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC439_u_soc_instr_wdata_27 (
-	.A(FE_OFN437_u_soc_instr_wdata_27),
-	.X(FE_OFN439_u_soc_instr_wdata_27), 
+   sky130_fd_sc_hd__inv_1 FE_OFC545_u_soc_instr_wdata_27 (
+	.A(FE_OFN543_u_soc_instr_wdata_27),
+	.Y(FE_OFN545_u_soc_instr_wdata_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC438_u_soc_instr_wdata_27 (
-	.A(FE_OFN436_u_soc_instr_wdata_27),
-	.Y(FE_OFN438_u_soc_instr_wdata_27), 
+   sky130_fd_sc_hd__inv_1 FE_OFC544_u_soc_instr_wdata_27 (
+	.A(FE_OFN543_u_soc_instr_wdata_27),
+	.Y(FE_OFN544_u_soc_instr_wdata_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC437_u_soc_instr_wdata_27 (
-	.A(FE_OFN436_u_soc_instr_wdata_27),
-	.Y(FE_OFN437_u_soc_instr_wdata_27), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC436_u_soc_instr_wdata_27 (
+   sky130_fd_sc_hd__inv_2 FE_OFC543_u_soc_instr_wdata_27 (
 	.A(u_soc_instr_wdata[27]),
-	.Y(FE_OFN436_u_soc_instr_wdata_27), 
+	.Y(FE_OFN543_u_soc_instr_wdata_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC435_u_soc_instr_wdata_28 (
-	.A(FE_OFN434_u_soc_instr_wdata_28),
-	.Y(FE_OFN435_u_soc_instr_wdata_28), 
+   sky130_fd_sc_hd__inv_1 FE_OFC542_u_soc_instr_wdata_28 (
+	.A(FE_OFN540_u_soc_instr_wdata_28),
+	.Y(FE_OFN542_u_soc_instr_wdata_28), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC434_u_soc_instr_wdata_28 (
-	.A(FE_OFN433_u_soc_instr_wdata_28),
-	.Y(FE_OFN434_u_soc_instr_wdata_28), 
+   sky130_fd_sc_hd__inv_1 FE_OFC541_u_soc_instr_wdata_28 (
+	.A(FE_OFN540_u_soc_instr_wdata_28),
+	.Y(FE_OFN541_u_soc_instr_wdata_28), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC433_u_soc_instr_wdata_28 (
-	.A(FE_OFN432_u_soc_instr_wdata_28),
-	.Y(FE_OFN433_u_soc_instr_wdata_28), 
+   sky130_fd_sc_hd__inv_2 FE_OFC540_u_soc_instr_wdata_28 (
+	.A(FE_OFN538_u_soc_instr_wdata_28),
+	.Y(FE_OFN540_u_soc_instr_wdata_28), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC432_u_soc_instr_wdata_28 (
-	.A(FE_OFN431_u_soc_instr_wdata_28),
-	.Y(FE_OFN432_u_soc_instr_wdata_28), 
+   sky130_fd_sc_hd__inv_1 FE_OFC539_u_soc_instr_wdata_28 (
+	.A(FE_OFN537_u_soc_instr_wdata_28),
+	.Y(FE_OFN539_u_soc_instr_wdata_28), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC431_u_soc_instr_wdata_28 (
-	.A(FE_OFN429_u_soc_instr_wdata_28),
-	.Y(FE_OFN431_u_soc_instr_wdata_28), 
+   sky130_fd_sc_hd__inv_1 FE_OFC538_u_soc_instr_wdata_28 (
+	.A(FE_OFN537_u_soc_instr_wdata_28),
+	.Y(FE_OFN538_u_soc_instr_wdata_28), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC430_u_soc_instr_wdata_28 (
-	.A(FE_OFN429_u_soc_instr_wdata_28),
-	.Y(FE_OFN430_u_soc_instr_wdata_28), 
+   sky130_fd_sc_hd__inv_2 FE_OFC537_u_soc_instr_wdata_28 (
+	.A(FE_OFN536_u_soc_instr_wdata_28),
+	.Y(FE_OFN537_u_soc_instr_wdata_28), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC429_u_soc_instr_wdata_28 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC536_u_soc_instr_wdata_28 (
 	.A(u_soc_instr_wdata[28]),
-	.Y(FE_OFN429_u_soc_instr_wdata_28), 
+	.X(FE_OFN536_u_soc_instr_wdata_28), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC428_u_soc_instr_wdata_29 (
-	.A(FE_OFN427_u_soc_instr_wdata_29),
-	.Y(FE_OFN428_u_soc_instr_wdata_29), 
+   sky130_fd_sc_hd__inv_1 FE_OFC535_u_soc_instr_wdata_29 (
+	.A(FE_OFN533_u_soc_instr_wdata_29),
+	.Y(FE_OFN535_u_soc_instr_wdata_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC427_u_soc_instr_wdata_29 (
-	.A(FE_OFN426_u_soc_instr_wdata_29),
-	.Y(FE_OFN427_u_soc_instr_wdata_29), 
+   sky130_fd_sc_hd__inv_1 FE_OFC534_u_soc_instr_wdata_29 (
+	.A(FE_OFN532_u_soc_instr_wdata_29),
+	.Y(FE_OFN534_u_soc_instr_wdata_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC426_u_soc_instr_wdata_29 (
-	.A(FE_OFN424_u_soc_instr_wdata_29),
-	.Y(FE_OFN426_u_soc_instr_wdata_29), 
+   sky130_fd_sc_hd__inv_2 FE_OFC533_u_soc_instr_wdata_29 (
+	.A(FE_OFN531_u_soc_instr_wdata_29),
+	.Y(FE_OFN533_u_soc_instr_wdata_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC425_u_soc_instr_wdata_29 (
+   sky130_fd_sc_hd__inv_2 FE_OFC532_u_soc_instr_wdata_29 (
+	.A(FE_OFN530_u_soc_instr_wdata_29),
+	.Y(FE_OFN532_u_soc_instr_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC531_u_soc_instr_wdata_29 (
+	.A(FE_PDN4095_FE_OFN529_u_soc_instr_wdata_29),
+	.Y(FE_OFN531_u_soc_instr_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC530_u_soc_instr_wdata_29 (
+	.A(FE_OFN529_u_soc_instr_wdata_29),
+	.Y(FE_OFN530_u_soc_instr_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC529_u_soc_instr_wdata_29 (
 	.A(u_soc_instr_wdata[29]),
-	.X(FE_OFN425_u_soc_instr_wdata_29), 
+	.Y(FE_OFN529_u_soc_instr_wdata_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC424_u_soc_instr_wdata_29 (
-	.A(u_soc_instr_wdata[29]),
-	.Y(FE_OFN424_u_soc_instr_wdata_29), 
+   sky130_fd_sc_hd__inv_1 FE_OFC528_u_soc_instr_wdata_30 (
+	.A(FE_OFN525_u_soc_instr_wdata_30),
+	.Y(FE_OFN528_u_soc_instr_wdata_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC422_u_soc_instr_wdata_30 (
-	.A(FE_OFN421_u_soc_instr_wdata_30),
-	.Y(FE_OFN422_u_soc_instr_wdata_30), 
+   sky130_fd_sc_hd__inv_1 FE_OFC527_u_soc_instr_wdata_30 (
+	.A(FE_OFN525_u_soc_instr_wdata_30),
+	.Y(FE_OFN527_u_soc_instr_wdata_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC421_u_soc_instr_wdata_30 (
-	.A(FE_OFN417_u_soc_instr_wdata_30),
-	.Y(FE_OFN421_u_soc_instr_wdata_30), 
+   sky130_fd_sc_hd__inv_1 FE_OFC526_u_soc_instr_wdata_30 (
+	.A(FE_OFN524_u_soc_instr_wdata_30),
+	.Y(FE_OFN526_u_soc_instr_wdata_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC420_u_soc_instr_wdata_30 (
-	.A(FE_OFN417_u_soc_instr_wdata_30),
-	.X(FE_OFN420_u_soc_instr_wdata_30), 
+   sky130_fd_sc_hd__buf_2 FE_OFC525_u_soc_instr_wdata_30 (
+	.A(FE_OFN524_u_soc_instr_wdata_30),
+	.X(FE_OFN525_u_soc_instr_wdata_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC419_u_soc_instr_wdata_30 (
-	.A(FE_OFN416_u_soc_instr_wdata_30),
-	.Y(FE_OFN419_u_soc_instr_wdata_30), 
+   sky130_fd_sc_hd__inv_2 FE_OFC524_u_soc_instr_wdata_30 (
+	.A(FE_OFN523_u_soc_instr_wdata_30),
+	.Y(FE_OFN524_u_soc_instr_wdata_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC418_u_soc_instr_wdata_30 (
-	.A(FE_OFN416_u_soc_instr_wdata_30),
-	.Y(FE_OFN418_u_soc_instr_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC417_u_soc_instr_wdata_30 (
-	.A(FE_OFN416_u_soc_instr_wdata_30),
-	.Y(FE_OFN417_u_soc_instr_wdata_30), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC416_u_soc_instr_wdata_30 (
+   sky130_fd_sc_hd__buf_2 FE_OFC523_u_soc_instr_wdata_30 (
 	.A(u_soc_instr_wdata[30]),
-	.Y(FE_OFN416_u_soc_instr_wdata_30), 
+	.X(FE_OFN523_u_soc_instr_wdata_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC415_u_soc_instr_wdata_31 (
-	.A(FE_OFN414_u_soc_instr_wdata_31),
-	.Y(FE_OFN415_u_soc_instr_wdata_31), 
+   sky130_fd_sc_hd__inv_1 FE_OFC522_u_soc_instr_wdata_31 (
+	.A(FE_OFN520_u_soc_instr_wdata_31),
+	.Y(FE_OFN522_u_soc_instr_wdata_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC414_u_soc_instr_wdata_31 (
-	.A(FE_OFN413_u_soc_instr_wdata_31),
-	.Y(FE_OFN414_u_soc_instr_wdata_31), 
+   sky130_fd_sc_hd__inv_1 FE_OFC521_u_soc_instr_wdata_31 (
+	.A(FE_OFN520_u_soc_instr_wdata_31),
+	.Y(FE_OFN521_u_soc_instr_wdata_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC413_u_soc_instr_wdata_31 (
-	.A(FE_OFN412_u_soc_instr_wdata_31),
-	.Y(FE_OFN413_u_soc_instr_wdata_31), 
+   sky130_fd_sc_hd__inv_2 FE_OFC520_u_soc_instr_wdata_31 (
+	.A(FE_OFN518_u_soc_instr_wdata_31),
+	.Y(FE_OFN520_u_soc_instr_wdata_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC412_u_soc_instr_wdata_31 (
-	.A(FE_OFN411_u_soc_instr_wdata_31),
-	.Y(FE_OFN412_u_soc_instr_wdata_31), 
+   sky130_fd_sc_hd__inv_1 FE_OFC519_u_soc_instr_wdata_31 (
+	.A(FE_OFN516_u_soc_instr_wdata_31),
+	.Y(FE_OFN519_u_soc_instr_wdata_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC411_u_soc_instr_wdata_31 (
+   sky130_fd_sc_hd__inv_2 FE_OFC518_u_soc_instr_wdata_31 (
+	.A(FE_OFN516_u_soc_instr_wdata_31),
+	.Y(FE_OFN518_u_soc_instr_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC517_u_soc_instr_wdata_31 (
+	.A(FE_OFN516_u_soc_instr_wdata_31),
+	.Y(FE_OFN517_u_soc_instr_wdata_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC516_u_soc_instr_wdata_31 (
 	.A(u_soc_instr_wdata[31]),
-	.X(FE_OFN411_u_soc_instr_wdata_31), 
+	.Y(FE_OFN516_u_soc_instr_wdata_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC355_u_soc_u_dccm_rdata3_23 (
-	.A(FE_PDN4043_u_soc_u_dccm_rdata3_23),
-	.X(FE_OFN355_u_soc_u_dccm_rdata3_23), 
+   sky130_fd_sc_hd__buf_6 FE_OFC513_u_soc_u_dccm_rdata4_0 (
+	.A(u_soc_u_dccm_rdata4[0]),
+	.X(FE_OFN513_u_soc_u_dccm_rdata4_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC330_u_soc_u_dccm_rdata2_22 (
-	.A(FE_PDN3929_u_soc_u_dccm_rdata2_22),
-	.X(FE_OFN330_u_soc_u_dccm_rdata2_22), 
+   sky130_fd_sc_hd__buf_2 FE_OFC512_u_soc_u_dccm_rdata4_1 (
+	.A(u_soc_u_dccm_rdata4[1]),
+	.X(FE_OFN512_u_soc_u_dccm_rdata4_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC326_u_soc_u_dccm_rdata2_26 (
-	.A(FE_PDN3944_u_soc_u_dccm_rdata2_26),
-	.X(FE_OFN326_u_soc_u_dccm_rdata2_26), 
+   sky130_fd_sc_hd__buf_2 FE_OFC511_u_soc_u_dccm_rdata4_2 (
+	.A(u_soc_u_dccm_rdata4[2]),
+	.X(FE_OFN511_u_soc_u_dccm_rdata4_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC324_u_soc_u_dccm_rdata2_28 (
-	.A(FE_PDN19782_u_soc_u_dccm_rdata2_28),
-	.X(FE_OFN324_u_soc_u_dccm_rdata2_28), 
+   sky130_fd_sc_hd__buf_2 FE_OFC510_u_soc_u_dccm_rdata4_3 (
+	.A(u_soc_u_dccm_rdata4[3]),
+	.X(FE_OFN510_u_soc_u_dccm_rdata4_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC320_u_soc_u_dccm_rdata1_0 (
+   sky130_fd_sc_hd__buf_2 FE_OFC509_u_soc_u_dccm_rdata4_4 (
+	.A(u_soc_u_dccm_rdata4[4]),
+	.X(FE_OFN509_u_soc_u_dccm_rdata4_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC508_u_soc_u_dccm_rdata4_5 (
+	.A(u_soc_u_dccm_rdata4[5]),
+	.X(FE_OFN508_u_soc_u_dccm_rdata4_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC507_u_soc_u_dccm_rdata4_6 (
+	.A(u_soc_u_dccm_rdata4[6]),
+	.X(FE_OFN507_u_soc_u_dccm_rdata4_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC506_u_soc_u_dccm_rdata4_7 (
+	.A(u_soc_u_dccm_rdata4[7]),
+	.X(FE_OFN506_u_soc_u_dccm_rdata4_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC505_u_soc_u_dccm_rdata4_8 (
+	.A(u_soc_u_dccm_rdata4[8]),
+	.X(FE_OFN505_u_soc_u_dccm_rdata4_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC504_u_soc_u_dccm_rdata4_9 (
+	.A(u_soc_u_dccm_rdata4[9]),
+	.X(FE_OFN504_u_soc_u_dccm_rdata4_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_6 FE_OFC503_u_soc_u_dccm_rdata4_10 (
+	.A(u_soc_u_dccm_rdata4[10]),
+	.X(FE_OFN503_u_soc_u_dccm_rdata4_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC502_u_soc_u_dccm_rdata4_11 (
+	.A(u_soc_u_dccm_rdata4[11]),
+	.X(FE_OFN502_u_soc_u_dccm_rdata4_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC501_u_soc_u_dccm_rdata4_12 (
+	.A(u_soc_u_dccm_rdata4[12]),
+	.X(FE_OFN501_u_soc_u_dccm_rdata4_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC500_u_soc_u_dccm_rdata4_13 (
+	.A(u_soc_u_dccm_rdata4[13]),
+	.X(FE_OFN500_u_soc_u_dccm_rdata4_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC499_u_soc_u_dccm_rdata4_14 (
+	.A(u_soc_u_dccm_rdata4[14]),
+	.X(FE_OFN499_u_soc_u_dccm_rdata4_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC498_u_soc_u_dccm_rdata4_15 (
+	.A(u_soc_u_dccm_rdata4[15]),
+	.X(FE_OFN498_u_soc_u_dccm_rdata4_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC497_u_soc_u_dccm_rdata4_16 (
+	.A(u_soc_u_dccm_rdata4[16]),
+	.X(FE_OFN497_u_soc_u_dccm_rdata4_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC496_u_soc_u_dccm_rdata4_17 (
+	.A(u_soc_u_dccm_rdata4[17]),
+	.X(FE_OFN496_u_soc_u_dccm_rdata4_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC495_u_soc_u_dccm_rdata4_18 (
+	.A(u_soc_u_dccm_rdata4[18]),
+	.X(FE_OFN495_u_soc_u_dccm_rdata4_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC494_u_soc_u_dccm_rdata4_19 (
+	.A(u_soc_u_dccm_rdata4[19]),
+	.X(FE_OFN494_u_soc_u_dccm_rdata4_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC493_u_soc_u_dccm_rdata4_20 (
+	.A(u_soc_u_dccm_rdata4[20]),
+	.X(FE_OFN493_u_soc_u_dccm_rdata4_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC492_u_soc_u_dccm_rdata4_21 (
+	.A(u_soc_u_dccm_rdata4[21]),
+	.X(FE_OFN492_u_soc_u_dccm_rdata4_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC491_u_soc_u_dccm_rdata4_22 (
+	.A(u_soc_u_dccm_rdata4[22]),
+	.X(FE_OFN491_u_soc_u_dccm_rdata4_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC490_u_soc_u_dccm_rdata4_23 (
+	.A(u_soc_u_dccm_rdata4[23]),
+	.X(FE_OFN490_u_soc_u_dccm_rdata4_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC489_u_soc_u_dccm_rdata4_24 (
+	.A(FE_OFN18708_u_soc_u_dccm_rdata4_24),
+	.X(FE_OFN489_u_soc_u_dccm_rdata4_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC488_u_soc_u_dccm_rdata4_25 (
+	.A(FE_OFN19146_u_soc_u_dccm_rdata4_25),
+	.X(FE_OFN488_u_soc_u_dccm_rdata4_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC487_u_soc_u_dccm_rdata4_26 (
+	.A(FE_OFN19150_u_soc_u_dccm_rdata4_26),
+	.X(FE_OFN487_u_soc_u_dccm_rdata4_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC486_u_soc_u_dccm_rdata4_27 (
+	.A(u_soc_u_dccm_rdata4[27]),
+	.X(FE_OFN486_u_soc_u_dccm_rdata4_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC485_u_soc_u_dccm_rdata4_28 (
+	.A(FE_OFN19153_u_soc_u_dccm_rdata4_28),
+	.X(FE_OFN485_u_soc_u_dccm_rdata4_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC484_u_soc_u_dccm_rdata4_29 (
+	.A(FE_OFN18730_u_soc_u_dccm_rdata4_29),
+	.X(FE_OFN484_u_soc_u_dccm_rdata4_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC482_u_soc_u_dccm_rdata4_31 (
+	.A(u_soc_u_dccm_rdata4[31]),
+	.X(FE_OFN482_u_soc_u_dccm_rdata4_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC481_u_soc_u_dccm_rdata3_24 (
+	.A(u_soc_u_dccm_rdata3[24]),
+	.X(FE_OFN481_u_soc_u_dccm_rdata3_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC480_u_soc_u_dccm_rdata3_25 (
+	.A(u_soc_u_dccm_rdata3[25]),
+	.X(FE_OFN480_u_soc_u_dccm_rdata3_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC479_u_soc_u_dccm_rdata3_26 (
+	.A(u_soc_u_dccm_rdata3[26]),
+	.X(FE_OFN479_u_soc_u_dccm_rdata3_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC478_u_soc_u_dccm_rdata3_28 (
+	.A(u_soc_u_dccm_rdata3[28]),
+	.X(FE_OFN478_u_soc_u_dccm_rdata3_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC477_u_soc_u_dccm_rdata3_29 (
+	.A(u_soc_u_dccm_rdata3[29]),
+	.X(FE_OFN477_u_soc_u_dccm_rdata3_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC476_u_soc_u_dccm_rdata3_30 (
+	.A(u_soc_u_dccm_rdata3[30]),
+	.X(FE_OFN476_u_soc_u_dccm_rdata3_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC475_u_soc_u_dccm_rdata3_31 (
+	.A(u_soc_u_dccm_rdata3[31]),
+	.X(FE_OFN475_u_soc_u_dccm_rdata3_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC474_u_soc_u_dccm_rdata2_0 (
+	.A(FE_PDN3786_u_soc_u_dccm_rdata2_0),
+	.X(FE_OFN474_u_soc_u_dccm_rdata2_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC473_u_soc_u_dccm_rdata2_6 (
+	.A(u_soc_u_dccm_rdata2[6]),
+	.X(FE_OFN473_u_soc_u_dccm_rdata2_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC472_u_soc_u_dccm_rdata2_7 (
+	.A(u_soc_u_dccm_rdata2[7]),
+	.X(FE_OFN472_u_soc_u_dccm_rdata2_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC471_u_soc_u_dccm_rdata2_8 (
+	.A(u_soc_u_dccm_rdata2[8]),
+	.X(FE_OFN471_u_soc_u_dccm_rdata2_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC470_u_soc_u_dccm_rdata2_9 (
+	.A(u_soc_u_dccm_rdata2[9]),
+	.X(FE_OFN470_u_soc_u_dccm_rdata2_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC469_u_soc_u_dccm_rdata2_10 (
+	.A(u_soc_u_dccm_rdata2[10]),
+	.X(FE_OFN469_u_soc_u_dccm_rdata2_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC468_u_soc_u_dccm_rdata2_11 (
+	.A(u_soc_u_dccm_rdata2[11]),
+	.X(FE_OFN468_u_soc_u_dccm_rdata2_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC467_u_soc_u_dccm_rdata2_12 (
+	.A(u_soc_u_dccm_rdata2[12]),
+	.X(FE_OFN467_u_soc_u_dccm_rdata2_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC466_u_soc_u_dccm_rdata2_13 (
+	.A(u_soc_u_dccm_rdata2[13]),
+	.X(FE_OFN466_u_soc_u_dccm_rdata2_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC465_u_soc_u_dccm_rdata2_14 (
+	.A(u_soc_u_dccm_rdata2[14]),
+	.X(FE_OFN465_u_soc_u_dccm_rdata2_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC464_u_soc_u_dccm_rdata2_15 (
+	.A(u_soc_u_dccm_rdata2[15]),
+	.X(FE_OFN464_u_soc_u_dccm_rdata2_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC463_u_soc_u_dccm_rdata2_16 (
+	.A(u_soc_u_dccm_rdata2[16]),
+	.X(FE_OFN463_u_soc_u_dccm_rdata2_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC462_u_soc_u_dccm_rdata2_17 (
+	.A(u_soc_u_dccm_rdata2[17]),
+	.X(FE_OFN462_u_soc_u_dccm_rdata2_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC461_u_soc_u_dccm_rdata2_18 (
+	.A(u_soc_u_dccm_rdata2[18]),
+	.X(FE_OFN461_u_soc_u_dccm_rdata2_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC460_u_soc_u_dccm_rdata2_19 (
+	.A(u_soc_u_dccm_rdata2[19]),
+	.X(FE_OFN460_u_soc_u_dccm_rdata2_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC459_u_soc_u_dccm_rdata2_20 (
+	.A(u_soc_u_dccm_rdata2[20]),
+	.X(FE_OFN459_u_soc_u_dccm_rdata2_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC458_u_soc_u_dccm_rdata2_21 (
+	.A(u_soc_u_dccm_rdata2[21]),
+	.X(FE_OFN458_u_soc_u_dccm_rdata2_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC457_u_soc_u_dccm_rdata2_22 (
+	.A(u_soc_u_dccm_rdata2[22]),
+	.X(FE_OFN457_u_soc_u_dccm_rdata2_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC456_u_soc_u_dccm_rdata2_23 (
+	.A(u_soc_u_dccm_rdata2[23]),
+	.X(FE_OFN456_u_soc_u_dccm_rdata2_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC455_u_soc_u_dccm_rdata2_24 (
+	.A(u_soc_u_dccm_rdata2[24]),
+	.X(FE_OFN455_u_soc_u_dccm_rdata2_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC454_u_soc_u_dccm_rdata2_25 (
+	.A(u_soc_u_dccm_rdata2[25]),
+	.X(FE_OFN454_u_soc_u_dccm_rdata2_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC453_u_soc_u_dccm_rdata2_26 (
+	.A(u_soc_u_dccm_rdata2[26]),
+	.X(FE_OFN453_u_soc_u_dccm_rdata2_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC452_u_soc_u_dccm_rdata2_27 (
+	.A(u_soc_u_dccm_rdata2[27]),
+	.X(FE_OFN452_u_soc_u_dccm_rdata2_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC451_u_soc_u_dccm_rdata2_28 (
+	.A(u_soc_u_dccm_rdata2[28]),
+	.X(FE_OFN451_u_soc_u_dccm_rdata2_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC450_u_soc_u_dccm_rdata2_29 (
+	.A(u_soc_u_dccm_rdata2[29]),
+	.X(FE_OFN450_u_soc_u_dccm_rdata2_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC449_u_soc_u_dccm_rdata2_30 (
+	.A(u_soc_u_dccm_rdata2[30]),
+	.X(FE_OFN449_u_soc_u_dccm_rdata2_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC448_u_soc_u_dccm_rdata2_31 (
+	.A(u_soc_u_dccm_rdata2[31]),
+	.X(FE_OFN448_u_soc_u_dccm_rdata2_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC447_u_soc_u_dccm_rdata1_0 (
+	.A(FE_OFN446_u_soc_u_dccm_rdata1_0),
+	.Y(FE_OFN447_u_soc_u_dccm_rdata1_0), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC446_u_soc_u_dccm_rdata1_0 (
 	.A(u_soc_u_dccm_rdata1[0]),
-	.X(FE_OFN320_u_soc_u_dccm_rdata1_0), 
+	.Y(FE_OFN446_u_soc_u_dccm_rdata1_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC319_u_soc_u_dccm_rdata1_1 (
+   sky130_fd_sc_hd__buf_2 FE_OFC445_u_soc_u_dccm_rdata1_1 (
 	.A(u_soc_u_dccm_rdata1[1]),
-	.X(FE_OFN319_u_soc_u_dccm_rdata1_1), 
+	.X(FE_OFN445_u_soc_u_dccm_rdata1_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC318_u_soc_u_dccm_rdata1_2 (
+   sky130_fd_sc_hd__buf_2 FE_OFC444_u_soc_u_dccm_rdata1_2 (
 	.A(u_soc_u_dccm_rdata1[2]),
-	.X(FE_OFN318_u_soc_u_dccm_rdata1_2), 
+	.X(FE_OFN444_u_soc_u_dccm_rdata1_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC317_u_soc_u_dccm_rdata1_3 (
+   sky130_fd_sc_hd__buf_2 FE_OFC443_u_soc_u_dccm_rdata1_3 (
 	.A(u_soc_u_dccm_rdata1[3]),
-	.X(FE_OFN317_u_soc_u_dccm_rdata1_3), 
+	.X(FE_OFN443_u_soc_u_dccm_rdata1_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC316_u_soc_u_dccm_rdata1_4 (
+   sky130_fd_sc_hd__buf_2 FE_OFC442_u_soc_u_dccm_rdata1_4 (
 	.A(u_soc_u_dccm_rdata1[4]),
-	.X(FE_OFN316_u_soc_u_dccm_rdata1_4), 
+	.X(FE_OFN442_u_soc_u_dccm_rdata1_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC315_u_soc_u_dccm_rdata1_5 (
+   sky130_fd_sc_hd__buf_2 FE_OFC441_u_soc_u_dccm_rdata1_5 (
 	.A(u_soc_u_dccm_rdata1[5]),
-	.X(FE_OFN315_u_soc_u_dccm_rdata1_5), 
+	.X(FE_OFN441_u_soc_u_dccm_rdata1_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC314_u_soc_u_dccm_rdata1_6 (
+   sky130_fd_sc_hd__inv_2 FE_OFC440_u_soc_u_dccm_rdata1_6 (
+	.A(FE_OFN439_u_soc_u_dccm_rdata1_6),
+	.Y(FE_OFN440_u_soc_u_dccm_rdata1_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC439_u_soc_u_dccm_rdata1_6 (
 	.A(u_soc_u_dccm_rdata1[6]),
-	.X(FE_OFN314_u_soc_u_dccm_rdata1_6), 
+	.Y(FE_OFN439_u_soc_u_dccm_rdata1_6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC313_u_soc_u_dccm_rdata1_7 (
+   sky130_fd_sc_hd__inv_2 FE_OFC438_u_soc_u_dccm_rdata1_7 (
+	.A(FE_OFN437_u_soc_u_dccm_rdata1_7),
+	.Y(FE_OFN438_u_soc_u_dccm_rdata1_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC437_u_soc_u_dccm_rdata1_7 (
 	.A(u_soc_u_dccm_rdata1[7]),
-	.X(FE_OFN313_u_soc_u_dccm_rdata1_7), 
+	.Y(FE_OFN437_u_soc_u_dccm_rdata1_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC312_u_soc_u_dccm_rdata1_8 (
+   sky130_fd_sc_hd__inv_2 FE_OFC436_u_soc_u_dccm_rdata1_8 (
+	.A(FE_OFN435_u_soc_u_dccm_rdata1_8),
+	.Y(FE_OFN436_u_soc_u_dccm_rdata1_8), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC435_u_soc_u_dccm_rdata1_8 (
 	.A(u_soc_u_dccm_rdata1[8]),
-	.X(FE_OFN312_u_soc_u_dccm_rdata1_8), 
+	.Y(FE_OFN435_u_soc_u_dccm_rdata1_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC311_u_soc_u_dccm_rdata1_9 (
+   sky130_fd_sc_hd__inv_2 FE_OFC434_u_soc_u_dccm_rdata1_9 (
+	.A(FE_OFN433_u_soc_u_dccm_rdata1_9),
+	.Y(FE_OFN434_u_soc_u_dccm_rdata1_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC433_u_soc_u_dccm_rdata1_9 (
 	.A(u_soc_u_dccm_rdata1[9]),
-	.X(FE_OFN311_u_soc_u_dccm_rdata1_9), 
+	.Y(FE_OFN433_u_soc_u_dccm_rdata1_9), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC310_u_soc_u_dccm_rdata1_10 (
+   sky130_fd_sc_hd__inv_2 FE_OFC432_u_soc_u_dccm_rdata1_10 (
+	.A(FE_OFN431_u_soc_u_dccm_rdata1_10),
+	.Y(FE_OFN432_u_soc_u_dccm_rdata1_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC431_u_soc_u_dccm_rdata1_10 (
 	.A(u_soc_u_dccm_rdata1[10]),
-	.X(FE_OFN310_u_soc_u_dccm_rdata1_10), 
+	.Y(FE_OFN431_u_soc_u_dccm_rdata1_10), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC309_u_soc_u_dccm_rdata1_11 (
+   sky130_fd_sc_hd__inv_2 FE_OFC430_u_soc_u_dccm_rdata1_11 (
+	.A(FE_OFN429_u_soc_u_dccm_rdata1_11),
+	.Y(FE_OFN430_u_soc_u_dccm_rdata1_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC429_u_soc_u_dccm_rdata1_11 (
 	.A(u_soc_u_dccm_rdata1[11]),
-	.X(FE_OFN309_u_soc_u_dccm_rdata1_11), 
+	.Y(FE_OFN429_u_soc_u_dccm_rdata1_11), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC308_u_soc_u_dccm_rdata1_12 (
-	.A(FE_OFN19019_u_soc_u_dccm_rdata1_12),
-	.X(FE_OFN308_u_soc_u_dccm_rdata1_12), 
+   sky130_fd_sc_hd__inv_2 FE_OFC428_u_soc_u_dccm_rdata1_12 (
+	.A(FE_OFN427_u_soc_u_dccm_rdata1_12),
+	.Y(FE_OFN428_u_soc_u_dccm_rdata1_12), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC307_u_soc_u_dccm_rdata1_13 (
+   sky130_fd_sc_hd__inv_1 FE_OFC427_u_soc_u_dccm_rdata1_12 (
+	.A(u_soc_u_dccm_rdata1[12]),
+	.Y(FE_OFN427_u_soc_u_dccm_rdata1_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_16 FE_OFC426_u_soc_u_dccm_rdata1_13 (
+	.A(FE_OFN425_u_soc_u_dccm_rdata1_13),
+	.Y(FE_OFN426_u_soc_u_dccm_rdata1_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC425_u_soc_u_dccm_rdata1_13 (
 	.A(u_soc_u_dccm_rdata1[13]),
-	.X(FE_OFN307_u_soc_u_dccm_rdata1_13), 
+	.Y(FE_OFN425_u_soc_u_dccm_rdata1_13), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC306_u_soc_u_dccm_rdata1_14 (
+   sky130_fd_sc_hd__inv_2 FE_OFC424_u_soc_u_dccm_rdata1_14 (
+	.A(FE_OFN423_u_soc_u_dccm_rdata1_14),
+	.Y(FE_OFN424_u_soc_u_dccm_rdata1_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC423_u_soc_u_dccm_rdata1_14 (
 	.A(u_soc_u_dccm_rdata1[14]),
-	.X(FE_OFN306_u_soc_u_dccm_rdata1_14), 
+	.Y(FE_OFN423_u_soc_u_dccm_rdata1_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC305_u_soc_u_dccm_rdata1_15 (
+   sky130_fd_sc_hd__inv_2 FE_OFC422_u_soc_u_dccm_rdata1_15 (
+	.A(FE_OFN421_u_soc_u_dccm_rdata1_15),
+	.Y(FE_OFN422_u_soc_u_dccm_rdata1_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC421_u_soc_u_dccm_rdata1_15 (
 	.A(u_soc_u_dccm_rdata1[15]),
-	.X(FE_OFN305_u_soc_u_dccm_rdata1_15), 
+	.Y(FE_OFN421_u_soc_u_dccm_rdata1_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC304_u_soc_u_dccm_rdata1_16 (
+   sky130_fd_sc_hd__inv_2 FE_OFC420_u_soc_u_dccm_rdata1_16 (
+	.A(FE_OFN419_u_soc_u_dccm_rdata1_16),
+	.Y(FE_OFN420_u_soc_u_dccm_rdata1_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC419_u_soc_u_dccm_rdata1_16 (
 	.A(u_soc_u_dccm_rdata1[16]),
-	.X(FE_OFN304_u_soc_u_dccm_rdata1_16), 
+	.Y(FE_OFN419_u_soc_u_dccm_rdata1_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC303_u_soc_u_dccm_rdata1_17 (
-	.A(FE_OFN19441_u_soc_u_dccm_rdata1_17),
-	.X(FE_OFN303_u_soc_u_dccm_rdata1_17), 
+   sky130_fd_sc_hd__inv_2 FE_OFC418_u_soc_u_dccm_rdata1_17 (
+	.A(FE_OFN417_u_soc_u_dccm_rdata1_17),
+	.Y(FE_OFN418_u_soc_u_dccm_rdata1_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC302_u_soc_u_dccm_rdata1_18 (
+   sky130_fd_sc_hd__inv_1 FE_OFC417_u_soc_u_dccm_rdata1_17 (
+	.A(u_soc_u_dccm_rdata1[17]),
+	.Y(FE_OFN417_u_soc_u_dccm_rdata1_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC416_u_soc_u_dccm_rdata1_18 (
+	.A(FE_OFN415_u_soc_u_dccm_rdata1_18),
+	.Y(FE_OFN416_u_soc_u_dccm_rdata1_18), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC415_u_soc_u_dccm_rdata1_18 (
 	.A(u_soc_u_dccm_rdata1[18]),
-	.X(FE_OFN302_u_soc_u_dccm_rdata1_18), 
+	.Y(FE_OFN415_u_soc_u_dccm_rdata1_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC301_u_soc_u_dccm_rdata1_19 (
+   sky130_fd_sc_hd__inv_2 FE_OFC414_u_soc_u_dccm_rdata1_19 (
+	.A(FE_OFN413_u_soc_u_dccm_rdata1_19),
+	.Y(FE_OFN414_u_soc_u_dccm_rdata1_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC413_u_soc_u_dccm_rdata1_19 (
 	.A(u_soc_u_dccm_rdata1[19]),
-	.X(FE_OFN301_u_soc_u_dccm_rdata1_19), 
+	.Y(FE_OFN413_u_soc_u_dccm_rdata1_19), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC300_u_soc_u_dccm_rdata1_20 (
+   sky130_fd_sc_hd__inv_2 FE_OFC412_u_soc_u_dccm_rdata1_20 (
+	.A(FE_OFN411_u_soc_u_dccm_rdata1_20),
+	.Y(FE_OFN412_u_soc_u_dccm_rdata1_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC411_u_soc_u_dccm_rdata1_20 (
 	.A(u_soc_u_dccm_rdata1[20]),
-	.X(FE_OFN300_u_soc_u_dccm_rdata1_20), 
+	.Y(FE_OFN411_u_soc_u_dccm_rdata1_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC299_u_soc_u_dccm_rdata1_21 (
+   sky130_fd_sc_hd__inv_2 FE_OFC410_u_soc_u_dccm_rdata1_21 (
+	.A(FE_OFN409_u_soc_u_dccm_rdata1_21),
+	.Y(FE_OFN410_u_soc_u_dccm_rdata1_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC409_u_soc_u_dccm_rdata1_21 (
 	.A(u_soc_u_dccm_rdata1[21]),
-	.X(FE_OFN299_u_soc_u_dccm_rdata1_21), 
+	.Y(FE_OFN409_u_soc_u_dccm_rdata1_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC298_u_soc_u_dccm_rdata1_22 (
+   sky130_fd_sc_hd__inv_2 FE_OFC408_u_soc_u_dccm_rdata1_22 (
+	.A(FE_OFN407_u_soc_u_dccm_rdata1_22),
+	.Y(FE_OFN408_u_soc_u_dccm_rdata1_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC407_u_soc_u_dccm_rdata1_22 (
 	.A(u_soc_u_dccm_rdata1[22]),
-	.X(FE_OFN298_u_soc_u_dccm_rdata1_22), 
+	.Y(FE_OFN407_u_soc_u_dccm_rdata1_22), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC297_u_soc_u_dccm_rdata1_23 (
+   sky130_fd_sc_hd__inv_2 FE_OFC406_u_soc_u_dccm_rdata1_23 (
+	.A(FE_OFN405_u_soc_u_dccm_rdata1_23),
+	.Y(FE_OFN406_u_soc_u_dccm_rdata1_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC405_u_soc_u_dccm_rdata1_23 (
 	.A(u_soc_u_dccm_rdata1[23]),
-	.X(FE_OFN297_u_soc_u_dccm_rdata1_23), 
+	.Y(FE_OFN405_u_soc_u_dccm_rdata1_23), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC296_u_soc_u_dccm_rdata1_24 (
+   sky130_fd_sc_hd__inv_2 FE_OFC404_u_soc_u_dccm_rdata1_24 (
+	.A(FE_OFN403_u_soc_u_dccm_rdata1_24),
+	.Y(FE_OFN404_u_soc_u_dccm_rdata1_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC403_u_soc_u_dccm_rdata1_24 (
 	.A(u_soc_u_dccm_rdata1[24]),
-	.X(FE_OFN296_u_soc_u_dccm_rdata1_24), 
+	.Y(FE_OFN403_u_soc_u_dccm_rdata1_24), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC295_u_soc_u_dccm_rdata1_25 (
+   sky130_fd_sc_hd__inv_2 FE_OFC402_u_soc_u_dccm_rdata1_25 (
+	.A(FE_OFN401_u_soc_u_dccm_rdata1_25),
+	.Y(FE_OFN402_u_soc_u_dccm_rdata1_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC401_u_soc_u_dccm_rdata1_25 (
 	.A(u_soc_u_dccm_rdata1[25]),
-	.X(FE_OFN295_u_soc_u_dccm_rdata1_25), 
+	.Y(FE_OFN401_u_soc_u_dccm_rdata1_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC294_u_soc_u_dccm_rdata1_26 (
+   sky130_fd_sc_hd__inv_2 FE_OFC400_u_soc_u_dccm_rdata1_26 (
+	.A(FE_OFN399_u_soc_u_dccm_rdata1_26),
+	.Y(FE_OFN400_u_soc_u_dccm_rdata1_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC399_u_soc_u_dccm_rdata1_26 (
 	.A(u_soc_u_dccm_rdata1[26]),
-	.X(FE_OFN294_u_soc_u_dccm_rdata1_26), 
+	.Y(FE_OFN399_u_soc_u_dccm_rdata1_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC293_u_soc_u_dccm_rdata1_27 (
+   sky130_fd_sc_hd__inv_2 FE_OFC398_u_soc_u_dccm_rdata1_27 (
+	.A(FE_OFN397_u_soc_u_dccm_rdata1_27),
+	.Y(FE_OFN398_u_soc_u_dccm_rdata1_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC397_u_soc_u_dccm_rdata1_27 (
 	.A(u_soc_u_dccm_rdata1[27]),
-	.X(FE_OFN293_u_soc_u_dccm_rdata1_27), 
+	.Y(FE_OFN397_u_soc_u_dccm_rdata1_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC292_u_soc_u_dccm_rdata1_28 (
+   sky130_fd_sc_hd__inv_2 FE_OFC396_u_soc_u_dccm_rdata1_28 (
+	.A(FE_OFN395_u_soc_u_dccm_rdata1_28),
+	.Y(FE_OFN396_u_soc_u_dccm_rdata1_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC395_u_soc_u_dccm_rdata1_28 (
 	.A(u_soc_u_dccm_rdata1[28]),
-	.X(FE_OFN292_u_soc_u_dccm_rdata1_28), 
+	.Y(FE_OFN395_u_soc_u_dccm_rdata1_28), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC291_u_soc_u_dccm_rdata1_29 (
+   sky130_fd_sc_hd__inv_2 FE_OFC394_u_soc_u_dccm_rdata1_29 (
+	.A(FE_OFN393_u_soc_u_dccm_rdata1_29),
+	.Y(FE_OFN394_u_soc_u_dccm_rdata1_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC393_u_soc_u_dccm_rdata1_29 (
 	.A(u_soc_u_dccm_rdata1[29]),
-	.X(FE_OFN291_u_soc_u_dccm_rdata1_29), 
+	.Y(FE_OFN393_u_soc_u_dccm_rdata1_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC290_u_soc_u_dccm_rdata1_30 (
+   sky130_fd_sc_hd__inv_2 FE_OFC392_u_soc_u_dccm_rdata1_30 (
+	.A(FE_OFN391_u_soc_u_dccm_rdata1_30),
+	.Y(FE_OFN392_u_soc_u_dccm_rdata1_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC391_u_soc_u_dccm_rdata1_30 (
 	.A(u_soc_u_dccm_rdata1[30]),
-	.X(FE_OFN290_u_soc_u_dccm_rdata1_30), 
+	.Y(FE_OFN391_u_soc_u_dccm_rdata1_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC289_u_soc_u_dccm_rdata1_31 (
+   sky130_fd_sc_hd__inv_2 FE_OFC390_u_soc_u_dccm_rdata1_31 (
+	.A(FE_OFN389_u_soc_u_dccm_rdata1_31),
+	.Y(FE_OFN390_u_soc_u_dccm_rdata1_31), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC389_u_soc_u_dccm_rdata1_31 (
 	.A(u_soc_u_dccm_rdata1[31]),
-	.X(FE_OFN289_u_soc_u_dccm_rdata1_31), 
+	.Y(FE_OFN389_u_soc_u_dccm_rdata1_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC288_u_soc_data_wdata_0 (
-	.A(FE_OFN286_u_soc_data_wdata_0),
-	.X(FE_OFN288_u_soc_data_wdata_0), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC388_u_soc_data_wdata_0 (
+	.A(FE_OFN18692_FE_OFN386_u_soc_data_wdata_0),
+	.X(FE_OFN388_u_soc_data_wdata_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC287_u_soc_data_wdata_0 (
-	.A(FE_OFN285_u_soc_data_wdata_0),
-	.Y(FE_OFN287_u_soc_data_wdata_0), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC387_u_soc_data_wdata_0 (
+	.A(FE_OFN18692_FE_OFN386_u_soc_data_wdata_0),
+	.X(FE_OFN387_u_soc_data_wdata_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC286_u_soc_data_wdata_0 (
-	.A(FE_OFN285_u_soc_data_wdata_0),
-	.Y(FE_OFN286_u_soc_data_wdata_0), 
+   sky130_fd_sc_hd__inv_2 FE_OFC386_u_soc_data_wdata_0 (
+	.A(FE_PDN3679_FE_OFN384_u_soc_data_wdata_0),
+	.Y(FE_OFN386_u_soc_data_wdata_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC285_u_soc_data_wdata_0 (
-	.A(FE_OFN283_u_soc_data_wdata_0),
-	.Y(FE_OFN285_u_soc_data_wdata_0), 
+   sky130_fd_sc_hd__inv_1 FE_OFC385_u_soc_data_wdata_0 (
+	.A(FE_PDN3679_FE_OFN384_u_soc_data_wdata_0),
+	.Y(FE_OFN385_u_soc_data_wdata_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC284_u_soc_data_wdata_0 (
-	.A(FE_OFN282_u_soc_data_wdata_0),
-	.Y(FE_OFN284_u_soc_data_wdata_0), 
+   sky130_fd_sc_hd__inv_2 FE_OFC384_u_soc_data_wdata_0 (
+	.A(FE_OFN383_u_soc_data_wdata_0),
+	.Y(FE_OFN384_u_soc_data_wdata_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC283_u_soc_data_wdata_0 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC383_u_soc_data_wdata_0 (
 	.A(u_soc_data_wdata[0]),
-	.X(FE_OFN283_u_soc_data_wdata_0), 
+	.X(FE_OFN383_u_soc_data_wdata_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC282_u_soc_data_wdata_0 (
-	.A(u_soc_data_wdata[0]),
-	.Y(FE_OFN282_u_soc_data_wdata_0), 
+   sky130_fd_sc_hd__inv_1 FE_OFC382_u_soc_data_wdata_1 (
+	.A(FE_PDN3857_FE_OFN381_u_soc_data_wdata_1),
+	.Y(FE_OFN382_u_soc_data_wdata_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC281_u_soc_data_wdata_1 (
-	.A(FE_OFN279_u_soc_data_wdata_1),
-	.X(FE_OFN281_u_soc_data_wdata_1), 
+   sky130_fd_sc_hd__inv_2 FE_OFC381_u_soc_data_wdata_1 (
+	.A(FE_OFN380_u_soc_data_wdata_1),
+	.Y(FE_OFN381_u_soc_data_wdata_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC280_u_soc_data_wdata_1 (
-	.A(FE_OFN276_u_soc_data_wdata_1),
-	.Y(FE_OFN280_u_soc_data_wdata_1), 
+   sky130_fd_sc_hd__inv_1 FE_OFC380_u_soc_data_wdata_1 (
+	.A(FE_PDN3722_FE_OFN379_u_soc_data_wdata_1),
+	.Y(FE_OFN380_u_soc_data_wdata_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC279_u_soc_data_wdata_1 (
-	.A(FE_OFN276_u_soc_data_wdata_1),
-	.Y(FE_OFN279_u_soc_data_wdata_1), 
+   sky130_fd_sc_hd__inv_2 FE_OFC379_u_soc_data_wdata_1 (
+	.A(FE_OFN378_u_soc_data_wdata_1),
+	.Y(FE_OFN379_u_soc_data_wdata_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC278_u_soc_data_wdata_1 (
-	.A(FE_OFN276_u_soc_data_wdata_1),
-	.Y(FE_OFN278_u_soc_data_wdata_1), 
+   sky130_fd_sc_hd__inv_1 FE_OFC378_u_soc_data_wdata_1 (
+	.A(FE_OFN376_u_soc_data_wdata_1),
+	.Y(FE_OFN378_u_soc_data_wdata_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC277_u_soc_data_wdata_1 (
+   sky130_fd_sc_hd__inv_1 FE_OFC377_u_soc_data_wdata_1 (
+	.A(FE_OFN376_u_soc_data_wdata_1),
+	.Y(FE_OFN377_u_soc_data_wdata_1), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC376_u_soc_data_wdata_1 (
 	.A(u_soc_data_wdata[1]),
-	.X(FE_OFN277_u_soc_data_wdata_1), 
+	.Y(FE_OFN376_u_soc_data_wdata_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC276_u_soc_data_wdata_1 (
-	.A(u_soc_data_wdata[1]),
-	.Y(FE_OFN276_u_soc_data_wdata_1), 
+   sky130_fd_sc_hd__inv_1 FE_OFC375_u_soc_data_wdata_2 (
+	.A(FE_PDN3743_FE_OFN374_u_soc_data_wdata_2),
+	.Y(FE_OFN375_u_soc_data_wdata_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC275_u_soc_data_wdata_2 (
-	.A(FE_OFN273_u_soc_data_wdata_2),
-	.X(FE_OFN275_u_soc_data_wdata_2), 
+   sky130_fd_sc_hd__inv_2 FE_OFC374_u_soc_data_wdata_2 (
+	.A(FE_OFN373_u_soc_data_wdata_2),
+	.Y(FE_OFN374_u_soc_data_wdata_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC274_u_soc_data_wdata_2 (
-	.A(FE_OFN272_u_soc_data_wdata_2),
-	.Y(FE_OFN274_u_soc_data_wdata_2), 
+   sky130_fd_sc_hd__inv_1 FE_OFC373_u_soc_data_wdata_2 (
+	.A(FE_OFN372_u_soc_data_wdata_2),
+	.Y(FE_OFN373_u_soc_data_wdata_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC273_u_soc_data_wdata_2 (
-	.A(FE_OFN272_u_soc_data_wdata_2),
-	.Y(FE_OFN273_u_soc_data_wdata_2), 
+   sky130_fd_sc_hd__inv_2 FE_OFC372_u_soc_data_wdata_2 (
+	.A(FE_OFN371_u_soc_data_wdata_2),
+	.Y(FE_OFN372_u_soc_data_wdata_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC272_u_soc_data_wdata_2 (
-	.A(FE_OFN270_u_soc_data_wdata_2),
-	.Y(FE_OFN272_u_soc_data_wdata_2), 
+   sky130_fd_sc_hd__inv_1 FE_OFC371_u_soc_data_wdata_2 (
+	.A(FE_OFN370_u_soc_data_wdata_2),
+	.Y(FE_OFN371_u_soc_data_wdata_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC271_u_soc_data_wdata_2 (
+   sky130_fd_sc_hd__inv_2 FE_OFC370_u_soc_data_wdata_2 (
+	.A(FE_OFN369_u_soc_data_wdata_2),
+	.Y(FE_OFN370_u_soc_data_wdata_2), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC369_u_soc_data_wdata_2 (
 	.A(u_soc_data_wdata[2]),
-	.X(FE_OFN271_u_soc_data_wdata_2), 
+	.X(FE_OFN369_u_soc_data_wdata_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC270_u_soc_data_wdata_2 (
-	.A(u_soc_data_wdata[2]),
-	.X(FE_OFN270_u_soc_data_wdata_2), 
+   sky130_fd_sc_hd__inv_1 FE_OFC368_u_soc_data_wdata_3 (
+	.A(FE_PDN3848_FE_OFN367_u_soc_data_wdata_3),
+	.Y(FE_OFN368_u_soc_data_wdata_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC269_u_soc_data_wdata_3 (
-	.A(FE_OFN267_u_soc_data_wdata_3),
-	.X(FE_OFN269_u_soc_data_wdata_3), 
+   sky130_fd_sc_hd__inv_2 FE_OFC367_u_soc_data_wdata_3 (
+	.A(FE_OFN366_u_soc_data_wdata_3),
+	.Y(FE_OFN367_u_soc_data_wdata_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC268_u_soc_data_wdata_3 (
-	.A(FE_OFN266_u_soc_data_wdata_3),
-	.Y(FE_OFN268_u_soc_data_wdata_3), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC366_u_soc_data_wdata_3 (
+	.A(FE_PDN3734_FE_OFN365_u_soc_data_wdata_3),
+	.X(FE_OFN366_u_soc_data_wdata_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC267_u_soc_data_wdata_3 (
-	.A(FE_OFN266_u_soc_data_wdata_3),
-	.Y(FE_OFN267_u_soc_data_wdata_3), 
+   sky130_fd_sc_hd__inv_2 FE_OFC365_u_soc_data_wdata_3 (
+	.A(FE_OFN363_u_soc_data_wdata_3),
+	.Y(FE_OFN365_u_soc_data_wdata_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC266_u_soc_data_wdata_3 (
-	.A(FE_OFN264_u_soc_data_wdata_3),
-	.Y(FE_OFN266_u_soc_data_wdata_3), 
+   sky130_fd_sc_hd__inv_1 FE_OFC364_u_soc_data_wdata_3 (
+	.A(FE_OFN363_u_soc_data_wdata_3),
+	.Y(FE_OFN364_u_soc_data_wdata_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC265_u_soc_data_wdata_3 (
-	.A(FE_OFN263_u_soc_data_wdata_3),
-	.Y(FE_OFN265_u_soc_data_wdata_3), 
+   sky130_fd_sc_hd__inv_2 FE_OFC363_u_soc_data_wdata_3 (
+	.A(FE_OFN362_u_soc_data_wdata_3),
+	.Y(FE_OFN363_u_soc_data_wdata_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC264_u_soc_data_wdata_3 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC362_u_soc_data_wdata_3 (
 	.A(u_soc_data_wdata[3]),
-	.X(FE_OFN264_u_soc_data_wdata_3), 
+	.X(FE_OFN362_u_soc_data_wdata_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC263_u_soc_data_wdata_3 (
-	.A(u_soc_data_wdata[3]),
-	.Y(FE_OFN263_u_soc_data_wdata_3), 
+   sky130_fd_sc_hd__inv_2 FE_OFC361_u_soc_data_wdata_4 (
+	.A(FE_PDN4076_FE_OFN359_u_soc_data_wdata_4),
+	.Y(FE_OFN361_u_soc_data_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC262_u_soc_data_wdata_4 (
-	.A(FE_OFN261_u_soc_data_wdata_4),
-	.Y(FE_OFN262_u_soc_data_wdata_4), 
+   sky130_fd_sc_hd__inv_1 FE_OFC360_u_soc_data_wdata_4 (
+	.A(FE_OFN359_u_soc_data_wdata_4),
+	.Y(FE_OFN360_u_soc_data_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC261_u_soc_data_wdata_4 (
-	.A(FE_OFN260_u_soc_data_wdata_4),
-	.Y(FE_OFN261_u_soc_data_wdata_4), 
+   sky130_fd_sc_hd__inv_2 FE_OFC359_u_soc_data_wdata_4 (
+	.A(FE_PDN3713_FE_OFN358_u_soc_data_wdata_4),
+	.Y(FE_OFN359_u_soc_data_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC260_u_soc_data_wdata_4 (
-	.A(FE_OFN257_u_soc_data_wdata_4),
-	.Y(FE_OFN260_u_soc_data_wdata_4), 
+   sky130_fd_sc_hd__inv_2 FE_OFC358_u_soc_data_wdata_4 (
+	.A(FE_OFN355_u_soc_data_wdata_4),
+	.Y(FE_OFN358_u_soc_data_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC259_u_soc_data_wdata_4 (
-	.A(FE_OFN257_u_soc_data_wdata_4),
-	.Y(FE_OFN259_u_soc_data_wdata_4), 
+   sky130_fd_sc_hd__inv_1 FE_OFC357_u_soc_data_wdata_4 (
+	.A(FE_OFN355_u_soc_data_wdata_4),
+	.Y(FE_OFN357_u_soc_data_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC258_u_soc_data_wdata_4 (
+   sky130_fd_sc_hd__inv_1 FE_OFC356_u_soc_data_wdata_4 (
+	.A(FE_OFN355_u_soc_data_wdata_4),
+	.Y(FE_OFN356_u_soc_data_wdata_4), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC355_u_soc_data_wdata_4 (
 	.A(u_soc_data_wdata[4]),
-	.X(FE_OFN258_u_soc_data_wdata_4), 
+	.Y(FE_OFN355_u_soc_data_wdata_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC257_u_soc_data_wdata_4 (
-	.A(u_soc_data_wdata[4]),
-	.Y(FE_OFN257_u_soc_data_wdata_4), 
+   sky130_fd_sc_hd__inv_1 FE_OFC354_u_soc_data_wdata_5 (
+	.A(FE_PDN3839_FE_OFN352_u_soc_data_wdata_5),
+	.Y(FE_OFN354_u_soc_data_wdata_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC255_u_soc_data_wdata_5 (
-	.A(FE_OFN254_u_soc_data_wdata_5),
-	.Y(FE_OFN255_u_soc_data_wdata_5), 
+   sky130_fd_sc_hd__inv_1 FE_OFC353_u_soc_data_wdata_5 (
+	.A(FE_OFN352_u_soc_data_wdata_5),
+	.Y(FE_OFN353_u_soc_data_wdata_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC254_u_soc_data_wdata_5 (
-	.A(FE_OFN253_u_soc_data_wdata_5),
-	.Y(FE_OFN254_u_soc_data_wdata_5), 
+   sky130_fd_sc_hd__inv_2 FE_OFC352_u_soc_data_wdata_5 (
+	.A(FE_PDN3716_FE_OFN351_u_soc_data_wdata_5),
+	.Y(FE_OFN352_u_soc_data_wdata_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC253_u_soc_data_wdata_5 (
-	.A(FE_OFN250_u_soc_data_wdata_5),
-	.X(FE_OFN253_u_soc_data_wdata_5), 
+   sky130_fd_sc_hd__inv_2 FE_OFC351_u_soc_data_wdata_5 (
+	.A(FE_PDN4063_FE_OFN349_u_soc_data_wdata_5),
+	.Y(FE_OFN351_u_soc_data_wdata_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC251_u_soc_data_wdata_5 (
-	.A(FE_OFN249_u_soc_data_wdata_5),
-	.Y(FE_OFN251_u_soc_data_wdata_5), 
+   sky130_fd_sc_hd__inv_2 FE_OFC350_u_soc_data_wdata_5 (
+	.A(FE_PDN4063_FE_OFN349_u_soc_data_wdata_5),
+	.Y(FE_OFN350_u_soc_data_wdata_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC250_u_soc_data_wdata_5 (
+   sky130_fd_sc_hd__inv_2 FE_OFC349_u_soc_data_wdata_5 (
+	.A(FE_OFN348_u_soc_data_wdata_5),
+	.Y(FE_OFN349_u_soc_data_wdata_5), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC348_u_soc_data_wdata_5 (
 	.A(u_soc_data_wdata[5]),
-	.X(FE_OFN250_u_soc_data_wdata_5), 
+	.X(FE_OFN348_u_soc_data_wdata_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC249_u_soc_data_wdata_5 (
-	.A(u_soc_data_wdata[5]),
-	.Y(FE_OFN249_u_soc_data_wdata_5), 
+   sky130_fd_sc_hd__inv_1 FE_OFC347_u_soc_data_wdata_6 (
+	.A(FE_PDN3856_FE_OFN345_u_soc_data_wdata_6),
+	.Y(FE_OFN347_u_soc_data_wdata_6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC248_u_soc_data_wdata_6 (
-	.A(FE_OFN247_u_soc_data_wdata_6),
-	.Y(FE_OFN248_u_soc_data_wdata_6), 
+   sky130_fd_sc_hd__inv_1 FE_OFC346_u_soc_data_wdata_6 (
+	.A(FE_OFN345_u_soc_data_wdata_6),
+	.Y(FE_OFN346_u_soc_data_wdata_6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC247_u_soc_data_wdata_6 (
-	.A(FE_OFN18748_FE_OFN244_u_soc_data_wdata_6),
-	.Y(FE_OFN247_u_soc_data_wdata_6), 
+   sky130_fd_sc_hd__inv_2 FE_OFC345_u_soc_data_wdata_6 (
+	.A(FE_PDN3841_FE_OFN343_u_soc_data_wdata_6),
+	.Y(FE_OFN345_u_soc_data_wdata_6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC244_u_soc_data_wdata_6 (
+   sky130_fd_sc_hd__inv_1 FE_OFC344_u_soc_data_wdata_6 (
+	.A(FE_PDN3666_FE_OFN342_u_soc_data_wdata_6),
+	.Y(FE_OFN344_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC343_u_soc_data_wdata_6 (
+	.A(FE_PDN3666_FE_OFN342_u_soc_data_wdata_6),
+	.Y(FE_OFN343_u_soc_data_wdata_6), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_4 FE_OFC342_u_soc_data_wdata_6 (
 	.A(u_soc_data_wdata[6]),
-	.X(FE_OFN244_u_soc_data_wdata_6), 
+	.Y(FE_OFN342_u_soc_data_wdata_6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC243_u_soc_data_wdata_6 (
-	.A(u_soc_data_wdata[6]),
-	.X(FE_OFN243_u_soc_data_wdata_6), 
+   sky130_fd_sc_hd__inv_1 FE_OFC340_u_soc_data_wdata_7 (
+	.A(FE_OFN339_u_soc_data_wdata_7),
+	.Y(FE_OFN340_u_soc_data_wdata_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC242_u_soc_data_wdata_7 (
-	.A(FE_PDN3960_FE_OFN241_u_soc_data_wdata_7),
-	.Y(FE_OFN242_u_soc_data_wdata_7), 
+   sky130_fd_sc_hd__inv_2 FE_OFC339_u_soc_data_wdata_7 (
+	.A(FE_OFN338_u_soc_data_wdata_7),
+	.Y(FE_OFN339_u_soc_data_wdata_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC241_u_soc_data_wdata_7 (
-	.A(FE_OFN19718_FE_OFN237_u_soc_data_wdata_7),
-	.Y(FE_OFN241_u_soc_data_wdata_7), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC338_u_soc_data_wdata_7 (
+	.A(FE_PDN3842_FE_OFN337_u_soc_data_wdata_7),
+	.X(FE_OFN338_u_soc_data_wdata_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC238_u_soc_data_wdata_7 (
-	.A(FE_OFN236_u_soc_data_wdata_7),
-	.Y(FE_OFN238_u_soc_data_wdata_7), 
+   sky130_fd_sc_hd__inv_2 FE_OFC337_u_soc_data_wdata_7 (
+	.A(FE_PDN3665_FE_OFN335_u_soc_data_wdata_7),
+	.Y(FE_OFN337_u_soc_data_wdata_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_8 FE_OFC237_u_soc_data_wdata_7 (
+   sky130_fd_sc_hd__inv_1 FE_OFC336_u_soc_data_wdata_7 (
+	.A(FE_PDN3665_FE_OFN335_u_soc_data_wdata_7),
+	.Y(FE_OFN336_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_2 FE_OFC335_u_soc_data_wdata_7 (
+	.A(FE_OFN334_u_soc_data_wdata_7),
+	.Y(FE_OFN335_u_soc_data_wdata_7), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC334_u_soc_data_wdata_7 (
 	.A(u_soc_data_wdata[7]),
-	.X(FE_OFN237_u_soc_data_wdata_7), 
+	.X(FE_OFN334_u_soc_data_wdata_7), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC236_u_soc_data_wdata_7 (
-	.A(FE_PSBN19819_u_soc_data_wdata_7),
-	.Y(FE_OFN236_u_soc_data_wdata_7), 
+   sky130_fd_sc_hd__inv_1 FE_OFC333_u_soc_data_wdata_8 (
+	.A(FE_PDN3843_FE_OFN332_u_soc_data_wdata_8),
+	.Y(FE_OFN333_u_soc_data_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC235_u_soc_data_wdata_8 (
-	.A(FE_OFN234_u_soc_data_wdata_8),
-	.Y(FE_OFN235_u_soc_data_wdata_8), 
+   sky130_fd_sc_hd__inv_2 FE_OFC332_u_soc_data_wdata_8 (
+	.A(FE_OFN331_u_soc_data_wdata_8),
+	.Y(FE_OFN332_u_soc_data_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC234_u_soc_data_wdata_8 (
-	.A(FE_OFN233_u_soc_data_wdata_8),
-	.Y(FE_OFN234_u_soc_data_wdata_8), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC331_u_soc_data_wdata_8 (
+	.A(FE_PDN3752_FE_OFN329_u_soc_data_wdata_8),
+	.X(FE_OFN331_u_soc_data_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_4 FE_OFC233_u_soc_data_wdata_8 (
-	.A(FE_OFN232_u_soc_data_wdata_8),
-	.Y(FE_OFN233_u_soc_data_wdata_8), 
+   sky130_fd_sc_hd__inv_1 FE_OFC330_u_soc_data_wdata_8 (
+	.A(FE_OFN327_u_soc_data_wdata_8),
+	.Y(FE_OFN330_u_soc_data_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC232_u_soc_data_wdata_8 (
-	.A(FE_OFN230_u_soc_data_wdata_8),
-	.Y(FE_OFN232_u_soc_data_wdata_8), 
+   sky130_fd_sc_hd__inv_2 FE_OFC329_u_soc_data_wdata_8 (
+	.A(FE_OFN327_u_soc_data_wdata_8),
+	.Y(FE_OFN329_u_soc_data_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_4 FE_OFC231_u_soc_data_wdata_8 (
-	.A(FE_PSN4676_u_soc_data_wdata_8),
-	.X(FE_OFN231_u_soc_data_wdata_8), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC230_u_soc_data_wdata_8 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC328_u_soc_data_wdata_8 (
 	.A(u_soc_data_wdata[8]),
-	.X(FE_OFN230_u_soc_data_wdata_8), 
+	.X(FE_OFN328_u_soc_data_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC229_u_soc_data_wdata_14 (
-	.A(FE_OFN226_u_soc_data_wdata_14),
-	.Y(FE_OFN229_u_soc_data_wdata_14), 
+   sky130_fd_sc_hd__inv_2 FE_OFC327_u_soc_data_wdata_8 (
+	.A(u_soc_data_wdata[8]),
+	.Y(FE_OFN327_u_soc_data_wdata_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC228_u_soc_data_wdata_14 (
-	.A(FE_OFN19350_u_soc_data_wdata_14),
-	.X(FE_OFN228_u_soc_data_wdata_14), 
+   sky130_fd_sc_hd__inv_1 FE_OFC326_u_soc_data_wdata_9 (
+	.A(FE_PDN3855_FE_OFN325_u_soc_data_wdata_9),
+	.Y(FE_OFN326_u_soc_data_wdata_9), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC227_u_soc_data_wdata_14 (
-	.A(FE_OFN223_u_soc_data_wdata_14),
-	.Y(FE_OFN227_u_soc_data_wdata_14), 
+   sky130_fd_sc_hd__inv_2 FE_OFC325_u_soc_data_wdata_9 (
+	.A(FE_OFN324_u_soc_data_wdata_9),
+	.Y(FE_OFN325_u_soc_data_wdata_9), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC226_u_soc_data_wdata_14 (
-	.A(FE_OFN19350_u_soc_data_wdata_14),
-	.Y(FE_OFN226_u_soc_data_wdata_14), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC324_u_soc_data_wdata_9 (
+	.A(FE_PDN3837_FE_OFN323_u_soc_data_wdata_9),
+	.X(FE_OFN324_u_soc_data_wdata_9), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC225_u_soc_data_wdata_14 (
+   sky130_fd_sc_hd__inv_8 FE_OFC323_u_soc_data_wdata_9 (
+	.A(FE_PDN3664_FE_OFN320_u_soc_data_wdata_9),
+	.Y(FE_OFN323_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC322_u_soc_data_wdata_9 (
+	.A(FE_PDN3664_FE_OFN320_u_soc_data_wdata_9),
+	.Y(FE_OFN322_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC321_u_soc_data_wdata_9 (
+	.A(u_soc_data_wdata[9]),
+	.X(FE_OFN321_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC320_u_soc_data_wdata_9 (
+	.A(u_soc_data_wdata[9]),
+	.Y(FE_OFN320_u_soc_data_wdata_9), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC319_u_soc_data_wdata_10 (
+	.A(FE_PDN3725_FE_OFN317_u_soc_data_wdata_10),
+	.Y(FE_OFN319_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC318_u_soc_data_wdata_10 (
+	.A(FE_OFN317_u_soc_data_wdata_10),
+	.Y(FE_OFN318_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC317_u_soc_data_wdata_10 (
+	.A(FE_OFN315_u_soc_data_wdata_10),
+	.Y(FE_OFN317_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC316_u_soc_data_wdata_10 (
+	.A(FE_PDN4065_FE_OFN313_u_soc_data_wdata_10),
+	.Y(FE_OFN316_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC315_u_soc_data_wdata_10 (
+	.A(FE_PDN4065_FE_OFN313_u_soc_data_wdata_10),
+	.Y(FE_OFN315_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC314_u_soc_data_wdata_10 (
+	.A(u_soc_data_wdata[10]),
+	.X(FE_OFN314_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC313_u_soc_data_wdata_10 (
+	.A(u_soc_data_wdata[10]),
+	.Y(FE_OFN313_u_soc_data_wdata_10), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC312_u_soc_data_wdata_11 (
+	.A(FE_OFN311_u_soc_data_wdata_11),
+	.Y(FE_OFN312_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC311_u_soc_data_wdata_11 (
+	.A(FE_OFN310_u_soc_data_wdata_11),
+	.Y(FE_OFN311_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC310_u_soc_data_wdata_11 (
+	.A(FE_PDN4074_FE_OFN308_u_soc_data_wdata_11),
+	.X(FE_OFN310_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC309_u_soc_data_wdata_11 (
+	.A(FE_PDN3668_FE_OFN306_u_soc_data_wdata_11),
+	.Y(FE_OFN309_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC308_u_soc_data_wdata_11 (
+	.A(FE_PDN3668_FE_OFN306_u_soc_data_wdata_11),
+	.Y(FE_OFN308_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC307_u_soc_data_wdata_11 (
+	.A(u_soc_data_wdata[11]),
+	.X(FE_OFN307_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC306_u_soc_data_wdata_11 (
+	.A(u_soc_data_wdata[11]),
+	.Y(FE_OFN306_u_soc_data_wdata_11), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC305_u_soc_data_wdata_12 (
+	.A(FE_OFN304_u_soc_data_wdata_12),
+	.Y(FE_OFN305_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC304_u_soc_data_wdata_12 (
+	.A(FE_OFN303_u_soc_data_wdata_12),
+	.Y(FE_OFN304_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC303_u_soc_data_wdata_12 (
+	.A(FE_PDN4068_FE_OFN302_u_soc_data_wdata_12),
+	.X(FE_OFN303_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC302_u_soc_data_wdata_12 (
+	.A(FE_PDN3684_FE_OFN300_u_soc_data_wdata_12),
+	.Y(FE_OFN302_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC301_u_soc_data_wdata_12 (
+	.A(FE_PDN3684_FE_OFN300_u_soc_data_wdata_12),
+	.Y(FE_OFN301_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC300_u_soc_data_wdata_12 (
+	.A(u_soc_data_wdata[12]),
+	.Y(FE_OFN300_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC299_u_soc_data_wdata_12 (
+	.A(u_soc_data_wdata[12]),
+	.X(FE_OFN299_u_soc_data_wdata_12), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC298_u_soc_data_wdata_13 (
+	.A(FE_OFN296_u_soc_data_wdata_13),
+	.Y(FE_OFN298_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC297_u_soc_data_wdata_13 (
+	.A(FE_OFN296_u_soc_data_wdata_13),
+	.Y(FE_OFN297_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC296_u_soc_data_wdata_13 (
+	.A(FE_OFN294_u_soc_data_wdata_13),
+	.Y(FE_OFN296_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC295_u_soc_data_wdata_13 (
+	.A(FE_PDN4058_FE_OFN292_u_soc_data_wdata_13),
+	.Y(FE_OFN295_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC294_u_soc_data_wdata_13 (
+	.A(FE_PDN4058_FE_OFN292_u_soc_data_wdata_13),
+	.Y(FE_OFN294_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_2 FE_OFC293_u_soc_data_wdata_13 (
+	.A(u_soc_data_wdata[13]),
+	.X(FE_OFN293_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC292_u_soc_data_wdata_13 (
+	.A(u_soc_data_wdata[13]),
+	.Y(FE_OFN292_u_soc_data_wdata_13), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC291_u_soc_data_wdata_14 (
+	.A(FE_OFN290_u_soc_data_wdata_14),
+	.Y(FE_OFN291_u_soc_data_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC290_u_soc_data_wdata_14 (
+	.A(FE_OFN289_u_soc_data_wdata_14),
+	.Y(FE_OFN290_u_soc_data_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC289_u_soc_data_wdata_14 (
+	.A(FE_OFN288_u_soc_data_wdata_14),
+	.X(FE_OFN289_u_soc_data_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC288_u_soc_data_wdata_14 (
+	.A(FE_PDN4064_FE_OFN285_u_soc_data_wdata_14),
+	.Y(FE_OFN288_u_soc_data_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC287_u_soc_data_wdata_14 (
+	.A(FE_PDN4064_FE_OFN285_u_soc_data_wdata_14),
+	.Y(FE_OFN287_u_soc_data_wdata_14), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC286_u_soc_data_wdata_14 (
 	.A(u_soc_data_wdata[14]),
-	.X(FE_OFN225_u_soc_data_wdata_14), 
+	.X(FE_OFN286_u_soc_data_wdata_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC223_u_soc_data_wdata_14 (
+   sky130_fd_sc_hd__inv_2 FE_OFC285_u_soc_data_wdata_14 (
 	.A(u_soc_data_wdata[14]),
-	.Y(FE_OFN223_u_soc_data_wdata_14), 
+	.Y(FE_OFN285_u_soc_data_wdata_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC222_u_soc_data_wdata_15 (
-	.A(FE_OFN219_u_soc_data_wdata_15),
-	.Y(FE_OFN222_u_soc_data_wdata_15), 
+   sky130_fd_sc_hd__inv_1 FE_OFC284_u_soc_data_wdata_15 (
+	.A(FE_OFN282_u_soc_data_wdata_15),
+	.Y(FE_OFN284_u_soc_data_wdata_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC221_u_soc_data_wdata_15 (
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC283_u_soc_data_wdata_15 (
+	.A(FE_OFN280_u_soc_data_wdata_15),
+	.X(FE_OFN283_u_soc_data_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC282_u_soc_data_wdata_15 (
+	.A(FE_OFN280_u_soc_data_wdata_15),
+	.Y(FE_OFN282_u_soc_data_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC281_u_soc_data_wdata_15 (
+	.A(FE_OFN278_u_soc_data_wdata_15),
+	.Y(FE_OFN281_u_soc_data_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC280_u_soc_data_wdata_15 (
+	.A(FE_OFN278_u_soc_data_wdata_15),
+	.Y(FE_OFN280_u_soc_data_wdata_15), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC279_u_soc_data_wdata_15 (
 	.A(u_soc_data_wdata[15]),
-	.X(FE_OFN221_u_soc_data_wdata_15), 
+	.X(FE_OFN279_u_soc_data_wdata_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC220_u_soc_data_wdata_15 (
-	.A(FE_OFN216_u_soc_data_wdata_15),
-	.Y(FE_OFN220_u_soc_data_wdata_15), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC219_u_soc_data_wdata_15 (
+   sky130_fd_sc_hd__inv_2 FE_OFC278_u_soc_data_wdata_15 (
 	.A(u_soc_data_wdata[15]),
-	.Y(FE_OFN219_u_soc_data_wdata_15), 
+	.Y(FE_OFN278_u_soc_data_wdata_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC218_u_soc_data_wdata_15 (
-	.A(u_soc_data_wdata[15]),
-	.X(FE_OFN218_u_soc_data_wdata_15), 
+   sky130_fd_sc_hd__inv_1 FE_OFC277_u_soc_data_wdata_16 (
+	.A(FE_OFN276_u_soc_data_wdata_16),
+	.Y(FE_OFN277_u_soc_data_wdata_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC216_u_soc_data_wdata_15 (
-	.A(u_soc_data_wdata[15]),
-	.Y(FE_OFN216_u_soc_data_wdata_15), 
+   sky130_fd_sc_hd__inv_2 FE_OFC276_u_soc_data_wdata_16 (
+	.A(FE_OFN275_u_soc_data_wdata_16),
+	.Y(FE_OFN276_u_soc_data_wdata_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC215_u_soc_data_wdata_17 (
-	.A(FE_OFN212_u_soc_data_wdata_17),
-	.Y(FE_OFN215_u_soc_data_wdata_17), 
+   sky130_fd_sc_hd__inv_2 FE_OFC275_u_soc_data_wdata_16 (
+	.A(FE_PDN3756_FE_OFN274_u_soc_data_wdata_16),
+	.Y(FE_OFN275_u_soc_data_wdata_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC214_u_soc_data_wdata_17 (
-	.A(FE_OFN212_u_soc_data_wdata_17),
-	.Y(FE_OFN214_u_soc_data_wdata_17), 
+   sky130_fd_sc_hd__inv_2 FE_OFC274_u_soc_data_wdata_16 (
+	.A(FE_OFN273_u_soc_data_wdata_16),
+	.Y(FE_OFN274_u_soc_data_wdata_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC213_u_soc_data_wdata_17 (
-	.A(FE_OFN209_u_soc_data_wdata_17),
-	.Y(FE_OFN213_u_soc_data_wdata_17), 
+   sky130_fd_sc_hd__inv_2 FE_OFC273_u_soc_data_wdata_16 (
+	.A(FE_OFN271_u_soc_data_wdata_16),
+	.Y(FE_OFN273_u_soc_data_wdata_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC212_u_soc_data_wdata_17 (
+   sky130_fd_sc_hd__inv_1 FE_OFC272_u_soc_data_wdata_16 (
+	.A(FE_OFN271_u_soc_data_wdata_16),
+	.Y(FE_OFN272_u_soc_data_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC271_u_soc_data_wdata_16 (
+	.A(u_soc_data_wdata[16]),
+	.Y(FE_OFN271_u_soc_data_wdata_16), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC270_u_soc_data_wdata_17 (
+	.A(FE_OFN268_u_soc_data_wdata_17),
+	.Y(FE_OFN270_u_soc_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC269_u_soc_data_wdata_17 (
+	.A(FE_OFN268_u_soc_data_wdata_17),
+	.Y(FE_OFN269_u_soc_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC268_u_soc_data_wdata_17 (
+	.A(FE_PDN4070_FE_OFN266_u_soc_data_wdata_17),
+	.Y(FE_OFN268_u_soc_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC267_u_soc_data_wdata_17 (
+	.A(FE_OFN265_u_soc_data_wdata_17),
+	.Y(FE_OFN267_u_soc_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC266_u_soc_data_wdata_17 (
+	.A(FE_OFN265_u_soc_data_wdata_17),
+	.Y(FE_OFN266_u_soc_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC265_u_soc_data_wdata_17 (
+	.A(FE_OFN264_u_soc_data_wdata_17),
+	.Y(FE_OFN265_u_soc_data_wdata_17), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC264_u_soc_data_wdata_17 (
 	.A(u_soc_data_wdata[17]),
-	.Y(FE_OFN212_u_soc_data_wdata_17), 
+	.X(FE_OFN264_u_soc_data_wdata_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC211_u_soc_data_wdata_17 (
-	.A(u_soc_data_wdata[17]),
-	.X(FE_OFN211_u_soc_data_wdata_17), 
+   sky130_fd_sc_hd__inv_1 FE_OFC263_u_soc_data_wdata_18 (
+	.A(FE_PDN3741_FE_OFN261_u_soc_data_wdata_18),
+	.Y(FE_OFN263_u_soc_data_wdata_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC209_u_soc_data_wdata_17 (
-	.A(u_soc_data_wdata[17]),
-	.Y(FE_OFN209_u_soc_data_wdata_17), 
+   sky130_fd_sc_hd__inv_1 FE_OFC262_u_soc_data_wdata_18 (
+	.A(FE_OFN261_u_soc_data_wdata_18),
+	.Y(FE_OFN262_u_soc_data_wdata_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC207_u_soc_data_wdata_20 (
-	.A(FE_OFN204_u_soc_data_wdata_20),
-	.X(FE_OFN207_u_soc_data_wdata_20), 
+   sky130_fd_sc_hd__inv_2 FE_OFC261_u_soc_data_wdata_18 (
+	.A(FE_OFN260_u_soc_data_wdata_18),
+	.Y(FE_OFN261_u_soc_data_wdata_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC206_u_soc_data_wdata_20 (
-	.A(FE_OFN202_u_soc_data_wdata_20),
-	.Y(FE_OFN206_u_soc_data_wdata_20), 
+   sky130_fd_sc_hd__inv_2 FE_OFC260_u_soc_data_wdata_18 (
+	.A(FE_OFN258_u_soc_data_wdata_18),
+	.Y(FE_OFN260_u_soc_data_wdata_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC205_u_soc_data_wdata_20 (
-	.A(FE_OFN202_u_soc_data_wdata_20),
-	.Y(FE_OFN205_u_soc_data_wdata_20), 
+   sky130_fd_sc_hd__inv_1 FE_OFC259_u_soc_data_wdata_18 (
+	.A(FE_OFN258_u_soc_data_wdata_18),
+	.Y(FE_OFN259_u_soc_data_wdata_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC204_u_soc_data_wdata_20 (
-	.A(FE_OFN202_u_soc_data_wdata_20),
-	.Y(FE_OFN204_u_soc_data_wdata_20), 
+   sky130_fd_sc_hd__inv_2 FE_OFC258_u_soc_data_wdata_18 (
+	.A(FE_OFN257_u_soc_data_wdata_18),
+	.Y(FE_OFN258_u_soc_data_wdata_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC203_u_soc_data_wdata_20 (
-	.A(FE_OFN202_u_soc_data_wdata_20),
-	.Y(FE_OFN203_u_soc_data_wdata_20), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC257_u_soc_data_wdata_18 (
+	.A(u_soc_data_wdata[18]),
+	.X(FE_OFN257_u_soc_data_wdata_18), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC202_u_soc_data_wdata_20 (
+   sky130_fd_sc_hd__inv_1 FE_OFC256_u_soc_data_wdata_19 (
+	.A(FE_OFN254_u_soc_data_wdata_19),
+	.Y(FE_OFN256_u_soc_data_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC255_u_soc_data_wdata_19 (
+	.A(FE_OFN254_u_soc_data_wdata_19),
+	.Y(FE_OFN255_u_soc_data_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC254_u_soc_data_wdata_19 (
+	.A(FE_OFN252_u_soc_data_wdata_19),
+	.Y(FE_OFN254_u_soc_data_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC253_u_soc_data_wdata_19 (
+	.A(FE_OFN251_u_soc_data_wdata_19),
+	.Y(FE_OFN253_u_soc_data_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC252_u_soc_data_wdata_19 (
+	.A(FE_OFN251_u_soc_data_wdata_19),
+	.Y(FE_OFN252_u_soc_data_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC251_u_soc_data_wdata_19 (
+	.A(u_soc_data_wdata[19]),
+	.Y(FE_OFN251_u_soc_data_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC250_u_soc_data_wdata_19 (
+	.A(u_soc_data_wdata[19]),
+	.X(FE_OFN250_u_soc_data_wdata_19), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC249_u_soc_data_wdata_20 (
+	.A(FE_OFN248_u_soc_data_wdata_20),
+	.Y(FE_OFN249_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC248_u_soc_data_wdata_20 (
+	.A(FE_OFN247_u_soc_data_wdata_20),
+	.Y(FE_OFN248_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC247_u_soc_data_wdata_20 (
+	.A(FE_OFN246_u_soc_data_wdata_20),
+	.Y(FE_OFN247_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC246_u_soc_data_wdata_20 (
+	.A(FE_OFN245_u_soc_data_wdata_20),
+	.Y(FE_OFN246_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC245_u_soc_data_wdata_20 (
+	.A(FE_OFN243_u_soc_data_wdata_20),
+	.Y(FE_OFN245_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC244_u_soc_data_wdata_20 (
+	.A(FE_OFN243_u_soc_data_wdata_20),
+	.Y(FE_OFN244_u_soc_data_wdata_20), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC243_u_soc_data_wdata_20 (
 	.A(u_soc_data_wdata[20]),
-	.Y(FE_OFN202_u_soc_data_wdata_20), 
+	.Y(FE_OFN243_u_soc_data_wdata_20), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC201_u_soc_data_wdata_21 (
-	.A(FE_OFN198_u_soc_data_wdata_21),
-	.X(FE_OFN201_u_soc_data_wdata_21), 
+   sky130_fd_sc_hd__inv_1 FE_OFC242_u_soc_data_wdata_21 (
+	.A(FE_OFN240_u_soc_data_wdata_21),
+	.Y(FE_OFN242_u_soc_data_wdata_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC199_u_soc_data_wdata_21 (
-	.A(FE_OFN195_u_soc_data_wdata_21),
-	.Y(FE_OFN199_u_soc_data_wdata_21), 
+   sky130_fd_sc_hd__inv_1 FE_OFC241_u_soc_data_wdata_21 (
+	.A(FE_OFN240_u_soc_data_wdata_21),
+	.Y(FE_OFN241_u_soc_data_wdata_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC198_u_soc_data_wdata_21 (
-	.A(FE_OFN195_u_soc_data_wdata_21),
-	.Y(FE_OFN198_u_soc_data_wdata_21), 
+   sky130_fd_sc_hd__inv_2 FE_OFC240_u_soc_data_wdata_21 (
+	.A(FE_OFN238_u_soc_data_wdata_21),
+	.Y(FE_OFN240_u_soc_data_wdata_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC197_u_soc_data_wdata_21 (
-	.A(FE_OFN195_u_soc_data_wdata_21),
-	.Y(FE_OFN197_u_soc_data_wdata_21), 
+   sky130_fd_sc_hd__inv_1 FE_OFC239_u_soc_data_wdata_21 (
+	.A(FE_OFN236_u_soc_data_wdata_21),
+	.Y(FE_OFN239_u_soc_data_wdata_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC196_u_soc_data_wdata_21 (
-	.A(FE_OFN195_u_soc_data_wdata_21),
-	.Y(FE_OFN196_u_soc_data_wdata_21), 
+   sky130_fd_sc_hd__inv_2 FE_OFC238_u_soc_data_wdata_21 (
+	.A(FE_OFN236_u_soc_data_wdata_21),
+	.Y(FE_OFN238_u_soc_data_wdata_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC195_u_soc_data_wdata_21 (
+   sky130_fd_sc_hd__inv_1 FE_OFC237_u_soc_data_wdata_21 (
+	.A(FE_OFN236_u_soc_data_wdata_21),
+	.Y(FE_OFN237_u_soc_data_wdata_21), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC236_u_soc_data_wdata_21 (
 	.A(u_soc_data_wdata[21]),
-	.Y(FE_OFN195_u_soc_data_wdata_21), 
+	.Y(FE_OFN236_u_soc_data_wdata_21), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_12 FE_OFC194_u_soc_data_wdata_28 (
-	.A(FE_OFN19713_u_soc_data_wdata_28),
-	.X(FE_OFN194_u_soc_data_wdata_28), 
+   sky130_fd_sc_hd__inv_1 FE_OFC235_u_soc_data_wdata_22 (
+	.A(FE_PDN3763_FE_OFN234_u_soc_data_wdata_22),
+	.Y(FE_OFN235_u_soc_data_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC234_u_soc_data_wdata_22 (
+	.A(FE_OFN233_u_soc_data_wdata_22),
+	.Y(FE_OFN234_u_soc_data_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC233_u_soc_data_wdata_22 (
+	.A(FE_OFN232_u_soc_data_wdata_22),
+	.Y(FE_OFN233_u_soc_data_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC232_u_soc_data_wdata_22 (
+	.A(FE_OFN231_u_soc_data_wdata_22),
+	.Y(FE_OFN232_u_soc_data_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC231_u_soc_data_wdata_22 (
+	.A(FE_PDN4087_FE_OFN229_u_soc_data_wdata_22),
+	.Y(FE_OFN231_u_soc_data_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC230_u_soc_data_wdata_22 (
+	.A(FE_OFN229_u_soc_data_wdata_22),
+	.Y(FE_OFN230_u_soc_data_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC229_u_soc_data_wdata_22 (
+	.A(u_soc_data_wdata[22]),
+	.Y(FE_OFN229_u_soc_data_wdata_22), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC228_u_soc_data_wdata_23 (
+	.A(FE_OFN227_u_soc_data_wdata_23),
+	.Y(FE_OFN228_u_soc_data_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC227_u_soc_data_wdata_23 (
+	.A(FE_OFN226_u_soc_data_wdata_23),
+	.Y(FE_OFN227_u_soc_data_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC226_u_soc_data_wdata_23 (
+	.A(FE_OFN225_u_soc_data_wdata_23),
+	.Y(FE_OFN226_u_soc_data_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC225_u_soc_data_wdata_23 (
+	.A(FE_OFN224_u_soc_data_wdata_23),
+	.Y(FE_OFN225_u_soc_data_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC224_u_soc_data_wdata_23 (
+	.A(FE_PDN4067_FE_OFN222_u_soc_data_wdata_23),
+	.Y(FE_OFN224_u_soc_data_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC223_u_soc_data_wdata_23 (
+	.A(FE_OFN222_u_soc_data_wdata_23),
+	.Y(FE_OFN223_u_soc_data_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC222_u_soc_data_wdata_23 (
+	.A(u_soc_data_wdata[23]),
+	.Y(FE_OFN222_u_soc_data_wdata_23), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC221_u_soc_data_wdata_24 (
+	.A(FE_OFN219_u_soc_data_wdata_24),
+	.Y(FE_OFN221_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC220_u_soc_data_wdata_24 (
+	.A(FE_OFN219_u_soc_data_wdata_24),
+	.Y(FE_OFN220_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC219_u_soc_data_wdata_24 (
+	.A(FE_OFN217_u_soc_data_wdata_24),
+	.Y(FE_OFN219_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC218_u_soc_data_wdata_24 (
+	.A(FE_OFN216_u_soc_data_wdata_24),
+	.Y(FE_OFN218_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC217_u_soc_data_wdata_24 (
+	.A(FE_PDN3810_FE_OFN216_u_soc_data_wdata_24),
+	.Y(FE_OFN217_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC216_u_soc_data_wdata_24 (
+	.A(FE_OFN19152_u_soc_data_wdata_24),
+	.Y(FE_OFN216_u_soc_data_wdata_24), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC215_u_soc_data_wdata_25 (
+	.A(FE_OFN214_u_soc_data_wdata_25),
+	.Y(FE_OFN215_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC214_u_soc_data_wdata_25 (
+	.A(FE_OFN213_u_soc_data_wdata_25),
+	.Y(FE_OFN214_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC213_u_soc_data_wdata_25 (
+	.A(FE_OFN212_u_soc_data_wdata_25),
+	.Y(FE_OFN213_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC212_u_soc_data_wdata_25 (
+	.A(FE_OFN211_u_soc_data_wdata_25),
+	.Y(FE_OFN212_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC211_u_soc_data_wdata_25 (
+	.A(FE_OFN210_u_soc_data_wdata_25),
+	.Y(FE_OFN211_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC210_u_soc_data_wdata_25 (
+	.A(u_soc_data_wdata[25]),
+	.Y(FE_OFN210_u_soc_data_wdata_25), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC209_u_soc_data_wdata_26 (
+	.A(FE_OFN207_u_soc_data_wdata_26),
+	.Y(FE_OFN209_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC208_u_soc_data_wdata_26 (
+	.A(FE_OFN207_u_soc_data_wdata_26),
+	.Y(FE_OFN208_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC207_u_soc_data_wdata_26 (
+	.A(FE_OFN205_u_soc_data_wdata_26),
+	.Y(FE_OFN207_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC206_u_soc_data_wdata_26 (
+	.A(FE_PDN3658_FE_OFN204_u_soc_data_wdata_26),
+	.Y(FE_OFN206_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC205_u_soc_data_wdata_26 (
+	.A(FE_PDN3658_FE_OFN204_u_soc_data_wdata_26),
+	.Y(FE_OFN205_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC204_u_soc_data_wdata_26 (
+	.A(u_soc_data_wdata[26]),
+	.Y(FE_OFN204_u_soc_data_wdata_26), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC203_u_soc_data_wdata_27 (
+	.A(FE_OFN202_u_soc_data_wdata_27),
+	.Y(FE_OFN203_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC202_u_soc_data_wdata_27 (
+	.A(FE_OFN201_u_soc_data_wdata_27),
+	.Y(FE_OFN202_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC201_u_soc_data_wdata_27 (
+	.A(FE_OFN200_u_soc_data_wdata_27),
+	.Y(FE_OFN201_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC200_u_soc_data_wdata_27 (
+	.A(FE_OFN199_u_soc_data_wdata_27),
+	.Y(FE_OFN200_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC199_u_soc_data_wdata_27 (
+	.A(FE_OFN198_u_soc_data_wdata_27),
+	.Y(FE_OFN199_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC198_u_soc_data_wdata_27 (
+	.A(FE_OFN19151_u_soc_data_wdata_27),
+	.Y(FE_OFN198_u_soc_data_wdata_27), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC197_u_soc_data_wdata_28 (
+	.A(FE_PDN3792_FE_OFN195_u_soc_data_wdata_28),
+	.Y(FE_OFN197_u_soc_data_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC196_u_soc_data_wdata_28 (
+	.A(FE_OFN195_u_soc_data_wdata_28),
+	.Y(FE_OFN196_u_soc_data_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC195_u_soc_data_wdata_28 (
+	.A(FE_OFN193_u_soc_data_wdata_28),
+	.Y(FE_OFN195_u_soc_data_wdata_28), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC194_u_soc_data_wdata_28 (
+	.A(FE_OFN192_u_soc_data_wdata_28),
+	.Y(FE_OFN194_u_soc_data_wdata_28), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC193_u_soc_data_wdata_28 (
-	.A(FE_OFN190_u_soc_data_wdata_28),
+	.A(FE_OFN192_u_soc_data_wdata_28),
 	.Y(FE_OFN193_u_soc_data_wdata_28), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC192_u_soc_data_wdata_28 (
+   sky130_fd_sc_hd__inv_2 FE_OFC192_u_soc_data_wdata_28 (
 	.A(u_soc_data_wdata[28]),
-	.X(FE_OFN192_u_soc_data_wdata_28), 
+	.Y(FE_OFN192_u_soc_data_wdata_28), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC190_u_soc_data_wdata_28 (
-	.A(FE_OFN19713_u_soc_data_wdata_28),
-	.Y(FE_OFN190_u_soc_data_wdata_28), 
+   sky130_fd_sc_hd__inv_1 FE_OFC191_u_soc_data_wdata_29 (
+	.A(FE_PDN3776_FE_OFN189_u_soc_data_wdata_29),
+	.Y(FE_OFN191_u_soc_data_wdata_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC189_u_soc_data_wdata_28 (
-	.A(u_soc_data_wdata[28]),
-	.X(FE_OFN189_u_soc_data_wdata_28), 
+   sky130_fd_sc_hd__inv_1 FE_OFC190_u_soc_data_wdata_29 (
+	.A(FE_OFN189_u_soc_data_wdata_29),
+	.Y(FE_OFN190_u_soc_data_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC189_u_soc_data_wdata_29 (
+	.A(FE_OFN187_u_soc_data_wdata_29),
+	.Y(FE_OFN189_u_soc_data_wdata_29), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC188_u_soc_data_wdata_29 (
+	.A(FE_OFN186_u_soc_data_wdata_29),
+	.Y(FE_OFN188_u_soc_data_wdata_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC187_u_soc_data_wdata_29 (
-	.A(FE_OFN183_u_soc_data_wdata_29),
+	.A(FE_OFN186_u_soc_data_wdata_29),
 	.Y(FE_OFN187_u_soc_data_wdata_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC186_u_soc_data_wdata_29 (
-	.A(FE_OFN182_u_soc_data_wdata_29),
+   sky130_fd_sc_hd__inv_2 FE_OFC186_u_soc_data_wdata_29 (
+	.A(FE_OFN19137_u_soc_data_wdata_29),
 	.Y(FE_OFN186_u_soc_data_wdata_29), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC184_u_soc_data_wdata_29 (
-	.A(u_soc_data_wdata[29]),
-	.X(FE_OFN184_u_soc_data_wdata_29), 
+   sky130_fd_sc_hd__inv_1 FE_OFC185_u_soc_data_wdata_30 (
+	.A(FE_PDN3852_FE_OFN183_u_soc_data_wdata_30),
+	.Y(FE_OFN185_u_soc_data_wdata_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC183_u_soc_data_wdata_29 (
-	.A(FE_OFN19659_u_soc_data_wdata_29),
-	.Y(FE_OFN183_u_soc_data_wdata_29), 
+   sky130_fd_sc_hd__inv_1 FE_OFC184_u_soc_data_wdata_30 (
+	.A(FE_OFN183_u_soc_data_wdata_30),
+	.Y(FE_OFN184_u_soc_data_wdata_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC182_u_soc_data_wdata_29 (
-	.A(u_soc_data_wdata[29]),
-	.Y(FE_OFN182_u_soc_data_wdata_29), 
+   sky130_fd_sc_hd__inv_2 FE_OFC183_u_soc_data_wdata_30 (
+	.A(FE_PDN3779_FE_OFN181_u_soc_data_wdata_30),
+	.Y(FE_OFN183_u_soc_data_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 FE_OFC182_u_soc_data_wdata_30 (
+	.A(FE_OFN180_u_soc_data_wdata_30),
+	.Y(FE_OFN182_u_soc_data_wdata_30), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC181_u_soc_data_wdata_30 (
+	.A(FE_OFN180_u_soc_data_wdata_30),
+	.Y(FE_OFN181_u_soc_data_wdata_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC180_u_soc_data_wdata_30 (
-	.A(FE_OFN176_u_soc_data_wdata_30),
+	.A(u_soc_data_wdata[30]),
 	.Y(FE_OFN180_u_soc_data_wdata_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__bufinv_8 FE_OFC179_u_soc_data_wdata_30 (
-	.A(FE_OFN175_u_soc_data_wdata_30),
-	.Y(FE_OFN179_u_soc_data_wdata_30), 
+   sky130_fd_sc_hd__inv_1 FE_OFC179_u_soc_data_wdata_31 (
+	.A(FE_PDN3704_FE_OFN177_u_soc_data_wdata_31),
+	.Y(FE_OFN179_u_soc_data_wdata_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC177_u_soc_data_wdata_30 (
-	.A(u_soc_data_wdata[30]),
-	.X(FE_OFN177_u_soc_data_wdata_30), 
+   sky130_fd_sc_hd__inv_1 FE_OFC178_u_soc_data_wdata_31 (
+	.A(FE_OFN177_u_soc_data_wdata_31),
+	.Y(FE_OFN178_u_soc_data_wdata_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC176_u_soc_data_wdata_30 (
-	.A(u_soc_data_wdata[30]),
-	.Y(FE_OFN176_u_soc_data_wdata_30), 
+   sky130_fd_sc_hd__inv_2 FE_OFC177_u_soc_data_wdata_31 (
+	.A(FE_OFN175_u_soc_data_wdata_31),
+	.Y(FE_OFN177_u_soc_data_wdata_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC175_u_soc_data_wdata_30 (
-	.A(u_soc_data_wdata[30]),
-	.Y(FE_OFN175_u_soc_data_wdata_30), 
+   sky130_fd_sc_hd__inv_1 FE_OFC176_u_soc_data_wdata_31 (
+	.A(FE_OFN174_u_soc_data_wdata_31),
+	.Y(FE_OFN176_u_soc_data_wdata_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_6 FE_OFC174_u_soc_data_wdata_31 (
-	.A(FE_OFN171_u_soc_data_wdata_31),
-	.X(FE_OFN174_u_soc_data_wdata_31), 
+   sky130_fd_sc_hd__inv_1 FE_OFC175_u_soc_data_wdata_31 (
+	.A(FE_OFN174_u_soc_data_wdata_31),
+	.Y(FE_OFN175_u_soc_data_wdata_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC172_u_soc_data_wdata_31 (
-	.A(FE_OFN168_u_soc_data_wdata_31),
-	.Y(FE_OFN172_u_soc_data_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC171_u_soc_data_wdata_31 (
-	.A(FE_OFN168_u_soc_data_wdata_31),
-	.Y(FE_OFN171_u_soc_data_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC170_u_soc_data_wdata_31 (
-	.A(FE_OFN168_u_soc_data_wdata_31),
-	.Y(FE_OFN170_u_soc_data_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_6 FE_OFC169_u_soc_data_wdata_31 (
-	.A(FE_OFN168_u_soc_data_wdata_31),
-	.Y(FE_OFN169_u_soc_data_wdata_31), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC168_u_soc_data_wdata_31 (
+   sky130_fd_sc_hd__inv_2 FE_OFC174_u_soc_data_wdata_31 (
 	.A(u_soc_data_wdata[31]),
-	.Y(FE_OFN168_u_soc_data_wdata_31), 
+	.Y(FE_OFN174_u_soc_data_wdata_31), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC167_io_oeb_24 (
-	.A(FE_OFN167_io_oeb_24),
-	.Y(FE_PDN3906_io_oeb_24), 
+   sky130_fd_sc_hd__buf_2 FE_OFC172_io_out_17 (
+	.A(FE_OFN172_io_out_17),
+	.X(FE_PDN3814_io_out_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC166_io_oeb_24 (
-	.A(FE_OFN166_io_oeb_24),
-	.Y(FE_OFN167_io_oeb_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC165_io_out_34 (
-	.A(FE_OFN164_io_out_34),
-	.Y(FE_OFN19080_io_out_6), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC164_io_out_34 (
-	.A(FE_OFN164_io_out_34),
+   sky130_fd_sc_hd__inv_2 FE_OFC171_io_out_34 (
+	.A(FE_OFN170_io_out_34),
 	.Y(io_out[34]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC163_io_out_34 (
-	.A(FE_OFN163_io_out_34),
-	.Y(FE_OFN164_io_out_34), 
+   sky130_fd_sc_hd__buf_2 FE_OFC170_io_out_34 (
+	.A(FE_OFN169_io_out_34),
+	.X(FE_PDN19245_io_out_6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC162_io_in_5 (
-	.A(FE_OFN18952_io_in_5),
-	.X(FE_OFN162_io_in_5), 
+   sky130_fd_sc_hd__inv_2 FE_OFC169_io_out_34 (
+	.A(FE_OFN169_io_out_34),
+	.Y(FE_OFN170_io_out_34), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC160_io_in_24 (
-	.A(FE_OFN159_io_in_24),
-	.Y(FE_OFN160_io_in_24), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 FE_OFC159_io_in_24 (
+   sky130_fd_sc_hd__buf_2 FE_OFC166_io_in_24 (
 	.A(io_in[24]),
-	.Y(FE_OFN159_io_in_24), 
+	.X(FE_OFN166_io_in_24), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC158_io_in_25 (
+   sky130_fd_sc_hd__buf_2 FE_OFC165_io_in_25 (
 	.A(io_in[25]),
-	.X(FE_OFN158_io_in_25), 
+	.X(FE_OFN165_io_in_25), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC157_io_in_26 (
-	.A(FE_PDN3887_io_in_26),
-	.X(FE_OFN157_io_in_26), 
+   sky130_fd_sc_hd__buf_2 FE_OFC164_io_in_26 (
+	.A(io_in[26]),
+	.X(FE_OFN164_io_in_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_2 FE_OFC156_io_in_27 (
-	.A(FE_PDN3902_io_in_27),
-	.X(FE_OFN156_io_in_27), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC163_io_in_27 (
+	.A(FE_OFN18776_io_in_27),
+	.X(FE_OFN163_io_in_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC154_io_in_29 (
-	.A(FE_PDN3901_io_in_29),
-	.X(FE_OFN154_io_in_29), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC159_io_in_32 (
+	.A(io_in[32]),
+	.X(FE_OFN159_io_in_32), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC153_io_out_37 (
-	.A(FE_OFN119_io_out_37),
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC157_FE_OFN83_io_out_37 (
+	.A(FE_OFN83_io_out_37),
+	.X(FE_OFN157_FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC156_FE_OFN94_io_out_37 (
+	.A(FE_OFN94_io_out_37),
+	.X(FE_OFN156_FE_OFN94_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC155_FE_OFN98_io_out_37 (
+	.A(FE_OFN98_io_out_37),
+	.X(FE_OFN155_FE_OFN98_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC154_io_out_37 (
+	.A(FE_OFN131_io_out_37),
+	.X(FE_OFN154_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC153_io_out_37 (
+	.A(FE_OFN131_io_out_37),
 	.X(FE_OFN153_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC152_io_out_37 (
-	.A(FE_OFN119_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC152_io_out_37 (
+	.A(FE_OFN131_io_out_37),
 	.X(FE_OFN152_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC151_io_out_37 (
-	.A(FE_OFN119_io_out_37),
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC151_io_out_37 (
+	.A(FE_OFN131_io_out_37),
 	.X(FE_OFN151_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC150_io_out_37 (
-	.A(FE_OFN119_io_out_37),
+   sky130_fd_sc_hd__buf_4 FE_OFC150_io_out_37 (
+	.A(FE_OFN131_io_out_37),
 	.X(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC149_io_out_37 (
-	.A(FE_OFN118_io_out_37),
+   sky130_fd_sc_hd__buf_4 FE_OFC149_io_out_37 (
+	.A(FE_OFN130_io_out_37),
 	.X(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC148_io_out_37 (
-	.A(FE_OFN118_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC148_io_out_37 (
+	.A(FE_OFN130_io_out_37),
 	.X(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC147_io_out_37 (
-	.A(FE_OFN118_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC147_io_out_37 (
+	.A(FE_OFN129_io_out_37),
 	.X(FE_OFN147_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC146_io_out_37 (
-	.A(FE_OFN118_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC146_io_out_37 (
+	.A(FE_OFN128_io_out_37),
 	.X(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC145_io_out_37 (
-	.A(FE_OFN118_io_out_37),
+	.A(FE_OFN128_io_out_37),
 	.X(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC144_io_out_37 (
-	.A(FE_OFN118_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC144_io_out_37 (
+	.A(FE_OFN128_io_out_37),
 	.X(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC143_io_out_37 (
-	.A(FE_OFN117_io_out_37),
+	.A(FE_OFN127_io_out_37),
 	.X(FE_OFN143_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC142_io_out_37 (
-	.A(FE_OFN117_io_out_37),
+   sky130_fd_sc_hd__buf_4 FE_OFC142_io_out_37 (
+	.A(FE_OFN122_io_out_37),
 	.X(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC141_io_out_37 (
-	.A(FE_OFN117_io_out_37),
+	.A(FE_OFN124_io_out_37),
 	.X(FE_OFN141_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC140_io_out_37 (
-	.A(FE_OFN116_io_out_37),
+	.A(FE_OFN123_io_out_37),
 	.X(FE_OFN140_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC139_io_out_37 (
-	.A(FE_OFN115_io_out_37),
+	.A(FE_OFN122_io_out_37),
 	.X(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC138_io_out_37 (
-	.A(FE_OFN115_io_out_37),
+	.A(FE_OFN116_io_out_37),
 	.X(FE_OFN138_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC137_io_out_37 (
-	.A(FE_OFN114_io_out_37),
+	.A(FE_OFN116_io_out_37),
 	.X(FE_OFN137_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_4 FE_OFC136_io_out_37 (
-	.A(FE_OFN114_io_out_37),
+	.A(FE_OFN116_io_out_37),
 	.X(FE_OFN136_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC135_io_out_37 (
-	.A(FE_OFN114_io_out_37),
+	.A(FE_OFN116_io_out_37),
 	.X(FE_OFN135_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC134_io_out_37 (
-	.A(FE_OFN111_io_out_37),
+	.A(FE_OFN114_io_out_37),
 	.X(FE_OFN134_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC133_io_out_37 (
-	.A(FE_OFN110_io_out_37),
+   sky130_fd_sc_hd__buf_4 FE_OFC133_io_out_37 (
+	.A(FE_OFN114_io_out_37),
 	.X(FE_OFN133_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC132_io_out_37 (
-	.A(FE_OFN109_io_out_37),
+	.A(FE_OFN111_io_out_37),
 	.X(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC131_io_out_37 (
-	.A(FE_OFN108_io_out_37),
+	.A(FE_OFN110_io_out_37),
 	.X(FE_OFN131_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC130_io_out_37 (
-	.A(FE_OFN107_io_out_37),
+	.A(FE_OFN110_io_out_37),
 	.X(FE_OFN130_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC129_io_out_37 (
-	.A(FE_OFN104_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC129_io_out_37 (
+	.A(FE_OFN110_io_out_37),
 	.X(FE_OFN129_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC128_io_out_37 (
-	.A(FE_OFN74_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC128_io_out_37 (
+	.A(FE_OFN110_io_out_37),
 	.X(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC127_io_out_37 (
-	.A(FE_OFN72_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC127_io_out_37 (
+	.A(FE_OFN110_io_out_37),
 	.X(FE_OFN127_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC126_io_out_37 (
-	.A(FE_OFN71_io_out_37),
+	.A(FE_OFN110_io_out_37),
 	.X(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC125_io_out_37 (
-	.A(FE_OFN68_io_out_37),
-	.X(FE_OFN125_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC124_io_out_37 (
-	.A(FE_OFN64_io_out_37),
+	.A(FE_OFN110_io_out_37),
 	.X(FE_OFN124_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC123_io_out_37 (
-	.A(FE_OFN64_io_out_37),
+	.A(FE_OFN110_io_out_37),
 	.X(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC122_io_out_37 (
-	.A(FE_OFN64_io_out_37),
+	.A(FE_OFN110_io_out_37),
 	.X(FE_OFN122_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC121_io_out_37 (
-	.A(FE_OFN56_io_out_37),
-	.Y(FE_OFN121_io_out_37), 
+   sky130_fd_sc_hd__buf_2 FE_OFC121_io_out_37 (
+	.A(FE_OFN111_io_out_37),
+	.X(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC120_io_out_37 (
-	.A(FE_OFN56_io_out_37),
-	.Y(FE_OFN120_io_out_37), 
+   sky130_fd_sc_hd__buf_2 FE_OFC120_io_out_37 (
+	.A(FE_OFN108_io_out_37),
+	.X(FE_OFN120_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC119_io_out_37 (
-	.A(FE_OFN55_io_out_37),
+	.A(FE_OFN108_io_out_37),
 	.X(FE_OFN119_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC118_io_out_37 (
-	.A(FE_OFN55_io_out_37),
+	.A(FE_OFN110_io_out_37),
 	.X(FE_OFN118_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC117_io_out_37 (
-	.A(FE_OFN55_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC117_io_out_37 (
+	.A(FE_OFN104_io_out_37),
 	.X(FE_OFN117_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC116_io_out_37 (
-	.A(FE_OFN55_io_out_37),
+	.A(FE_OFN104_io_out_37),
 	.X(FE_OFN116_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC115_io_out_37 (
-	.A(FE_OFN55_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC115_io_out_37 (
+	.A(FE_OFN104_io_out_37),
 	.X(FE_OFN115_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC114_io_out_37 (
-	.A(FE_OFN55_io_out_37),
+	.A(FE_OFN104_io_out_37),
 	.X(FE_OFN114_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC113_io_out_37 (
-	.A(FE_OFN55_io_out_37),
+	.A(FE_OFN102_io_out_37),
 	.X(FE_OFN113_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC112_io_out_37 (
-	.A(FE_OFN54_io_out_37),
+   sky130_fd_sc_hd__buf_4 FE_OFC112_io_out_37 (
+	.A(FE_OFN73_io_out_37),
 	.X(FE_OFN112_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC111_io_out_37 (
-	.A(FE_OFN54_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC111_io_out_37 (
+	.A(FE_OFN72_io_out_37),
 	.X(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC110_io_out_37 (
-	.A(FE_OFN54_io_out_37),
+	.A(FE_OFN72_io_out_37),
 	.X(FE_OFN110_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC109_io_out_37 (
-	.A(FE_OFN54_io_out_37),
-	.X(FE_OFN109_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC108_io_out_37 (
-	.A(FE_OFN54_io_out_37),
+	.A(FE_OFN72_io_out_37),
 	.X(FE_OFN108_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC107_io_out_37 (
-	.A(FE_OFN54_io_out_37),
+	.A(FE_OFN72_io_out_37),
 	.X(FE_OFN107_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC106_io_out_37 (
-	.A(FE_OFN54_io_out_37),
-	.X(FE_OFN106_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC105_io_out_37 (
-	.A(FE_OFN54_io_out_37),
+   sky130_fd_sc_hd__buf_4 FE_OFC105_io_out_37 (
+	.A(FE_OFN72_io_out_37),
 	.X(FE_OFN105_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC104_io_out_37 (
-	.A(FE_OFN54_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC104_io_out_37 (
+	.A(FE_OFN72_io_out_37),
 	.X(FE_OFN104_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC103_io_out_37 (
-	.A(FE_OFN54_io_out_37),
+   sky130_fd_sc_hd__buf_4 FE_OFC103_io_out_37 (
+	.A(FE_OFN72_io_out_37),
 	.X(FE_OFN103_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC102_io_out_37 (
-	.A(FE_OFN53_io_out_37),
+	.A(FE_OFN72_io_out_37),
 	.X(FE_OFN102_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC101_io_out_37 (
-	.A(FE_OFN51_io_out_37),
-	.X(FE_OFN101_io_out_37), 
+   sky130_fd_sc_hd__inv_2 FE_OFC101_io_out_37 (
+	.A(FE_OFN71_io_out_37),
+	.Y(FE_OFN101_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC100_io_out_37 (
-	.A(FE_OFN51_io_out_37),
+	.A(FE_OFN70_io_out_37),
 	.X(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC99_io_out_37 (
-	.A(FE_OFN51_io_out_37),
+	.A(FE_OFN69_io_out_37),
 	.X(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC98_io_out_37 (
-	.A(FE_OFN51_io_out_37),
+	.A(FE_OFN68_io_out_37),
 	.X(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC97_io_out_37 (
-	.A(FE_OFN51_io_out_37),
+	.A(FE_OFN63_io_out_37),
 	.X(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC96_io_out_37 (
-	.A(FE_OFN51_io_out_37),
+	.A(FE_OFN62_io_out_37),
 	.X(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_4 FE_OFC95_io_out_37 (
-	.A(FE_OFN50_io_out_37),
+	.A(FE_OFN59_io_out_37),
 	.X(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC94_io_out_37 (
-	.A(FE_OFN49_io_out_37),
-	.Y(FE_OFN94_io_out_37), 
+   sky130_fd_sc_hd__buf_2 FE_OFC94_io_out_37 (
+	.A(FE_OFN54_io_out_37),
+	.X(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC93_io_out_37 (
+   sky130_fd_sc_hd__inv_2 FE_OFC93_io_out_37 (
 	.A(FE_OFN48_io_out_37),
-	.X(FE_OFN93_io_out_37), 
+	.Y(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC92_io_out_37 (
-	.A(FE_OFN46_io_out_37),
+	.A(FE_OFN48_io_out_37),
 	.Y(FE_OFN92_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC91_io_out_37 (
-	.A(FE_OFN46_io_out_37),
+	.A(FE_OFN42_io_out_37),
 	.Y(FE_OFN91_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC90_io_out_37 (
-	.A(FE_OFN45_io_out_37),
+	.A(FE_OFN41_io_out_37),
 	.X(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC89_io_out_37 (
-	.A(FE_OFN44_io_out_37),
-	.Y(FE_OFN89_io_out_37), 
+   sky130_fd_sc_hd__buf_2 FE_OFC89_io_out_37 (
+	.A(io_out[37]),
+	.X(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC88_io_out_37 (
-	.A(FE_OFN44_io_out_37),
-	.Y(FE_OFN88_io_out_37), 
+   sky130_fd_sc_hd__buf_2 FE_OFC88_io_out_37 (
+	.A(FE_OFN38_io_out_37),
+	.X(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC87_io_out_37 (
-	.A(FE_OFN43_io_out_37),
+	.A(FE_OFN38_io_out_37),
 	.X(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC85_io_out_37 (
-	.A(FE_OFN44_io_out_37),
-	.Y(FE_OFN85_io_out_37), 
+   sky130_fd_sc_hd__buf_2 FE_OFC86_io_out_37 (
+	.A(FE_OFN36_io_out_37),
+	.X(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC84_io_out_37 (
-	.A(FE_OFN41_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC85_io_out_37 (
+	.A(FE_OFN35_io_out_37),
+	.X(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_4 FE_OFC84_io_out_37 (
+	.A(FE_OFN35_io_out_37),
 	.X(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC83_io_out_37 (
-	.A(FE_OFN40_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC83_io_out_37 (
+	.A(FE_OFN34_io_out_37),
 	.X(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC82_io_out_37 (
-	.A(FE_OFN39_io_out_37),
+   sky130_fd_sc_hd__buf_4 FE_OFC82_io_out_37 (
+	.A(FE_OFN33_io_out_37),
 	.X(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC81_io_out_37 (
-	.A(FE_OFN38_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC81_io_out_37 (
+	.A(FE_OFN32_io_out_37),
 	.X(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC80_io_out_37 (
-	.A(FE_OFN37_io_out_37),
+	.A(FE_OFN31_io_out_37),
 	.X(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC79_io_out_37 (
-	.A(FE_OFN40_io_out_37),
+	.A(FE_OFN30_io_out_37),
 	.X(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC78_io_out_37 (
-	.A(FE_OFN32_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC78_io_out_37 (
+	.A(FE_OFN29_io_out_37),
 	.X(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC77_io_out_37 (
-	.A(FE_OFN30_io_out_37),
+	.A(FE_OFN32_io_out_37),
 	.X(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC76_io_out_37 (
-	.A(FE_OFN29_io_out_37),
+	.A(FE_OFN22_io_out_37),
 	.X(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC75_io_out_37 (
-	.A(FE_OFN29_io_out_37),
+   sky130_fd_sc_hd__buf_2 FE_OFC75_io_out_37 (
+	.A(FE_OFN22_io_out_37),
 	.X(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_4 FE_OFC74_io_out_37 (
-	.A(FE_OFN28_io_out_37),
-	.Y(io_out[37]), 
+   sky130_fd_sc_hd__buf_2 FE_OFC74_io_out_37 (
+	.A(FE_OFN22_io_out_37),
+	.X(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC73_io_out_37 (
-	.A(FE_OFN28_io_out_37),
-	.Y(FE_OFN74_io_out_37), 
+   sky130_fd_sc_hd__buf_2 FE_OFC73_io_out_37 (
+	.A(FE_OFN21_io_out_37),
+	.X(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC72_io_out_37 (
-	.A(FE_OFN28_io_out_37),
-	.Y(FE_OFN73_io_out_37), 
+   sky130_fd_sc_hd__buf_2 FE_OFC72_io_out_37 (
+	.A(FE_OFN21_io_out_37),
+	.X(FE_OFN72_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC71_io_out_37 (
-	.A(FE_OFN28_io_out_37),
-	.Y(FE_OFN72_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC70_io_out_37 (
-	.A(FE_OFN28_io_out_37),
+	.A(FE_OFN21_io_out_37),
 	.Y(FE_OFN71_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC69_io_out_37 (
-	.A(FE_OFN28_io_out_37),
-	.Y(FE_OFN70_io_out_37), 
+   sky130_fd_sc_hd__buf_2 FE_OFC70_io_out_37 (
+	.A(FE_OFN21_io_out_37),
+	.X(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC68_io_out_37 (
-	.A(FE_OFN28_io_out_37),
-	.Y(FE_OFN69_io_out_37), 
+   sky130_fd_sc_hd__buf_2 FE_OFC69_io_out_37 (
+	.A(FE_OFN21_io_out_37),
+	.X(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC67_io_out_37 (
-	.A(FE_OFN28_io_out_37),
-	.Y(FE_OFN68_io_out_37), 
+   sky130_fd_sc_hd__buf_2 FE_OFC68_io_out_37 (
+	.A(FE_OFN21_io_out_37),
+	.X(FE_OFN68_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC65_io_out_37 (
-	.A(FE_OFN28_io_out_37),
-	.Y(FE_OFN66_io_out_37), 
+   sky130_fd_sc_hd__clkbuf_1 FE_OFC67_io_out_37 (
+	.A(FE_OFN21_io_out_37),
+	.X(FE_OFN67_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC63_io_out_37 (
-	.A(FE_OFN28_io_out_37),
+   sky130_fd_sc_hd__inv_2 FE_OFC64_io_out_37 (
+	.A(FE_OFN20_io_out_37),
 	.Y(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC61_io_out_37 (
-	.A(FE_OFN26_io_out_37),
-	.X(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC60_io_out_37 (
-	.A(FE_OFN22_io_out_37),
-	.X(FE_OFN61_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC59_io_out_37 (
-	.A(FE_OFN23_io_out_37),
-	.X(FE_OFN60_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC58_io_out_37 (
+   sky130_fd_sc_hd__inv_2 FE_OFC63_io_out_37 (
 	.A(FE_OFN20_io_out_37),
-	.X(FE_OFN59_io_out_37), 
+	.Y(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC57_io_out_37 (
+   sky130_fd_sc_hd__inv_2 FE_OFC62_io_out_37 (
 	.A(FE_OFN20_io_out_37),
-	.X(FE_OFN58_io_out_37), 
+	.Y(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC56_io_out_37 (
+   sky130_fd_sc_hd__inv_2 FE_OFC60_io_out_37 (
+	.A(FE_OFN20_io_out_37),
+	.Y(FE_OFN60_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC59_io_out_37 (
+	.A(FE_OFN20_io_out_37),
+	.Y(FE_OFN59_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC58_io_out_37 (
+	.A(FE_OFN20_io_out_37),
+	.Y(FE_OFN58_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC56_io_out_37 (
 	.A(FE_OFN18_io_out_37),
-	.X(FE_OFN57_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC55_io_out_37 (
-	.A(FE_OFN15_io_out_37),
 	.Y(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC54_io_out_37 (
-	.A(FE_OFN15_io_out_37),
-	.X(FE_OFN55_io_out_37), 
+   sky130_fd_sc_hd__inv_2 FE_OFC55_io_out_37 (
+	.A(FE_OFN18_io_out_37),
+	.Y(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC53_io_out_37 (
-	.A(FE_OFN15_io_out_37),
-	.X(FE_OFN54_io_out_37), 
+   sky130_fd_sc_hd__inv_2 FE_OFC54_io_out_37 (
+	.A(FE_OFN18_io_out_37),
+	.Y(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC52_io_out_37 (
-	.A(FE_OFN15_io_out_37),
-	.X(FE_OFN53_io_out_37), 
+   sky130_fd_sc_hd__inv_2 FE_OFC53_io_out_37 (
+	.A(FE_OFN18_io_out_37),
+	.Y(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC51_io_out_37 (
-	.A(FE_OFN15_io_out_37),
-	.X(FE_OFN52_io_out_37), 
+   sky130_fd_sc_hd__inv_2 FE_OFC52_io_out_37 (
+	.A(FE_OFN18_io_out_37),
+	.Y(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC50_io_out_37 (
-	.A(FE_OFN15_io_out_37),
-	.X(FE_OFN51_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC49_io_out_37 (
-	.A(FE_OFN15_io_out_37),
-	.X(FE_OFN50_io_out_37), 
+   sky130_fd_sc_hd__inv_2 FE_OFC50_io_out_37 (
+	.A(FE_OFN18_io_out_37),
+	.Y(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC48_io_out_37 (
 	.A(FE_OFN15_io_out_37),
-	.Y(FE_OFN49_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC47_io_out_37 (
-	.A(FE_OFN15_io_out_37),
-	.X(FE_OFN48_io_out_37), 
+	.Y(FE_OFN48_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC46_io_out_37 (
 	.A(FE_OFN12_io_out_37),
-	.Y(FE_OFN47_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC45_io_out_37 (
-	.A(FE_OFN13_io_out_37),
 	.Y(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC44_io_out_37 (
+   sky130_fd_sc_hd__inv_2 FE_OFC45_io_out_37 (
 	.A(FE_OFN12_io_out_37),
 	.Y(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC43_io_out_37 (
+   sky130_fd_sc_hd__inv_2 FE_OFC44_io_out_37 (
 	.A(FE_OFN11_io_out_37),
 	.Y(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC42_io_out_37 (
-	.A(FE_OFN12_io_out_37),
+   sky130_fd_sc_hd__inv_2 FE_OFC43_io_out_37 (
+	.A(FE_OFN11_io_out_37),
 	.Y(FE_OFN43_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC40_io_out_37 (
-	.A(FE_OFN12_io_out_37),
+   sky130_fd_sc_hd__inv_2 FE_OFC42_io_out_37 (
+	.A(FE_OFN10_io_out_37),
+	.Y(FE_OFN42_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC41_io_out_37 (
+	.A(FE_OFN9_io_out_37),
 	.Y(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC40_io_out_37 (
+	.A(FE_OFN9_io_out_37),
+	.Y(io_out[37]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC39_io_out_37 (
-	.A(FE_OFN12_io_out_37),
+	.A(FE_OFN9_io_out_37),
 	.Y(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC38_io_out_37 (
-	.A(FE_OFN12_io_out_37),
+	.A(FE_OFN9_io_out_37),
 	.Y(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC37_io_out_37 (
-	.A(FE_OFN12_io_out_37),
+	.A(FE_OFN9_io_out_37),
 	.Y(FE_OFN38_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC36_io_out_37 (
-	.A(FE_OFN12_io_out_37),
+	.A(FE_OFN9_io_out_37),
 	.Y(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC35_io_out_37 (
+	.A(FE_OFN8_io_out_37),
+	.Y(FE_OFN36_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC34_io_out_37 (
-	.A(FE_OFN6_io_out_37),
+	.A(FE_OFN8_io_out_37),
 	.Y(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC33_io_out_37 (
-	.A(FE_OFN5_io_out_37),
+	.A(FE_OFN8_io_out_37),
 	.Y(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC32_io_out_37 (
-	.A(FE_OFN5_io_out_37),
+	.A(FE_OFN8_io_out_37),
 	.Y(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC31_io_out_37 (
-	.A(FE_OFN5_io_out_37),
+	.A(FE_OFN8_io_out_37),
 	.Y(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC30_io_out_37 (
-	.A(FE_OFN5_io_out_37),
+	.A(FE_OFN8_io_out_37),
 	.Y(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC29_io_out_37 (
-	.A(FE_OFN5_io_out_37),
+	.A(FE_OFN8_io_out_37),
 	.Y(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC28_io_out_37 (
-	.A(FE_OFN5_io_out_37),
+	.A(FE_OFN8_io_out_37),
 	.Y(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_4 FE_OFC27_io_out_37 (
-	.A(FE_OFN5_io_out_37),
-	.X(FE_OFN28_io_out_37), 
+   sky130_fd_sc_hd__inv_2 FE_OFC26_io_out_37 (
+	.A(FE_OFN8_io_out_37),
+	.Y(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC25_io_out_37 (
-	.A(FE_OFN5_io_out_37),
+	.A(FE_OFN7_io_out_37),
 	.Y(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC24_io_out_37 (
-	.A(FE_OFN5_io_out_37),
+	.A(FE_OFN7_io_out_37),
 	.Y(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC23_io_out_37 (
+	.A(FE_OFN6_io_out_37),
+	.Y(FE_OFN24_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC22_io_out_37 (
-	.A(FE_OFN5_io_out_37),
+	.A(FE_OFN6_io_out_37),
 	.Y(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC21_io_out_37 (
-	.A(FE_OFN5_io_out_37),
+	.A(FE_OFN6_io_out_37),
 	.Y(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC20_io_out_37 (
-	.A(FE_OFN5_io_out_37),
-	.Y(FE_OFN21_io_out_37), 
+   sky130_fd_sc_hd__buf_2 FE_OFC20_io_out_37 (
+	.A(FE_OFN4_io_out_37),
+	.X(FE_OFN21_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC19_io_out_37 (
-	.A(FE_OFN5_io_out_37),
+	.A(FE_OFN4_io_out_37),
 	.Y(FE_OFN20_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC18_io_out_37 (
-	.A(FE_OFN5_io_out_37),
-	.Y(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC17_io_out_37 (
-	.A(FE_OFN5_io_out_37),
+	.A(FE_OFN4_io_out_37),
 	.Y(FE_OFN18_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 FE_OFC15_io_out_37 (
-	.A(FE_OFN5_io_out_37),
-	.Y(FE_OFN16_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC14_io_out_37 (
-	.A(FE_OFN1_io_out_37),
+	.A(FE_OFN4_io_out_37),
 	.X(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__buf_2 FE_OFC12_io_out_37 (
-	.A(FE_OFN1_io_out_37),
-	.X(FE_OFN13_io_out_37), 
+   sky130_fd_sc_hd__buf_2 FE_OFC13_io_out_37 (
+	.A(FE_OFN4_io_out_37),
+	.X(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC11_io_out_37 (
-	.A(FE_OFN1_io_out_37),
+	.A(FE_OFN3_io_out_37),
 	.Y(FE_OFN12_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC10_io_out_37 (
-	.A(FE_OFN1_io_out_37),
-	.X(FE_OFN11_io_out_37), 
+   sky130_fd_sc_hd__inv_2 FE_OFC10_io_out_37 (
+	.A(FE_OFN3_io_out_37),
+	.Y(FE_OFN11_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkbuf_1 FE_OFC6_io_out_37 (
-	.A(FE_OFN1_io_out_37),
-	.X(FE_OFN7_io_out_37), 
+   sky130_fd_sc_hd__buf_4 FE_OFC9_io_out_37 (
+	.A(FE_OFN3_io_out_37),
+	.X(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC8_io_out_37 (
+	.A(FE_OFN3_io_out_37),
+	.Y(FE_OFN9_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC7_io_out_37 (
+	.A(FE_OFN3_io_out_37),
+	.Y(FE_OFN8_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC6_io_out_37 (
+	.A(FE_OFN3_io_out_37),
+	.Y(FE_OFN7_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC5_io_out_37 (
-	.A(FE_OFN1_io_out_37),
+	.A(FE_OFN3_io_out_37),
 	.Y(FE_OFN6_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 FE_OFC4_io_out_37 (
-	.A(FE_OFN1_io_out_37),
+	.A(FE_OFN2_io_out_37),
 	.Y(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC3_io_out_37 (
+	.A(FE_OFN1_io_out_37),
+	.X(FE_OFN4_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__buf_2 FE_OFC2_io_out_37 (
+	.A(FE_PDN3796_FE_OFN1_io_out_37),
+	.X(FE_OFN3_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 FE_OFC1_io_out_37 (
+	.A(FE_OFN1_io_out_37),
+	.Y(FE_OFN2_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__buf_2 FE_OFC0_io_out_37 (
 	.A(FE_OFN0_io_out_37),
 	.X(FE_OFN1_io_out_37), 
@@ -57073,7 +53941,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    iccm_controller u_soc_u_dut (
-	.rst_ni(FE_OFN874_n_470),
+	.rst_ni(FE_OFN1075_n_470),
 	.prog_i(io_in[8]),
 	.rx_dv_i(u_soc_rx_dv_i),
 	.rx_byte_i(u_soc_rx_byte_i),
@@ -57081,25 +53949,29 @@
 	.addr_o(u_soc_iccm_ctrl_addr_o),
 	.wdata_o(u_soc_iccm_ctrl_data),
 	.reset_o(u_soc_prog_rst_ni),
-	.FE_OFN21_n_470(FE_OFN875_n_470),
-	.clk_i_clone2(CTS_23),
-	.clk_i_clone1(CTS_20),
-	.clk_i(CTS_21), 
+	.FE_OFN18_n_470(FE_OFN1077_n_470),
+	.FE_OFN17_n_470(FE_OFN1076_n_470),
+	.clk_i_clone5(CTS_2),
+	.clk_i_clone4(CTS_3),
+	.clk_i_clone3(CTS_15),
+	.clk_i_clone2(CTS_80),
+	.clk_i_clone1(CTS_81),
+	.clk_i(CTS_84), 
 	.vccd1(vccd1), 
 	.vssd1(vssd1));
    tcam_top u_soc_u_tcam_u_tcam_top (
-	.rst_ni(FE_OFN33_io_out_37),
+	.rst_ni(FE_OFN20_io_out_37),
 	.csb_i(u_soc_u_tcam_n_26),
-	.web_i(u_soc_u_tcam_n_27),
+	.web_i(FE_OFN18249_n),
 	.wmask_i({ \u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [30],
 		\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [22],
 		\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [14],
 		\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [6] }),
-	.addr_i({ FE_COEN4560_u_soc_u_tcam_data_addr_27,
+	.addr_i({ u_soc_u_tcam_data_addr[27],
 		u_soc_u_tcam_data_addr[26],
-		FE_COEN4324_u_soc_u_tcam_data_addr_25,
+		u_soc_u_tcam_data_addr[25],
 		u_soc_u_tcam_data_addr[24],
-		FE_COEN4562_u_soc_u_tcam_data_addr_23,
+		u_soc_u_tcam_data_addr[23],
 		u_soc_u_tcam_data_addr[22],
 		u_soc_u_tcam_data_addr[21],
 		u_soc_u_tcam_data_addr[20],
@@ -57113,48 +53985,17 @@
 		u_soc_u_tcam_data_addr[12],
 		u_soc_u_tcam_data_addr[11],
 		u_soc_u_tcam_data_addr[10],
-		FE_COEN4696_u_soc_u_tcam_data_addr_9,
+		u_soc_u_tcam_data_addr[9],
 		u_soc_u_tcam_data_addr[8],
-		FE_COEN4570_u_soc_u_tcam_data_addr_7,
-		FE_COEN4698_u_soc_u_tcam_data_addr_6,
+		u_soc_u_tcam_data_addr[7],
+		u_soc_u_tcam_data_addr[6],
 		u_soc_u_tcam_data_addr[5],
 		u_soc_u_tcam_data_addr[4],
 		u_soc_u_tcam_data_addr[3],
 		u_soc_u_tcam_data_addr[2],
 		u_soc_u_tcam_data_addr[1],
 		u_soc_u_tcam_data_addr[0] }),
-	.wdata_i({ u_soc_u_tcam_data_wdata[31],
-		FE_COEN4567_u_soc_u_tcam_data_wdata_30,
-		FE_COEN4699_u_soc_u_tcam_data_wdata_29,
-		u_soc_u_tcam_data_wdata[28],
-		u_soc_u_tcam_data_wdata[27],
-		u_soc_u_tcam_data_wdata[26],
-		u_soc_u_tcam_data_wdata[25],
-		u_soc_u_tcam_data_wdata[24],
-		u_soc_u_tcam_data_wdata[23],
-		u_soc_u_tcam_data_wdata[22],
-		u_soc_u_tcam_data_wdata[21],
-		FE_COEN4691_u_soc_u_tcam_data_wdata_20,
-		FE_COEN4689_u_soc_u_tcam_data_wdata_19,
-		FE_COEN4695_u_soc_u_tcam_data_wdata_18,
-		FE_COEN4694_u_soc_u_tcam_data_wdata_17,
-		u_soc_u_tcam_data_wdata[16],
-		u_soc_u_tcam_data_wdata[15],
-		u_soc_u_tcam_data_wdata[14],
-		u_soc_u_tcam_data_wdata[13],
-		u_soc_u_tcam_data_wdata[12],
-		u_soc_u_tcam_data_wdata[11],
-		u_soc_u_tcam_data_wdata[10],
-		u_soc_u_tcam_data_wdata[9],
-		u_soc_u_tcam_data_wdata[8],
-		u_soc_u_tcam_data_wdata[7],
-		u_soc_u_tcam_data_wdata[6],
-		u_soc_u_tcam_data_wdata[5],
-		u_soc_u_tcam_data_wdata[4],
-		u_soc_u_tcam_data_wdata[3],
-		u_soc_u_tcam_data_wdata[2],
-		u_soc_u_tcam_data_wdata[1],
-		u_soc_u_tcam_data_wdata[0] }),
+	.wdata_i(u_soc_u_tcam_data_wdata),
 	.rdata_o({ UNCONNECTED282,
 		UNCONNECTED281,
 		UNCONNECTED280,
@@ -57187,23 +54028,22 @@
 		u_soc_u_tcam_rdata[2],
 		u_soc_u_tcam_rdata[1],
 		u_soc_u_tcam_rdata[0] }),
-	.FE_OFN10_io_out_37(FE_OFN146_io_out_37),
-	.FE_OFN9_io_out_37(FE_OFN145_io_out_37),
-	.FE_OFN8_io_out_37(FE_OFN143_io_out_37),
-	.FE_OFN4_io_out_37(FE_OFN81_io_out_37),
-	.FE_OFN3_io_out_37(FE_OFN78_io_out_37),
-	.FE_OFN2_io_out_37(FE_OFN41_io_out_37),
-	.clk_i_clone6(CTS_17),
-	.clk_i_clone5(CTS_58),
-	.clk_i_clone4(CTS_49),
-	.clk_i_clone3(CTS_51),
-	.clk_i_clone2(CTS_52),
-	.clk_i_clone1(CTS_53),
-	.clk_i(wb_clk_i), 
+	.FE_OFN5_io_out_37(FE_OFN97_io_out_37),
+	.FE_OFN4_io_out_37(FE_OFN18821_FE_OFN96_io_out_37),
+	.FE_OFN3_io_out_37(FE_OFN69_io_out_37),
+	.FE_OFN1_io_out_37(FE_OFN64_io_out_37),
+	.FE_OFN0_io_out_37(FE_OFN56_io_out_37),
+	.FE_OFN62_io_out_37(FE_OFN62_io_out_37),
+	.clk_i_clone1(CTS_94),
+	.clk_i_clone5(CTS_67),
+	.clk_i_clone4(CTS_65),
+	.clk_i_clone3(CTS_96),
+	.clk_i(wb_clk_i),
+	.clk_i_clone2(CTS_100), 
 	.vccd1(vccd1), 
 	.vssd1(vssd1));
    ibex_controller_WritebackStage1_BranchPredictor0 u_soc_u_top_u_core_id_stage_i_controller_i (
-	.rst_ni(FE_OFN7_io_out_37),
+	.rst_ni(FE_OFN150_io_out_37),
 	.ctrl_busy_o(u_soc_u_top_u_core_ctrl_busy),
 	.illegal_insn_i(u_soc_u_top_u_core_id_stage_i_n_932),
 	.ecall_insn_i(u_soc_u_top_u_core_id_stage_i_ecall_insn_dec),
@@ -57213,35 +54053,35 @@
 	.ebrk_insn_i(u_soc_u_top_u_core_id_stage_i_ebrk_insn),
 	.csr_pipe_flush_i(u_soc_u_top_u_core_id_stage_i_csr_pipe_flush),
 	.instr_valid_i(u_soc_u_top_u_core_instr_valid_id),
-	.instr_i({ FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31,
+	.instr_i({ FE_OFN18163_u_soc_u_top_u_core_instr_rdata_id_31,
 		u_soc_u_top_u_core_instr_rdata_id[30],
-		FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29,
-		FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28,
-		u_soc_u_top_u_core_instr_rdata_id[27],
+		FE_OFN835_u_soc_u_top_u_core_instr_rdata_id_29,
+		FE_OFN836_u_soc_u_top_u_core_instr_rdata_id_28,
+		FE_OFN837_u_soc_u_top_u_core_instr_rdata_id_27,
 		u_soc_u_top_u_core_instr_rdata_id[26],
-		FE_OFN18414_n,
-		FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24,
-		FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23,
-		FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22,
-		FE_OFN721_u_soc_u_top_u_core_instr_rdata_id_21,
-		u_soc_u_top_u_core_instr_rdata_id[20],
-		FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19,
-		FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18,
-		FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17,
-		FE_OCPN19278_u_soc_u_top_u_core_instr_rdata_id_16,
-		FE_OCPN19570_u_soc_u_top_u_core_instr_rdata_id_15,
-		FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14,
-		FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13,
-		FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12,
+		FE_OFN18142_u_soc_u_top_u_core_instr_rdata_id_25,
+		FE_OFN18285_u_soc_u_top_u_core_instr_rdata_id_24,
+		u_soc_u_top_u_core_instr_rdata_id[23],
+		FE_PSN3973_u_soc_u_top_u_core_instr_rdata_id_22,
+		FE_OFN843_u_soc_u_top_u_core_instr_rdata_id_21,
+		FE_OFN18441_u_soc_u_top_u_core_instr_rdata_id_20,
+		FE_OFN845_u_soc_u_top_u_core_instr_rdata_id_19,
+		FE_OFN18274_u_soc_u_top_u_core_instr_rdata_id_18,
+		FE_OFN18630_u_soc_u_top_u_core_instr_rdata_id_17,
+		FE_OFN18300_u_soc_u_top_u_core_instr_rdata_id_16,
+		FE_OFN849_u_soc_u_top_u_core_instr_rdata_id_15,
+		FE_OFN850_u_soc_u_top_u_core_instr_rdata_id_14,
+		u_soc_u_top_u_core_instr_rdata_id[13],
+		FE_OFN18143_u_soc_u_top_u_core_instr_rdata_id_12,
 		u_soc_u_top_u_core_instr_rdata_id[11],
 		u_soc_u_top_u_core_instr_rdata_id[10],
 		u_soc_u_top_u_core_instr_rdata_id[9],
 		u_soc_u_top_u_core_instr_rdata_id[8],
 		u_soc_u_top_u_core_instr_rdata_id[7],
-		u_soc_u_top_u_core_instr_rdata_id[6],
-		FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_5,
-		FE_OFN18262_u_soc_u_top_u_core_instr_rdata_id_4,
-		FE_OFN18439_u_soc_u_top_u_core_instr_rdata_id_3,
+		FE_OFN18203_u_soc_u_top_u_core_instr_rdata_id_6,
+		FE_OFN18223_u_soc_u_top_u_core_instr_rdata_id_5,
+		FE_OFN18188_u_soc_u_top_u_core_instr_rdata_id_4,
+		u_soc_u_top_u_core_instr_rdata_id[3],
 		u_soc_u_top_u_core_instr_rdata_id[2],
 		u_soc_u_top_u_core_instr_rdata_id[1],
 		u_soc_u_top_u_core_instr_rdata_id[0] }),
@@ -57283,15 +54123,9 @@
 	.stall_wb_i(u_soc_u_top_u_core_id_stage_i_stall_wb),
 	.flush_id_o(u_soc_u_top_u_core_id_stage_i_flush_id),
 	.ready_wb_i(u_soc_u_top_u_core_ready_wb),
-	.FE_OFN6_io_out_37(FE_OFN89_io_out_37),
-	.FE_OFN5_io_out_37(FE_OFN85_io_out_37),
-	.FE_OFN0_io_out_37(FE_OFN11_io_out_37),
-	.FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
-	.FE_OFN18481_u_soc_u_top_u_core_instr_rdata_id_30(u_soc_u_top_u_core_instr_rdata_id[30]),
-	.FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26(FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26),
-	.FE_OFN18534_n(FE_OFN18534_n),
-	.clk_i_clone1(CTS_92),
-	.clk_i(CTS_81), 
+	.FE_OFN11_io_out_37(FE_OFN18808_FE_OFN154_io_out_37),
+	.FE_OFN18547_u_soc_u_top_u_core_instr_rdata_id_19(FE_OFN18547_u_soc_u_top_u_core_instr_rdata_id_19),
+	.clk_i(CTS_49), 
 	.vccd1(vccd1), 
 	.vssd1(vssd1));
    ibex_decoder_RV32E0_RV32M1_RV32B0_BranchTargetALU1 u_soc_u_top_u_core_id_stage_i_decoder_i (
@@ -57310,16 +54144,16 @@
 		UNCONNECTED_HIER_Z105,
 		UNCONNECTED_HIER_Z104,
 		UNCONNECTED_HIER_Z103,
-		u_soc_u_top_u_core_instr_rdata_id[24],
-		FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23,
-		FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22,
-		FE_OFN19558_u_soc_u_top_u_core_instr_rdata_id_21,
-		FE_PSN4440_u_soc_u_top_u_core_instr_rdata_id_20,
-		FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19,
-		FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18,
-		FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17,
-		u_soc_u_top_u_core_instr_rdata_id[16],
-		FE_OFN18523_u_soc_u_top_u_core_instr_rdata_id_15,
+		FE_OFN18285_u_soc_u_top_u_core_instr_rdata_id_24,
+		u_soc_u_top_u_core_instr_rdata_id[23],
+		FE_PSN3973_u_soc_u_top_u_core_instr_rdata_id_22,
+		FE_OFN843_u_soc_u_top_u_core_instr_rdata_id_21,
+		FE_OFN18441_u_soc_u_top_u_core_instr_rdata_id_20,
+		FE_OFN845_u_soc_u_top_u_core_instr_rdata_id_19,
+		FE_OFN18274_u_soc_u_top_u_core_instr_rdata_id_18,
+		u_soc_u_top_u_core_instr_rdata_id[17],
+		FE_OFN18300_u_soc_u_top_u_core_instr_rdata_id_16,
+		FE_OFN849_u_soc_u_top_u_core_instr_rdata_id_15,
 		UNCONNECTED_HIER_Z102,
 		UNCONNECTED_HIER_Z101,
 		UNCONNECTED_HIER_Z100,
@@ -57335,13 +54169,13 @@
 		UNCONNECTED_HIER_Z95,
 		UNCONNECTED_HIER_Z94,
 		UNCONNECTED_HIER_Z93 }),
-	.instr_rdata_alu_i({ FE_OFN18247_u_soc_u_top_u_core_instr_rdata_id_31,
+	.instr_rdata_alu_i({ FE_OFN18162_u_soc_u_top_u_core_instr_rdata_id_31,
 		u_soc_u_top_u_core_instr_rdata_id[30],
 		u_soc_u_top_u_core_instr_rdata_id[29],
 		u_soc_u_top_u_core_instr_rdata_id[28],
 		u_soc_u_top_u_core_instr_rdata_id[27],
-		FE_OFN19549_u_soc_u_top_u_core_instr_rdata_id_26,
-		FE_PSN4148_u_soc_u_top_u_core_instr_rdata_id_25,
+		u_soc_u_top_u_core_instr_rdata_id[26],
+		u_soc_u_top_u_core_instr_rdata_id[25],
 		UNCONNECTED_HIER_Z124,
 		UNCONNECTED_HIER_Z123,
 		UNCONNECTED_HIER_Z122,
@@ -57352,18 +54186,18 @@
 		UNCONNECTED_HIER_Z117,
 		UNCONNECTED_HIER_Z116,
 		UNCONNECTED_HIER_Z115,
-		FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14,
-		FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13,
-		u_soc_u_top_u_core_instr_rdata_id[12],
+		FE_OFN850_u_soc_u_top_u_core_instr_rdata_id_14,
+		FE_OFN851_u_soc_u_top_u_core_instr_rdata_id_13,
+		FE_OFN18143_u_soc_u_top_u_core_instr_rdata_id_12,
 		UNCONNECTED_HIER_Z114,
 		UNCONNECTED_HIER_Z113,
 		UNCONNECTED_HIER_Z112,
 		UNCONNECTED_HIER_Z111,
 		UNCONNECTED_HIER_Z110,
-		u_soc_u_top_u_core_instr_rdata_id[6],
-		FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_5,
-		FE_OFN18261_u_soc_u_top_u_core_instr_rdata_id_4,
-		FE_OFN18439_u_soc_u_top_u_core_instr_rdata_id_3,
+		FE_OFN18203_u_soc_u_top_u_core_instr_rdata_id_6,
+		FE_OFN18223_u_soc_u_top_u_core_instr_rdata_id_5,
+		u_soc_u_top_u_core_instr_rdata_id[4],
+		u_soc_u_top_u_core_instr_rdata_id[3],
 		u_soc_u_top_u_core_instr_rdata_id[2],
 		u_soc_u_top_u_core_instr_rdata_id[1],
 		u_soc_u_top_u_core_instr_rdata_id[0] }),
@@ -57394,21 +54228,17 @@
 	.data_sign_extension_o(u_soc_u_top_u_core_lsu_sign_ext),
 	.jump_in_dec_o(u_soc_u_top_u_core_id_stage_i_jump_in_dec),
 	.branch_in_dec_o(u_soc_u_top_u_core_id_stage_i_branch_in_dec),
-	.FE_OFN12_u_soc_u_top_u_core_instr_rdata_id_30(u_soc_u_top_u_core_instr_rdata_id[30]),
-	.FE_OFN13_u_soc_u_top_u_core_instr_rdata_id_29(FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29),
-	.FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_27(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
-	.FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_24(FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24),
-	.FE_OFN16_u_soc_u_top_u_core_instr_rdata_id_14(FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14),
-	.FE_OFN17_u_soc_u_top_u_core_instr_rdata_id_12(FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12),
-	.FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_30(u_soc_u_top_u_core_instr_rdata_id[30]),
-	.FE_OFN23_u_soc_u_top_u_core_instr_rdata_id_31(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31),
-	.FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_28(FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28),
-	.FE_OFN25_n(FE_OFN18534_n),
-	.FE_OFN26_u_soc_u_top_u_core_instr_rdata_id_14(FE_OFN18545_u_soc_u_top_u_core_instr_rdata_id_14),
-	.FE_OFN27_u_soc_u_top_u_core_instr_rdata_id_25(FE_OFN18414_n),
-	.FE_OFN29_u_soc_u_top_u_core_instr_rdata_id_12(FE_OFN18645_u_soc_u_top_u_core_instr_rdata_id_12),
-	.FE_OFN28_u_soc_u_top_u_core_instr_rdata_id_12(FE_OFN18644_u_soc_u_top_u_core_instr_rdata_id_12),
-	.FE_OFN30_u_soc_u_top_u_core_instr_rdata_id_30(u_soc_u_top_u_core_instr_rdata_id[30]), 
+	.FE_OFN12_u_soc_u_top_u_core_instr_rdata_id_30(FE_OFN834_u_soc_u_top_u_core_instr_rdata_id_30),
+	.FE_OFN13_u_soc_u_top_u_core_instr_rdata_id_29(FE_OFN835_u_soc_u_top_u_core_instr_rdata_id_29),
+	.FE_OFN14_u_soc_u_top_u_core_instr_rdata_id_25(FE_OFN18142_u_soc_u_top_u_core_instr_rdata_id_25),
+	.FE_OFN15_u_soc_u_top_u_core_instr_rdata_id_13(FE_OFN19034_u_soc_u_top_u_core_instr_rdata_id_13),
+	.FE_OFN19_u_soc_u_top_u_core_instr_rdata_id_30(FE_OFN18129_u_soc_u_top_u_core_instr_rdata_id_30),
+	.FE_OFN20_u_soc_u_top_u_core_instr_rdata_id_31(FE_OFN18163_u_soc_u_top_u_core_instr_rdata_id_31),
+	.FE_OFN21_u_soc_u_top_u_core_instr_rdata_id_27(FE_OFN837_u_soc_u_top_u_core_instr_rdata_id_27),
+	.FE_OFN22_u_soc_u_top_u_core_instr_rdata_id_28(FE_OFN18341_u_soc_u_top_u_core_instr_rdata_id_28),
+	.FE_OFN23_u_soc_u_top_u_core_instr_rdata_id_26(u_soc_u_top_u_core_instr_rdata_id[26]),
+	.FE_OFN24_u_soc_u_top_u_core_instr_rdata_id_25(FE_OFN18142_u_soc_u_top_u_core_instr_rdata_id_25),
+	.FE_OFN18204_u_soc_u_top_u_core_instr_rdata_id_6(FE_OFN18204_u_soc_u_top_u_core_instr_rdata_id_6), 
 	.vccd1(vccd1), 
 	.vssd1(vssd1));
    uart_rx_prog u_soc_u_uart_rx_prog (
@@ -57417,31 +54247,33 @@
 	.CLKS_PER_BIT(u_soc_baud_reg),
 	.o_Rx_DV(u_soc_rx_dv_i),
 	.o_Rx_Byte(u_soc_rx_byte_i),
-	.FE_OFN20_n_470(FE_OFN875_n_470),
-	.FE_OFN19_n_470(FE_OFN873_n_470),
-	.clk_i_clone1(CTS_28),
-	.clk_i_clone2(CTS_20),
-	.clk_i(CTS_77), 
+	.FE_OFN16_n_470(FE_OFN1075_n_470),
+	.clk_i_clone1(CTS_6),
+	.clk_i_clone2(CTS_5),
+	.clk_i(CTS_2), 
 	.vccd1(vccd1), 
 	.vssd1(vssd1));
    uart_rx u_soc_u_uart_u_uart_core_u_rx (
-	.rst_ni(FE_OFN5_io_out_37),
+	.rst_ni(FE_OFN67_io_out_37),
 	.i_Rx_Serial(u_soc_u_uart_u_uart_core_n_188),
 	.CLKS_PER_BIT(u_soc_u_uart_u_uart_core_control),
 	.sbit_o(u_soc_u_uart_u_uart_core_rx_sbit),
 	.o_Rx_DV(u_soc_u_uart_u_uart_core_rx_done),
 	.o_Rx_Byte(u_soc_u_uart_u_uart_core_rx),
-	.FE_OFN7_io_out_37(FE_OFN139_io_out_37),
-	.FE_OFN1_io_out_37(FE_OFN16_io_out_37),
-	.FE_OFN18_u_soc_u_uart_u_uart_core_rx_4(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
-	.FE_OFN32_io_out_37(FE_OFN32_io_out_37),
-	.clk_i_clone2(CTS_46),
-	.clk_i_clone1(CTS_25),
-	.clk_i(CTS_44), 
+	.FE_OFN10_io_out_37(FE_OFN150_io_out_37),
+	.FE_OFN9_io_out_37(FE_OFN142_io_out_37),
+	.FE_OFN8_io_out_37(FE_OFN141_io_out_37),
+	.FE_OFN7_io_out_37(FE_OFN140_io_out_37),
+	.FE_OFN6_io_out_37(FE_OFN123_io_out_37),
+	.FE_OFN2_io_out_37(FE_OFN68_io_out_37),
+	.clk_i_clone3(CTS_16),
+	.clk_i_clone1(CTS_57),
+	.clk_i_clone2(CTS_54),
+	.clk_i(CTS_64), 
 	.vccd1(vccd1), 
 	.vssd1(vssd1));
    uart_tx u_soc_u_uart_u_uart_core_u_tx (
-	.rst_ni(FE_OFN31_io_out_37),
+	.rst_ni(FE_OFN53_io_out_37),
 	.tx_en(u_soc_u_uart_u_uart_core_n_186),
 	.i_TX_Byte({ u_soc_u_uart_u_uart_core_tx_fifo_data[8],
 		u_soc_u_uart_u_uart_core_tx_fifo_data[6],
@@ -57452,307 +54284,305 @@
 		u_soc_u_uart_u_uart_core_tx_fifo_data[1],
 		u_soc_u_uart_u_uart_core_tx_fifo_data[0] }),
 	.CLKS_PER_BIT(u_soc_u_uart_u_uart_core_control),
-	.o_TX_Serial(FE_OFN163_io_out_34),
+	.o_TX_Serial(FE_OFN169_io_out_34),
 	.o_TX_Done(u_soc_u_uart_u_uart_core_tx_done),
-	.FE_OFN11_io_out_37(FE_OFN153_io_out_37),
-	.clk_i_clone2(CTS_46),
-	.clk_i_clone1(CTS_36),
-	.clk_i(CTS_71), 
+	.clk_i_clone1(CTS_64),
+	.clk_i(CTS_88), 
 	.vccd1(vccd1), 
 	.vssd1(vssd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram1 (
-	.din0({ FE_OFN18028_n,
-		FE_OFN180_u_soc_data_wdata_30,
-		FE_OFN187_u_soc_data_wdata_29,
-		FE_OFN193_u_soc_data_wdata_28,
-		FE_OFN1231_u_soc_data_wdata_27,
-		FE_OFN1235_u_soc_data_wdata_26,
-		FE_OFN1239_u_soc_data_wdata_25,
-		FE_OFN1243_u_soc_data_wdata_24,
-		FE_OFN1247_u_soc_data_wdata_23,
-		FE_OFN1251_u_soc_data_wdata_22,
-		FE_OFN18027_n,
-		FE_OFN18026_n,
-		FE_OFN1254_u_soc_data_wdata_19,
-		FE_OFN1258_u_soc_data_wdata_18,
-		FE_OFN215_u_soc_data_wdata_17,
-		FE_OFN1262_u_soc_data_wdata_16,
-		FE_OFN222_u_soc_data_wdata_15,
-		FE_OFN229_u_soc_data_wdata_14,
-		FE_OFN19727_FE_OFN18696_u_soc_data_wdata_13,
-		FE_OFN18681_u_soc_data_wdata_12,
-		FE_OFN18675_u_soc_data_wdata_11,
-		FE_OFN18666_u_soc_data_wdata_10,
-		FE_OFN1266_u_soc_data_wdata_9,
-		FE_OFN235_u_soc_data_wdata_8,
-		FE_OFN242_u_soc_data_wdata_7,
-		FE_OFN248_u_soc_data_wdata_6,
-		FE_OFN255_u_soc_data_wdata_5,
-		FE_OFN262_u_soc_data_wdata_4,
-		FE_OFN269_u_soc_data_wdata_3,
-		FE_OFN275_u_soc_data_wdata_2,
-		FE_OFN281_u_soc_data_wdata_1,
-		FE_OFN288_u_soc_data_wdata_0 }),
+	.din0({ FE_OFN179_u_soc_data_wdata_31,
+		FE_OFN185_u_soc_data_wdata_30,
+		FE_OFN191_u_soc_data_wdata_29,
+		FE_OFN197_u_soc_data_wdata_28,
+		FE_OFN203_u_soc_data_wdata_27,
+		FE_OFN209_u_soc_data_wdata_26,
+		FE_OFN215_u_soc_data_wdata_25,
+		FE_OFN221_u_soc_data_wdata_24,
+		FE_OFN228_u_soc_data_wdata_23,
+		FE_OFN235_u_soc_data_wdata_22,
+		FE_OFN242_u_soc_data_wdata_21,
+		FE_OFN249_u_soc_data_wdata_20,
+		FE_OFN256_u_soc_data_wdata_19,
+		FE_OFN263_u_soc_data_wdata_18,
+		FE_OFN270_u_soc_data_wdata_17,
+		FE_OFN277_u_soc_data_wdata_16,
+		FE_OFN284_u_soc_data_wdata_15,
+		FE_OFN291_u_soc_data_wdata_14,
+		FE_OFN298_u_soc_data_wdata_13,
+		FE_OFN305_u_soc_data_wdata_12,
+		FE_OFN312_u_soc_data_wdata_11,
+		FE_OFN319_u_soc_data_wdata_10,
+		FE_OFN326_u_soc_data_wdata_9,
+		FE_OFN333_u_soc_data_wdata_8,
+		FE_OFN340_u_soc_data_wdata_7,
+		FE_OFN347_u_soc_data_wdata_6,
+		FE_OFN354_u_soc_data_wdata_5,
+		FE_OFN361_u_soc_data_wdata_4,
+		FE_OFN368_u_soc_data_wdata_3,
+		FE_OFN375_u_soc_data_wdata_2,
+		FE_OFN382_u_soc_data_wdata_1,
+		FE_OFN388_u_soc_data_wdata_0 }),
 	.dout0(u_soc_u_dccm_rdata1),
-	.addr0({ FE_OFN19601_FE_OFN19202_FE_OFN18777_FE_OFN1689_n,
-		FE_PDN4072_FE_OFN19596_FE_OFN19203_FE_OFN19077_n,
-		FE_PDN4729_FE_OFN19598_FE_OFN19204_FE_OFN18791_FE_OFN1687_n,
-		FE_PDN4521_FE_OFN19600_FE_OFN19523_n,
-		FE_PDN4003_FE_OFN19373_FE_OFN19261_n,
-		FE_PDN19783_FE_OFN19520_n,
-		FE_PDN19785_FE_OFN19518_n,
-		FE_OFN19580_FE_OFN19194_FE_OFN18757_FE_OFN1682_n }),
-	.wmask0({ FE_OFN19681_FE_OFN19242_FE_OFN19168_n,
-		FE_OFN19647_FE_OFN19209_FE_OFN18796_FE_OFN18186_n,
-		FE_OFN1722_n,
-		FE_OFN18960_FE_OFN1721_n }),
-	.csb0(FE_OFN1594_u_soc_u_dccm_csb1),
-	.web0(FE_OFN1763_n),
-	.clk0(CTS_76),
-	.addr1({ FE_PDN4731_FE_OFN18003_n,
-		FE_OFN18943_FE_OFN820_logic_0_3_net,
-		FE_OFN18991_FE_OFN822_logic_0_4_net,
-		FE_OFN19005_FE_OFN823_logic_0_5_net,
-		FE_OFN18989_FE_OFN18002_n,
-		FE_OFN18001_n,
-		FE_OFN18806_FE_OFN827_logic_0_8_net,
-		FE_OFN19016_FE_OFN829_logic_0_9_net }),
+	.addr0({ FE_OFN19079_FE_OFN18903_FE_OFN18654_FE_OFN1897_n,
+		FE_OFN19122_FE_OFN18938_FE_OFN18679_FE_OFN1896_n,
+		FE_OFN19128_FE_OFN18936_FE_OFN18834_n,
+		FE_OFN19120_FE_OFN18945_FE_OFN18688_FE_OFN1894_n,
+		FE_OFN19096_FE_OFN18929_FE_OFN18677_FE_OFN1893_n,
+		FE_OFN19124_FE_OFN18934_FE_OFN18682_FE_OFN1892_n,
+		FE_OFN19180_n,
+		FE_OFN19182_n }),
+	.wmask0({ FE_OFN19187_n,
+		FE_OFN18777_FE_OFN18066_n,
+		FE_OFN19143_FE_OFN19001_FE_OFN18637_FE_OFN18062_n,
+		FE_PDN4048_FE_OFN19000_FE_OFN18869_n }),
+	.csb0(FE_OFN1664_u_soc_u_dccm_csb1),
+	.web0(FE_OFN1280_u_soc_data_we),
+	.clk0(CTS_1),
+	.addr1({ FE_PDN3809_logic_0_2_net,
+		FE_PDN4078_n,
+		FE_PDN4081_n,
+		FE_PDN4102_FE_OFN18739_FE_OFN17999_n,
+		FE_PDN3729_FE_OFN17998_n,
+		FE_OFN18741_FE_OFN17997_n,
+		FE_PDN3737_FE_OFN18737_FE_OFN17996_n,
+		FE_PDN3732_FE_OFN18780_FE_OFN17995_n }),
 	.csb1(n_17979),
 	.clk1(logic_0_10_net), 
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram2 (
-	.din0({ FE_OFN174_u_soc_data_wdata_31,
-		FE_OFN19456_FE_OFN181_u_soc_data_wdata_30,
-		FE_OFN19003_FE_OFN188_u_soc_data_wdata_29,
-		FE_OFN194_u_soc_data_wdata_28,
-		FE_PDN3954_FE_OFN18716_FE_OFN1230_u_soc_data_wdata_27,
-		FE_OFN18729_FE_OFN1233_u_soc_data_wdata_26,
-		FE_PDN4747_FE_OFN18710_FE_OFN1237_u_soc_data_wdata_25,
-		FE_OFN18724_FE_OFN1241_u_soc_data_wdata_24,
-		FE_OFN18189_n,
-		FE_OFN19432_FE_OFN1756_n,
-		FE_PDN4002_FE_OFN201_u_soc_data_wdata_21,
-		FE_OFN207_u_soc_data_wdata_20,
-		FE_OFN19463_FE_OFN1751_n,
-		FE_OFN19465_FE_OFN1746_n,
-		FE_PDN3903_FE_OFN214_u_soc_data_wdata_17,
-		FE_OFN19296_FE_OFN1741_n,
-		FE_OFN221_u_soc_data_wdata_15,
-		FE_OFN228_u_soc_data_wdata_14,
-		FE_PDN3914_FE_OFN18694_u_soc_data_wdata_13,
-		FE_OFN18680_u_soc_data_wdata_12,
-		FE_OFN18674_u_soc_data_wdata_11,
-		FE_PDN3983_FE_OFN18665_u_soc_data_wdata_10,
-		FE_OFN18704_FE_OFN1264_u_soc_data_wdata_9,
-		FE_PSN4859_FE_PDN4759_FE_COEN4678,
-		FE_PDN4723_FE_PSBN19821_FE_OFN19389_FE_OFN240_u_soc_data_wdata_7,
-		FE_OFN19414_FE_OFN18748_FE_OFN244_u_soc_data_wdata_6,
-		FE_PDN3930_FE_OFN253_u_soc_data_wdata_5,
-		FE_OFN18785_FE_OFN260_u_soc_data_wdata_4,
-		FE_OFN268_u_soc_data_wdata_3,
-		FE_OFN274_u_soc_data_wdata_2,
-		FE_OFN280_u_soc_data_wdata_1,
-		FE_OFN287_u_soc_data_wdata_0 }),
+	.din0({ FE_OFN178_u_soc_data_wdata_31,
+		FE_OFN184_u_soc_data_wdata_30,
+		FE_OFN190_u_soc_data_wdata_29,
+		FE_OFN196_u_soc_data_wdata_28,
+		FE_OFN201_u_soc_data_wdata_27,
+		FE_OFN208_u_soc_data_wdata_26,
+		FE_OFN213_u_soc_data_wdata_25,
+		FE_OFN220_u_soc_data_wdata_24,
+		FE_OFN226_u_soc_data_wdata_23,
+		FE_OFN233_u_soc_data_wdata_22,
+		FE_OFN241_u_soc_data_wdata_21,
+		FE_OFN247_u_soc_data_wdata_20,
+		FE_OFN255_u_soc_data_wdata_19,
+		FE_OFN262_u_soc_data_wdata_18,
+		FE_OFN269_u_soc_data_wdata_17,
+		FE_OFN275_u_soc_data_wdata_16,
+		FE_OFN283_u_soc_data_wdata_15,
+		FE_OFN289_u_soc_data_wdata_14,
+		FE_OFN297_u_soc_data_wdata_13,
+		FE_OFN303_u_soc_data_wdata_12,
+		FE_OFN310_u_soc_data_wdata_11,
+		FE_OFN318_u_soc_data_wdata_10,
+		FE_OFN324_u_soc_data_wdata_9,
+		FE_OFN331_u_soc_data_wdata_8,
+		FE_OFN338_u_soc_data_wdata_7,
+		FE_OFN346_u_soc_data_wdata_6,
+		FE_OFN353_u_soc_data_wdata_5,
+		FE_OFN360_u_soc_data_wdata_4,
+		FE_OFN366_u_soc_data_wdata_3,
+		FE_OFN373_u_soc_data_wdata_2,
+		FE_OFN380_u_soc_data_wdata_1,
+		FE_OFN387_u_soc_data_wdata_0 }),
 	.dout0(u_soc_u_dccm_rdata2),
-	.addr0({ FE_PDN4540_FE_OFN19516_n,
-		FE_PDN4501_FE_OFN19280_FE_OFN19064_n,
-		FE_PDN19852_n,
-		FE_PDN19881_n,
-		FE_PDN3895_FE_OFN19510_n,
-		FE_PDN4735_FE_OFN3865_n,
-		FE_PDN19854_n,
-		FE_PDN4578_n }),
-	.wmask0({ FE_PDN4721_FE_OFN19607_FE_OFN19282_FE_OFN19089_n,
-		FE_OFN19609_FE_OFN19216_FE_OFN19067_n,
-		FE_PDN4066_FE_OFN19562_FE_OFN19214_FE_OFN18660_FE_OFN1312_u_soc_data_wmask_1,
-		FE_PDN3879_FE_OFN19211_FE_OFN18689_FE_OFN1317_u_soc_data_wmask_0 }),
-	.csb0(FE_OFN1135_u_soc_u_dccm_csb2),
-	.web0(FE_PDN3905_FE_OFN18873_FE_OFN1125_u_soc_data_we),
-	.clk0(CTS_76),
+	.addr0({ FE_OFN19189_n,
+		FE_OFN1910_n,
+		FE_OFN1909_n,
+		FE_OFN1908_n,
+		FE_OFN1907_n,
+		FE_OFN1906_n,
+		FE_OFN18781_FE_OFN1905_n,
+		FE_OFN1904_n }),
+	.wmask0({ FE_PDN3836_FE_OFN19163_n,
+		FE_PDN3700_FE_OFN18870_n,
+		FE_PDN4047_FE_OFN19132_FE_OFN18953_FE_OFN18884_n,
+		FE_OFN19134_FE_OFN18955_FE_OFN18883_n }),
+	.csb0(u_soc_u_dccm_csb2),
+	.web0(FE_OFN1279_u_soc_data_we),
+	.clk0(CTS_3),
 	.addr1({ logic_0_11_net,
-		FE_OFN19410_FE_OFN18831_FE_OFN18000_n,
-		FE_OFN19722_FE_OFN19430_FE_OFN18907_FE_OFN17999_n,
-		FE_OFN19460_FE_OFN18918_FE_OFN17998_n,
-		FE_PDN3964_FE_OFN19649_FE_OFN19388_FE_OFN18804_FE_OFN17997_n,
-		FE_PDN4748_FE_OFN19705_FE_OFN19434_FE_OFN19218_FE_OFN18888_FE_OFN17996_n,
-		FE_PDN4724_n,
-		FE_PDN4720_n }),
+		FE_PDN4103_FE_OFN19135_FE_OFN18990_FE_OFN17994_n,
+		FE_PDN4079_FE_OFN19015_n,
+		FE_PDN3872_FE_OFN18868_n,
+		logic_0_15_net,
+		FE_OFN18747_logic_0_16_net,
+		logic_0_17_net,
+		FE_PDN19238_n }),
 	.csb1(n_17980),
 	.clk1(logic_0_19_net), 
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram3 (
-	.din0({ FE_OFN169_u_soc_data_wdata_31,
-		FE_OFN177_u_soc_data_wdata_30,
-		FE_PDN3932_FE_OFN184_u_soc_data_wdata_29,
-		FE_OFN189_u_soc_data_wdata_28,
-		FE_OFN1230_u_soc_data_wdata_27,
-		FE_OFN1233_u_soc_data_wdata_26,
-		FE_PDN3920_FE_OFN1237_u_soc_data_wdata_25,
-		FE_OFN1241_u_soc_data_wdata_24,
-		FE_OFN1245_u_soc_data_wdata_23,
-		FE_PDN3872_FE_OFN1249_u_soc_data_wdata_22,
-		FE_OFN196_u_soc_data_wdata_21,
-		FE_OFN203_u_soc_data_wdata_20,
-		FE_OFN1253_u_soc_data_wdata_19,
-		FE_OFN1256_u_soc_data_wdata_18,
-		FE_OFN211_u_soc_data_wdata_17,
-		FE_OFN1260_u_soc_data_wdata_16,
-		FE_OFN218_u_soc_data_wdata_15,
-		FE_OFN225_u_soc_data_wdata_14,
-		FE_OFN18692_u_soc_data_wdata_13,
-		FE_OFN18677_u_soc_data_wdata_12,
-		FE_OFN18670_u_soc_data_wdata_11,
-		FE_OFN18662_u_soc_data_wdata_10,
-		FE_OFN1264_u_soc_data_wdata_9,
-		FE_OFN230_u_soc_data_wdata_8,
-		FE_PSBN19820_FE_OFN237_u_soc_data_wdata_7,
-		FE_OFN244_u_soc_data_wdata_6,
-		FE_OFN250_u_soc_data_wdata_5,
-		FE_OFN258_u_soc_data_wdata_4,
-		FE_OFN264_u_soc_data_wdata_3,
-		FE_OFN270_u_soc_data_wdata_2,
-		FE_PDN4012_FE_OFN278_u_soc_data_wdata_1,
-		FE_OFN283_u_soc_data_wdata_0 }),
+	.din0({ FE_OFN176_u_soc_data_wdata_31,
+		FE_OFN182_u_soc_data_wdata_30,
+		FE_OFN188_u_soc_data_wdata_29,
+		FE_OFN194_u_soc_data_wdata_28,
+		FE_OFN199_u_soc_data_wdata_27,
+		FE_OFN206_u_soc_data_wdata_26,
+		FE_OFN211_u_soc_data_wdata_25,
+		FE_OFN218_u_soc_data_wdata_24,
+		FE_OFN224_u_soc_data_wdata_23,
+		FE_OFN231_u_soc_data_wdata_22,
+		FE_OFN239_u_soc_data_wdata_21,
+		FE_OFN245_u_soc_data_wdata_20,
+		FE_OFN253_u_soc_data_wdata_19,
+		FE_OFN259_u_soc_data_wdata_18,
+		FE_OFN267_u_soc_data_wdata_17,
+		FE_OFN273_u_soc_data_wdata_16,
+		FE_OFN281_u_soc_data_wdata_15,
+		FE_OFN287_u_soc_data_wdata_14,
+		FE_OFN295_u_soc_data_wdata_13,
+		FE_OFN301_u_soc_data_wdata_12,
+		FE_OFN309_u_soc_data_wdata_11,
+		FE_OFN316_u_soc_data_wdata_10,
+		FE_OFN322_u_soc_data_wdata_9,
+		FE_OFN330_u_soc_data_wdata_8,
+		FE_OFN336_u_soc_data_wdata_7,
+		FE_OFN344_u_soc_data_wdata_6,
+		FE_OFN350_u_soc_data_wdata_5,
+		FE_OFN357_u_soc_data_wdata_4,
+		FE_OFN364_u_soc_data_wdata_3,
+		FE_OFN371_u_soc_data_wdata_2,
+		FE_OFN378_u_soc_data_wdata_1,
+		FE_OFN385_u_soc_data_wdata_0 }),
 	.dout0(u_soc_u_dccm_rdata3),
-	.addr0({ FE_PDN4503_FE_OFN19346_FE_OFN3442_FE_OFN1382_u_soc_u_dccm_addr3_7,
-		FE_PDN4740_FE_OFN19617_FE_OFN19286_FE_OFN19061_n,
-		FE_PDN3975_FE_OFN19603_FE_OFN19512_n,
-		FE_PDN4717_FE_PSN4557_FE_PDN4519_FE_OFN19592_FE_OFN19511_n,
-		FE_PDN4734_FE_OFN19605_FE_OFN19509_n,
-		FE_OFN19613_FE_OFN19561_FE_OFN18744_FE_OFN1387_u_soc_u_dccm_addr3_2,
-		FE_PDN4513_FE_OFN19515_n,
-		FE_PDN4714_FE_OFN19291_FE_OFN18743_FE_OFN1389_u_soc_u_dccm_addr3_0 }),
-	.wmask0({ FE_PDN3995_FE_OFN19586_FE_OFN19358_FE_OFN19213_FE_OFN19090_n,
-		FE_PDN4712_FE_PSN4677_FE_PDN4612_FE_OFN19590_FE_OFN19325_FE_OFN18753_FE_OFN1309_u_soc_data_wmask_2,
-		FE_PDN19847_n,
-		FE_PDN4516_FE_OFN19611_FE_OFN19344_FE_OFN19205_FE_OFN19097_n }),
-	.csb0(FE_OFN1136_u_soc_u_dccm_csb3),
-	.web0(FE_OFN1125_u_soc_data_we),
-	.clk0(CTS_33),
-	.addr1({ FE_PDN4753_FE_OFN19490_FE_OFN18932_FE_OFN17993_n,
-		FE_OFN18927_FE_OFN846_logic_0_21_net,
-		FE_OFN18980_FE_OFN848_logic_0_22_net,
-		FE_OFN19391_FE_OFN18925_FE_OFN850_logic_0_23_net,
-		FE_PDN4755_n,
-		FE_PDN4722_FE_OFN19564_FE_OFN19378_FE_OFN18824_FE_OFN852_logic_0_25_net,
-		FE_PDN3965_FE_OFN19725_FE_OFN19408_FE_OFN18774_FE_OFN853_logic_0_26_net,
-		FE_PDN4730_n }),
+	.addr0({ FE_OFN19113_FE_OFN19070_FE_OFN18942_FE_OFN18698_FE_OFN1903_n,
+		FE_OFN1902_n,
+		FE_OFN18006_n,
+		FE_OFN18784_FE_OFN1901_n,
+		FE_OFN18005_n,
+		FE_OFN1900_n,
+		FE_OFN18785_FE_OFN1899_n,
+		FE_OFN18786_FE_OFN1898_n }),
+	.wmask0({ FE_PDN4044_FE_OFN18881_n,
+		FE_PDN4045_FE_OFN18767_FE_OFN18069_n,
+		FE_OFN18064_n,
+		FE_OFN19145_FE_OFN18844_n }),
+	.csb0(u_soc_u_dccm_csb3),
+	.web0(FE_OFN1277_u_soc_data_we),
+	.clk0(CTS_87),
+	.addr1({ logic_0_20_net,
+		FE_OFN18744_FE_OFN17992_n,
+		FE_PDN19248_n,
+		logic_0_23_net,
+		FE_OFN17990_n,
+		FE_OFN19138_FE_OFN19071_FE_OFN18957_FE_OFN18748_FE_OFN17989_n,
+		FE_OFN18749_FE_OFN17988_n,
+		FE_OFN18764_FE_OFN17987_n }),
 	.csb1(n_17981),
 	.clk1(logic_0_28_net), 
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_dccm_sram4 (
-	.din0({ FE_PDN3899_FE_OFN172_u_soc_data_wdata_31,
-		FE_OFN179_u_soc_data_wdata_30,
-		FE_OFN186_u_soc_data_wdata_29,
-		FE_OFN192_u_soc_data_wdata_28,
-		FE_OFN18715_FE_OFN1230_u_soc_data_wdata_27,
-		FE_OFN19125_n,
-		FE_PDN4716_FE_OFN18709_FE_OFN1237_u_soc_data_wdata_25,
-		FE_OFN19171_n,
-		FE_OFN18191_n,
-		FE_OFN1758_n,
-		FE_OFN199_u_soc_data_wdata_21,
-		FE_OFN206_u_soc_data_wdata_20,
-		FE_OFN1753_n,
-		FE_OFN1747_n,
-		FE_OFN213_u_soc_data_wdata_17,
-		FE_OFN1742_n,
-		FE_OFN220_u_soc_data_wdata_15,
-		FE_OFN227_u_soc_data_wdata_14,
-		FE_OFN18693_u_soc_data_wdata_13,
-		FE_OFN18682_u_soc_data_wdata_12,
-		FE_OFN18672_u_soc_data_wdata_11,
-		FE_OFN18667_u_soc_data_wdata_10,
-		FE_OFN18703_FE_OFN1264_u_soc_data_wdata_9,
-		FE_OFN231_u_soc_data_wdata_8,
-		FE_OFN238_u_soc_data_wdata_7,
-		FE_PDN4024_FE_OFN243_u_soc_data_wdata_6,
-		FE_OFN251_u_soc_data_wdata_5,
-		FE_OFN259_u_soc_data_wdata_4,
-		FE_OFN265_u_soc_data_wdata_3,
-		FE_OFN271_u_soc_data_wdata_2,
-		FE_OFN277_u_soc_data_wdata_1,
-		FE_OFN284_u_soc_data_wdata_0 }),
+	.din0({ FE_OFN19141_u_soc_data_wdata_31,
+		FE_OFN18959_u_soc_data_wdata_30,
+		FE_OFN19137_u_soc_data_wdata_29,
+		u_soc_data_wdata[28],
+		FE_OFN19151_u_soc_data_wdata_27,
+		FE_OFN18960_u_soc_data_wdata_26,
+		FE_OFN18951_u_soc_data_wdata_25,
+		FE_OFN19152_u_soc_data_wdata_24,
+		FE_OFN223_u_soc_data_wdata_23,
+		FE_OFN230_u_soc_data_wdata_22,
+		FE_OFN237_u_soc_data_wdata_21,
+		FE_OFN244_u_soc_data_wdata_20,
+		FE_OFN250_u_soc_data_wdata_19,
+		FE_OFN257_u_soc_data_wdata_18,
+		FE_OFN264_u_soc_data_wdata_17,
+		FE_OFN272_u_soc_data_wdata_16,
+		FE_OFN279_u_soc_data_wdata_15,
+		FE_OFN286_u_soc_data_wdata_14,
+		FE_OFN293_u_soc_data_wdata_13,
+		FE_OFN299_u_soc_data_wdata_12,
+		FE_OFN307_u_soc_data_wdata_11,
+		FE_OFN314_u_soc_data_wdata_10,
+		FE_OFN321_u_soc_data_wdata_9,
+		FE_OFN328_u_soc_data_wdata_8,
+		FE_OFN334_u_soc_data_wdata_7,
+		FE_OFN18793_FE_OFN341_u_soc_data_wdata_6,
+		FE_OFN348_u_soc_data_wdata_5,
+		FE_OFN356_u_soc_data_wdata_4,
+		FE_OFN362_u_soc_data_wdata_3,
+		FE_OFN369_u_soc_data_wdata_2,
+		FE_OFN377_u_soc_data_wdata_1,
+		FE_OFN383_u_soc_data_wdata_0 }),
 	.dout0(u_soc_u_dccm_rdata4),
-	.addr0({ FE_PDN4543_FE_OFN19585_FE_OFN19208_FE_OFN809_u_soc_u_dccm_addr4_7,
-		FE_PDN3897_FE_OFN19597_FE_OFN19310_FE_OFN19076_n,
-		FE_PDN4212_FE_OFN19521_n,
-		FE_PDN4498_FE_OFN19612_FE_OFN19522_n,
-		FE_PDN3889_FE_OFN19604_FE_OFN19513_n,
-		FE_PDN4597_FE_OFN19610_FE_OFN19514_n,
-		FE_PDN4200_FE_OFN19594_FE_OFN19517_n,
-		FE_PDN19887_n }),
-	.wmask0({ FE_OFN19587_FE_OFN19210_FE_OFN19104_n,
-		FE_PDN4743_FE_OFN19595_FE_OFN19195_FE_OFN19073_n,
-		FE_PDN4542_FE_OFN19599_FE_OFN19212_FE_OFN19103_n,
-		FE_PDN4202_FE_OFN19618_FE_OFN19207_FE_OFN19102_n }),
-	.csb0(FE_OFN1137_u_soc_u_dccm_csb4),
-	.web0(FE_PDN3881_FE_OFN1127_u_soc_data_we),
-	.clk0(CTS_55),
-	.addr1({ FE_PDN4746_FE_OFN19001_FE_OFN17991_n,
-		FE_OFN18915_FE_OFN17990_n,
-		FE_OFN18941_FE_OFN858_logic_0_31_net,
-		FE_OFN18993_FE_OFN17989_n,
-		FE_PDN4733_n,
-		FE_PDN4762_FE_OFN19715_FE_OFN19461_FE_OFN18984_FE_OFN17987_n,
-		FE_OFN19724_FE_OFN19436_FE_OFN18910_FE_OFN17986_n,
-		FE_PDN4761_FE_OFN19714_FE_OFN19449_FE_OFN18975_FE_OFN17985_n }),
-	.csb1(FE_OFN1123_n_17982),
+	.addr0({ FE_PDN3817_FE_OFN18683_FE_OFN1889_n,
+		FE_OFN19194_n,
+		FE_OFN19192_n,
+		FE_OFN19181_n,
+		FE_OFN19142_FE_OFN18982_n,
+		FE_OFN19191_n,
+		FE_OFN19193_n,
+		FE_OFN19183_n }),
+	.wmask0({ FE_PDN3812_FE_OFN18644_FE_OFN18074_n,
+		FE_PDN3820_FE_OFN18720_FE_OFN18070_n,
+		FE_PDN3808_FE_OFN18872_n,
+		FE_OFN18061_n }),
+	.csb0(FE_OFN1665_u_soc_u_dccm_csb4),
+	.web0(FE_OFN1276_u_soc_data_we),
+	.clk0(CTS_101),
+	.addr1({ logic_0_29_net,
+		logic_0_30_net,
+		logic_0_31_net,
+		logic_0_32_net,
+		logic_0_33_net,
+		FE_PDN19210_logic_0_34_net,
+		logic_0_35_net,
+		logic_0_36_net }),
+	.csb1(FE_OFN18738_FE_OFN1274_n_17982),
 	.clk1(logic_0_37_net), 
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram1 (
-	.din0({ FE_OFN415_u_soc_instr_wdata_31,
-		FE_OFN422_u_soc_instr_wdata_30,
-		FE_OFN428_u_soc_instr_wdata_29,
-		FE_OFN435_u_soc_instr_wdata_28,
-		FE_OFN442_u_soc_instr_wdata_27,
-		FE_OFN449_u_soc_instr_wdata_26,
-		FE_OFN18985_FE_OFN455_u_soc_instr_wdata_25,
-		FE_OFN461_u_soc_instr_wdata_24,
-		FE_OFN468_u_soc_instr_wdata_23,
-		FE_OFN474_u_soc_instr_wdata_22,
-		FE_OFN480_u_soc_instr_wdata_21,
-		FE_OFN488_u_soc_instr_wdata_20,
-		FE_OFN495_u_soc_instr_wdata_19,
-		FE_OFN502_u_soc_instr_wdata_18,
-		FE_OFN508_u_soc_instr_wdata_17,
-		FE_OFN515_u_soc_instr_wdata_16,
-		FE_OFN522_u_soc_instr_wdata_15,
-		FE_OFN18792_FE_OFN527_u_soc_instr_wdata_14,
-		FE_OFN534_u_soc_instr_wdata_13,
-		FE_OFN541_u_soc_instr_wdata_12,
-		FE_OFN549_u_soc_instr_wdata_11,
-		FE_OFN555_u_soc_instr_wdata_10,
-		FE_OFN556_u_soc_instr_wdata_9,
-		FE_OFN562_u_soc_instr_wdata_8,
-		FE_OFN18739_FE_OFN568_u_soc_instr_wdata_7,
-		FE_OFN574_u_soc_instr_wdata_6,
-		FE_OFN1276_u_soc_instr_wdata_5,
-		FE_OFN1279_u_soc_instr_wdata_4,
-		FE_OFN583_u_soc_instr_wdata_3,
-		FE_OFN1283_u_soc_instr_wdata_2,
-		FE_OFN1287_u_soc_instr_wdata_1,
-		FE_OFN1291_u_soc_instr_wdata_0 }),
+	.din0({ FE_OFN522_u_soc_instr_wdata_31,
+		FE_OFN528_u_soc_instr_wdata_30,
+		FE_OFN535_u_soc_instr_wdata_29,
+		FE_OFN542_u_soc_instr_wdata_28,
+		FE_OFN549_u_soc_instr_wdata_27,
+		FE_PDN3875_FE_OFN556_u_soc_instr_wdata_26,
+		FE_OFN563_u_soc_instr_wdata_25,
+		FE_OFN570_u_soc_instr_wdata_24,
+		FE_OFN577_u_soc_instr_wdata_23,
+		FE_OFN584_u_soc_instr_wdata_22,
+		FE_OFN591_u_soc_instr_wdata_21,
+		FE_OFN598_u_soc_instr_wdata_20,
+		FE_OFN605_u_soc_instr_wdata_19,
+		FE_OFN612_u_soc_instr_wdata_18,
+		FE_OFN619_u_soc_instr_wdata_17,
+		FE_OFN626_u_soc_instr_wdata_16,
+		FE_OFN633_u_soc_instr_wdata_15,
+		FE_OFN640_u_soc_instr_wdata_14,
+		FE_OFN644_u_soc_instr_wdata_13,
+		FE_OFN651_u_soc_instr_wdata_12,
+		FE_OFN658_u_soc_instr_wdata_11,
+		FE_OFN668_u_soc_instr_wdata_10,
+		FE_OFN672_u_soc_instr_wdata_9,
+		FE_OFN679_u_soc_instr_wdata_8,
+		FE_OFN686_u_soc_instr_wdata_7,
+		FE_OFN693_u_soc_instr_wdata_6,
+		FE_OFN702_u_soc_instr_wdata_5,
+		FE_OFN709_u_soc_instr_wdata_4,
+		FE_OFN716_u_soc_instr_wdata_3,
+		FE_OFN723_u_soc_instr_wdata_2,
+		FE_OFN730_u_soc_instr_wdata_1,
+		FE_OFN737_u_soc_instr_wdata_0 }),
 	.dout0(u_soc_u_iccm_rdata1),
-	.addr0({ FE_OFN19731_n,
-		FE_OFN19778_n,
-		FE_OFN19773_n,
-		FE_OFN19777_n,
-		FE_OFN19776_n,
-		FE_OFN19775_n,
-		FE_OFN19769_n,
-		FE_OFN19772_n }),
-	.wmask0({ FE_OFN19620_FE_OFN19566_FE_OFN19260_n,
-		FE_OFN19667_FE_OFN19439_FE_OFN19258_n,
-		FE_OFN19764_n,
-		FE_OFN19581_FE_OFN19190_FE_OFN19180_n }),
-	.csb0(FE_OFN1138_u_soc_u_iccm_csb1),
+	.addr0({ FE_OFN19078_FE_OFN18941_FE_OFN18849_n,
+		FE_OFN19097_FE_OFN18925_FE_OFN18861_n,
+		FE_OFN19169_n,
+		FE_OFN19184_n,
+		FE_OFN19172_n,
+		FE_OFN19173_n,
+		FE_OFN19185_n,
+		FE_OFN19186_n }),
+	.wmask0({ FE_OFN19167_n,
+		FE_PDN3702_FE_OFN18976_n,
+		FE_PDN4092_FE_OFN19195_n,
+		FE_PDN3823_FE_OFN18838_n }),
+	.csb0(FE_OFN1286_u_soc_u_iccm_csb1),
 	.web0(u_soc_instr_we),
-	.clk0(CTS_18),
+	.clk0(CTS_6),
 	.addr1({ logic_0_38_net,
 		logic_0_39_net,
 		logic_0_40_net,
@@ -57766,183 +54596,183 @@
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram2 (
-	.din0({ FE_OFN413_u_soc_instr_wdata_31,
-		FE_OFN420_u_soc_instr_wdata_30,
-		FE_OFN18937_FE_OFN426_u_soc_instr_wdata_29,
-		FE_OFN18771_FE_OFN433_u_soc_instr_wdata_28,
-		FE_OFN440_u_soc_instr_wdata_27,
-		FE_OFN447_u_soc_instr_wdata_26,
-		FE_OFN454_u_soc_instr_wdata_25,
-		FE_OFN459_u_soc_instr_wdata_24,
-		FE_OFN467_u_soc_instr_wdata_23,
-		FE_OFN19046_FE_OFN470_u_soc_instr_wdata_22,
-		FE_OFN477_u_soc_instr_wdata_21,
-		FE_OFN484_u_soc_instr_wdata_20,
-		FE_OFN493_u_soc_instr_wdata_19,
-		FE_OFN18950_FE_OFN500_u_soc_instr_wdata_18,
-		FE_OFN506_u_soc_instr_wdata_17,
-		FE_PDN3938_FE_OFN512_u_soc_instr_wdata_16,
-		FE_OFN519_u_soc_instr_wdata_15,
-		FE_OFN525_u_soc_instr_wdata_14,
-		FE_PDN3978_FE_OFN530_u_soc_instr_wdata_13,
-		FE_OFN19730_FE_OFN537_u_soc_instr_wdata_12,
-		FE_OFN546_u_soc_instr_wdata_11,
-		FE_OFN551_u_soc_instr_wdata_10,
-		FE_OFN558_u_soc_instr_wdata_9,
-		FE_OFN564_u_soc_instr_wdata_8,
-		FE_OFN570_u_soc_instr_wdata_7,
-		FE_OFN577_u_soc_instr_wdata_6,
-		FE_OFN19254_FE_OFN1276_u_soc_instr_wdata_5,
-		FE_OFN18735_FE_OFN1279_u_soc_instr_wdata_4,
-		FE_OFN581_u_soc_instr_wdata_3,
-		FE_OFN1738_n,
-		FE_OFN1733_n,
-		FE_PDN4009_FE_OFN1289_u_soc_instr_wdata_0 }),
+	.din0({ FE_OFN521_u_soc_instr_wdata_31,
+		FE_OFN527_u_soc_instr_wdata_30,
+		FE_OFN531_u_soc_instr_wdata_29,
+		FE_OFN541_u_soc_instr_wdata_28,
+		FE_OFN548_u_soc_instr_wdata_27,
+		FE_OFN555_u_soc_instr_wdata_26,
+		FE_OFN562_u_soc_instr_wdata_25,
+		FE_OFN569_u_soc_instr_wdata_24,
+		FE_OFN576_u_soc_instr_wdata_23,
+		FE_OFN583_u_soc_instr_wdata_22,
+		FE_OFN588_u_soc_instr_wdata_21,
+		FE_OFN595_u_soc_instr_wdata_20,
+		FE_OFN603_u_soc_instr_wdata_19,
+		FE_OFN610_u_soc_instr_wdata_18,
+		FE_OFN617_u_soc_instr_wdata_17,
+		FE_OFN625_u_soc_instr_wdata_16,
+		FE_OFN630_u_soc_instr_wdata_15,
+		FE_OFN635_u_soc_instr_wdata_14,
+		FE_OFN641_u_soc_instr_wdata_13,
+		FE_OFN649_u_soc_instr_wdata_12,
+		FE_OFN656_u_soc_instr_wdata_11,
+		FE_OFN663_u_soc_instr_wdata_10,
+		FE_OFN670_u_soc_instr_wdata_9,
+		FE_OFN677_u_soc_instr_wdata_8,
+		FE_OFN684_u_soc_instr_wdata_7,
+		FE_OFN691_u_soc_instr_wdata_6,
+		FE_OFN697_u_soc_instr_wdata_5,
+		FE_OFN704_u_soc_instr_wdata_4,
+		FE_OFN711_u_soc_instr_wdata_3,
+		FE_OFN722_u_soc_instr_wdata_2,
+		FE_OFN729_u_soc_instr_wdata_1,
+		FE_OFN732_u_soc_instr_wdata_0 }),
 	.dout0(u_soc_u_iccm_rdata2),
-	.addr0({ FE_PDN4742_FE_OFN19629_FE_OFN19367_FE_OFN3443_FE_OFN1709_n,
-		FE_OFN19698_FE_OFN19528_n,
-		FE_OFN19754_n,
-		FE_OFN19751_n,
-		FE_OFN19755_n,
-		FE_OFN19752_n,
-		FE_OFN19686_FE_OFN19532_n,
-		FE_OFN19750_n }),
-	.wmask0({ FE_OFN19582_FE_OFN19357_FE_OFN19215_FE_OFN19173_n,
-		FE_PDN3961_FE_OFN19762_n,
-		FE_PDN3971_FE_OFN19763_n,
-		FE_OFN19761_n }),
-	.csb0(FE_OFN1139_u_soc_u_iccm_csb2),
-	.web0(FE_OFN19009_u_soc_instr_we),
-	.clk0(CTS_18),
-	.addr1({ logic_0_47_net,
-		FE_PDN4718_n,
-		FE_PDN4715_n,
-		FE_PDN19794_n,
+	.addr0({ FE_OFN19117_FE_OFN18948_FE_OFN18840_n,
+		FE_OFN18842_n,
+		FE_OFN18845_n,
+		FE_OFN18846_n,
+		FE_OFN18847_n,
+		FE_OFN18848_n,
+		FE_OFN18843_n,
+		FE_OFN18841_n }),
+	.wmask0({ FE_OFN19190_n,
+		FE_PDN4091_FE_OFN19196_n,
+		FE_PDN3853_FE_OFN19198_n,
+		FE_OFN19188_n }),
+	.csb0(u_soc_u_iccm_csb2),
+	.web0(u_soc_instr_we),
+	.clk0(CTS_6),
+	.addr1({ FE_OFN19149_FE_OFN19006_FE_OFN18882_n,
+		logic_0_48_net,
+		logic_0_49_net,
+		logic_0_50_net,
 		logic_0_51_net,
-		FE_PDN19797_n,
-		logic_0_53_net,
-		FE_PDN3892_FE_OFN18779_FE_OFN868_logic_0_54_net }),
-	.csb1(FE_PDN3884_n_17984),
+		FE_PDN19199_logic_0_52_net,
+		FE_PDN19201_logic_0_53_net,
+		logic_0_54_net }),
+	.csb1(n_17984),
 	.clk1(logic_0_55_net), 
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram3 (
-	.din0({ FE_OFN411_u_soc_instr_wdata_31,
-		FE_OFN419_u_soc_instr_wdata_30,
-		FE_OFN19040_FE_OFN423_u_soc_instr_wdata_29,
-		FE_OFN431_u_soc_instr_wdata_28,
-		FE_PDN4030_FE_OFN437_u_soc_instr_wdata_27,
-		FE_OFN443_u_soc_instr_wdata_26,
-		FE_OFN452_u_soc_instr_wdata_25,
-		FE_OFN457_u_soc_instr_wdata_24,
-		FE_OFN462_u_soc_instr_wdata_23,
-		FE_OFN18996_FE_OFN469_u_soc_instr_wdata_22,
-		FE_OFN476_u_soc_instr_wdata_21,
-		FE_OFN483_u_soc_instr_wdata_20,
-		FE_OFN490_u_soc_instr_wdata_19,
-		FE_OFN19500_FE_OFN496_u_soc_instr_wdata_18,
-		FE_OFN504_u_soc_instr_wdata_17,
-		FE_OFN510_u_soc_instr_wdata_16,
-		FE_OFN520_u_soc_instr_wdata_15,
-		FE_OFN526_u_soc_instr_wdata_14,
-		FE_OFN533_u_soc_instr_wdata_13,
-		FE_OFN540_u_soc_instr_wdata_12,
-		FE_OFN548_u_soc_instr_wdata_11,
-		FE_OFN19407_FE_OFN19049_FE_OFN551_u_soc_instr_wdata_10,
-		FE_OFN560_u_soc_instr_wdata_9,
-		FE_OFN566_u_soc_instr_wdata_8,
-		FE_OFN572_u_soc_instr_wdata_7,
-		FE_OFN578_u_soc_instr_wdata_6,
-		FE_OFN18732_FE_OFN1276_u_soc_instr_wdata_5,
-		FE_OFN18737_FE_OFN1279_u_soc_instr_wdata_4,
-		FE_OFN18955_FE_OFN582_u_soc_instr_wdata_3,
-		FE_OFN1281_u_soc_instr_wdata_2,
-		FE_PDN3925_FE_OFN1285_u_soc_instr_wdata_1,
-		FE_OFN18719_FE_OFN1289_u_soc_instr_wdata_0 }),
+	.din0({ FE_OFN517_u_soc_instr_wdata_31,
+		FE_OFN523_u_soc_instr_wdata_30,
+		FE_PDN3738_FE_OFN530_u_soc_instr_wdata_29,
+		FE_OFN536_u_soc_instr_wdata_28,
+		FE_OFN545_u_soc_instr_wdata_27,
+		FE_OFN552_u_soc_instr_wdata_26,
+		FE_OFN558_u_soc_instr_wdata_25,
+		FE_OFN564_u_soc_instr_wdata_24,
+		FE_OFN571_u_soc_instr_wdata_23,
+		FE_OFN578_u_soc_instr_wdata_22,
+		FE_OFN587_u_soc_instr_wdata_21,
+		FE_OFN592_u_soc_instr_wdata_20,
+		FE_OFN600_u_soc_instr_wdata_19,
+		FE_OFN606_u_soc_instr_wdata_18,
+		FE_OFN613_u_soc_instr_wdata_17,
+		FE_OFN620_u_soc_instr_wdata_16,
+		FE_OFN627_u_soc_instr_wdata_15,
+		FE_OFN636_u_soc_instr_wdata_14,
+		FE_OFN645_u_soc_instr_wdata_13,
+		FE_OFN652_u_soc_instr_wdata_12,
+		FE_OFN659_u_soc_instr_wdata_11,
+		FE_OFN664_u_soc_instr_wdata_10,
+		FE_OFN673_u_soc_instr_wdata_9,
+		FE_OFN681_u_soc_instr_wdata_8,
+		FE_OFN687_u_soc_instr_wdata_7,
+		FE_OFN694_u_soc_instr_wdata_6,
+		FE_OFN701_u_soc_instr_wdata_5,
+		FE_OFN707_u_soc_instr_wdata_4,
+		FE_OFN714_u_soc_instr_wdata_3,
+		FE_OFN719_u_soc_instr_wdata_2,
+		FE_OFN726_u_soc_instr_wdata_1,
+		FE_OFN733_u_soc_instr_wdata_0 }),
 	.dout0(u_soc_u_iccm_rdata3),
-	.addr0({ FE_PDN4745_FE_OFN19642_FE_OFN19349_FE_OFN18752_FE_OFN1369_u_soc_u_iccm_addr3_7,
-		FE_OFN19707_FE_OFN19244_FE_OFN19155_n,
-		FE_OFN19758_n,
-		FE_OFN19757_n,
-		FE_OFN19759_n,
-		FE_OFN19756_n,
-		FE_OFN19711_FE_OFN19245_FE_OFN19152_n,
-		FE_OFN19753_n }),
-	.wmask0({ FE_PDN4234_FE_OFN19760_n,
-		FE_PDN4055_FE_OFN19583_FE_OFN19398_FE_OFN19193_FE_OFN19182_n,
-		FE_PDN3947_FE_OFN19579_FE_OFN19283_FE_OFN19181_n,
-		FE_PDN3947_FE_OFN19579_FE_OFN19283_FE_OFN19181_n }),
-	.csb0(FE_OFN19657_u_soc_u_iccm_csb3),
-	.web0(FE_OFN1132_u_soc_instr_we),
-	.clk0(CTS_22),
-	.addr1({ FE_PDN19846_n,
-		FE_PDN4719_n,
-		FE_PDN19888_n,
-		logic_0_59_net,
+	.addr0({ FE_OFN19114_FE_OFN18949_FE_OFN18702_FE_OFN18014_n,
+		FE_OFN18771_FE_OFN18013_n,
+		FE_OFN18012_n,
+		FE_OFN18773_FE_OFN18011_n,
+		FE_PDN3828_FE_OFN18778_FE_OFN18010_n,
+		FE_OFN18998_FE_OFN18759_FE_OFN18009_n,
+		FE_OFN18782_FE_OFN18008_n,
+		FE_OFN18987_FE_OFN18783_FE_OFN18007_n }),
+	.wmask0({ FE_OFN19116_FE_OFN18972_n,
+		FE_OFN19179_n,
+		FE_OFN19115_FE_OFN18965_n,
+		FE_OFN19144_FE_OFN18999_FE_OFN18876_n }),
+	.csb0(u_soc_u_iccm_csb3),
+	.web0(FE_OFN1282_u_soc_instr_we),
+	.clk0(CTS_80),
+	.addr1({ FE_OFN18765_FE_OFN17985_n,
+		FE_OFN19147_FE_OFN19004_FE_OFN18871_n,
+		FE_OFN18721_FE_OFN17983_n,
+		FE_OFN18880_n,
 		logic_0_60_net,
-		FE_PDN19841_n,
+		logic_0_61_net,
 		logic_0_62_net,
-		FE_PDN4201_logic_0_63_net }),
-	.csb1(FE_PDN3882_n_17985),
+		logic_0_63_net }),
+	.csb1(n_17985),
 	.clk1(logic_0_64_net), 
 	.vssd1(vssd1), 
 	.vccd1(vccd1));
    sky130_sram_1kbyte_1rw1r_32x256_8 u_soc_u_iccm_sram4 (
-	.din0({ FE_OFN18025_n,
-		FE_OFN418_u_soc_instr_wdata_30,
-		FE_OFN425_u_soc_instr_wdata_29,
-		FE_OFN430_u_soc_instr_wdata_28,
-		FE_OFN438_u_soc_instr_wdata_27,
-		FE_OFN446_u_soc_instr_wdata_26,
-		FE_OFN18807_FE_OFN453_u_soc_instr_wdata_25,
-		FE_OFN456_u_soc_instr_wdata_24,
-		FE_OFN19030_FE_OFN465_u_soc_instr_wdata_23,
-		FE_OFN473_u_soc_instr_wdata_22,
-		FE_OFN481_u_soc_instr_wdata_21,
-		FE_OFN487_u_soc_instr_wdata_20,
-		FE_OFN491_u_soc_instr_wdata_19,
-		FE_OFN499_u_soc_instr_wdata_18,
-		FE_OFN503_u_soc_instr_wdata_17,
-		FE_OFN513_u_soc_instr_wdata_16,
-		FE_OFN521_u_soc_instr_wdata_15,
-		FE_OFN529_u_soc_instr_wdata_14,
-		FE_OFN536_u_soc_instr_wdata_13,
-		FE_OFN543_u_soc_instr_wdata_12,
-		FE_PDN3998_FE_OFN547_u_soc_instr_wdata_11,
-		FE_OFN554_u_soc_instr_wdata_10,
-		FE_PDN4037_FE_OFN561_u_soc_instr_wdata_9,
-		FE_OFN18192_n,
-		FE_OFN18019_n,
-		FE_PDN4067_FE_OFN579_u_soc_instr_wdata_6,
-		FE_PDN3945_FE_OFN18734_FE_OFN1276_u_soc_instr_wdata_5,
-		FE_PDN3885_FE_OFN18738_FE_OFN1279_u_soc_instr_wdata_4,
-		FE_OFN585_u_soc_instr_wdata_3,
-		FE_OFN1736_n,
-		FE_OFN18799_FE_OFN1731_n,
-		FE_OFN18720_FE_OFN1289_u_soc_instr_wdata_0 }),
+	.din0({ FE_OFN519_u_soc_instr_wdata_31,
+		FE_OFN526_u_soc_instr_wdata_30,
+		FE_OFN534_u_soc_instr_wdata_29,
+		FE_OFN539_u_soc_instr_wdata_28,
+		FE_OFN546_u_soc_instr_wdata_27,
+		FE_OFN553_u_soc_instr_wdata_26,
+		FE_OFN560_u_soc_instr_wdata_25,
+		FE_OFN567_u_soc_instr_wdata_24,
+		FE_OFN574_u_soc_instr_wdata_23,
+		FE_OFN581_u_soc_instr_wdata_22,
+		FE_OFN589_u_soc_instr_wdata_21,
+		FE_OFN596_u_soc_instr_wdata_20,
+		FE_OFN602_u_soc_instr_wdata_19,
+		FE_OFN609_u_soc_instr_wdata_18,
+		FE_OFN616_u_soc_instr_wdata_17,
+		FE_OFN623_u_soc_instr_wdata_16,
+		FE_OFN631_u_soc_instr_wdata_15,
+		FE_OFN639_u_soc_instr_wdata_14,
+		FE_OFN647_u_soc_instr_wdata_13,
+		FE_OFN654_u_soc_instr_wdata_12,
+		FE_OFN661_u_soc_instr_wdata_11,
+		FE_OFN667_u_soc_instr_wdata_10,
+		FE_OFN675_u_soc_instr_wdata_9,
+		FE_OFN682_u_soc_instr_wdata_8,
+		FE_OFN689_u_soc_instr_wdata_7,
+		FE_OFN696_u_soc_instr_wdata_6,
+		FE_OFN703_u_soc_instr_wdata_5,
+		FE_OFN710_u_soc_instr_wdata_4,
+		FE_OFN717_u_soc_instr_wdata_3,
+		FE_OFN721_u_soc_instr_wdata_2,
+		FE_OFN727_u_soc_instr_wdata_1,
+		FE_OFN736_u_soc_instr_wdata_0 }),
 	.dout0(u_soc_u_iccm_rdata4),
-	.addr0({ FE_PDN4199_FE_OFN19588_FE_OFN19206_FE_OFN18750_FE_OFN1716_n,
-		FE_OFN19774_n,
-		FE_OFN19766_n,
-		FE_OFN19767_n,
-		FE_OFN19771_n,
-		FE_OFN19157_n,
-		FE_OFN19768_n,
-		FE_OFN19770_n }),
-	.wmask0({ FE_PDN4713_FE_OFN19589_FE_OFN19192_FE_OFN19179_n,
-		FE_PDN4713_FE_OFN19589_FE_OFN19192_FE_OFN19179_n,
-		FE_OFN19578_FE_OFN19288_FE_OFN19191_FE_OFN19096_n,
-		FE_OFN19577_FE_OFN19288_FE_OFN19191_FE_OFN19096_n }),
-	.csb0(FE_OFN1141_u_soc_u_iccm_csb4),
-	.web0(FE_OFN1133_u_soc_instr_we),
-	.clk0(CTS_17),
+	.addr0({ FE_PDN4043_FE_OFN18873_n,
+		FE_OFN19175_n,
+		FE_OFN19083_FE_OFN18915_FE_OFN18671_FE_OFN18042_n,
+		FE_OFN19091_FE_OFN18919_FE_OFN18673_FE_OFN18040_n,
+		FE_OFN19089_FE_OFN18917_FE_OFN18657_FE_OFN18038_n,
+		FE_OFN19085_FE_OFN18911_FE_OFN18661_FE_OFN18036_n,
+		FE_OFN19178_n,
+		FE_OFN19177_n }),
+	.wmask0({ FE_PDN4088_FE_OFN19092_FE_OFN18920_FE_OFN18885_n,
+		FE_PDN4104_FE_OFN19092_FE_OFN18920_FE_OFN18885_n,
+		FE_PDN4039_FE_OFN18888_n,
+		FE_PDN3787_FE_OFN18888_n }),
+	.csb0(FE_OFN1289_u_soc_u_iccm_csb4),
+	.web0(FE_OFN1283_u_soc_instr_we),
+	.clk0(CTS_67),
 	.addr1({ logic_0_65_net,
-		FE_PDN19810_n,
+		logic_0_66_net,
 		logic_0_67_net,
 		logic_0_68_net,
 		logic_0_69_net,
 		logic_0_70_net,
-		FE_PDN19818_n,
+		logic_0_71_net,
 		logic_0_72_net }),
 	.csb1(n_17986),
 	.clk1(logic_0_73_net), 
@@ -57970,15 +54800,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g277250__6131 (
-	.A1(n_13286),
-	.A2(n_13487),
-	.B1(n_13287),
-	.Y(n_13288), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_2 g277251__1881 (
 	.A(n_15890),
 	.B(n_13286),
@@ -58003,7 +54824,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_4 g277257__9315 (
-	.A(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.A(FE_OFN894_u_soc_lsu_to_xbar_a_address__14),
 	.B(n_15939),
 	.C(\u_soc_lsu_to_xbar[a_address] [15]),
 	.D(\u_soc_lsu_to_xbar[a_address] [17]),
@@ -58012,6 +54833,16 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__nor4_2 g277262__9945 (
+	.A(\u_soc_xbar_to_dccm[a_address] [8]),
+	.B(n_13378),
+	.C(\u_soc_xbar_to_dccm[a_address] [9]),
+	.D(\u_soc_xbar_to_dccm[a_address] [11]),
+	.Y(n_13277), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g277263__2883 (
 	.A(n_13331),
 	.B(n_13885),
@@ -58048,13 +54879,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_1 g277268 (
-	.A(n_13273),
-	.Y(n_13274), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g277269__6417 (
 	.A(n_16012),
 	.B(\u_soc_lsu_to_xbar[a_address] [21]),
@@ -58066,7 +54890,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_2 g277270__5477 (
 	.A1(n_13611),
-	.A2(\u_soc_lsu_to_xbar[a_address] [31]),
+	.A2(FE_PSN3994_u_soc_lsu_to_xbar_a_address_31),
 	.B1(n_13374),
 	.Y(n_13273), 
 	.VPWR(vccd1), 
@@ -58081,24 +54905,24 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g277273__2398 (
-	.A(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
-	.B(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.A(\u_soc_xbar_to_dccm[a_address] [4]),
+	.B(\u_soc_xbar_to_dccm[a_address] [5]),
 	.Y(n_13269), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_4 g277274__5107 (
-	.A(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
-	.B(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.A(\u_soc_xbar_to_dccm[a_address] [6]),
+	.B(\u_soc_xbar_to_dccm[a_address] [7]),
 	.Y(n_13270), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323786__6260 (
-	.A1(FE_COEN4316_FE_OFN18547_n_11593),
-	.A2(u_soc_u_top_u_core_alu_operand_b_ex[5]),
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[5]),
+	.A2(FE_OFN18279_n_11593),
 	.B1(n_13125),
 	.C1(n_13224),
 	.D1(n_13178),
@@ -58109,7 +54933,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323788__4319 (
 	.A1(u_soc_u_top_u_core_alu_operand_b_ex[27]),
-	.A2(FE_OFN18366_n_11593),
+	.A2(FE_OFN18382_n_11593),
 	.B1(n_13211),
 	.C1(n_11567),
 	.D1(n_13186),
@@ -58118,9 +54942,20 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_2 g323790__5526 (
+   sky130_fd_sc_hd__o2111ai_1 g323789__8428 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[13]),
+	.A2(FE_OFN18382_n_11593),
+	.B1(n_13199),
+	.C1(n_11466),
+	.D1(n_13184),
+	.Y(n_13820), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__o2111ai_1 g323790__5526 (
 	.A1(u_soc_u_top_u_core_alu_operand_b_ex[10]),
-	.A2(FE_OFN18366_n_11593),
+	.A2(FE_OFN18382_n_11593),
 	.B1(n_13213),
 	.C1(n_11581),
 	.D1(n_13183),
@@ -58129,9 +54964,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_4 g323791__6783 (
+   sky130_fd_sc_hd__o2111ai_1 g323791__6783 (
 	.A1(u_soc_u_top_u_core_alu_operand_b_ex[7]),
-	.A2(FE_COEN4316_FE_OFN18547_n_11593),
+	.A2(FE_OFN18382_n_11593),
 	.B1(n_13190),
 	.C1(n_13225),
 	.D1(n_13180),
@@ -58141,7 +54976,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323792__3680 (
-	.A1(n_11151),
+	.A1(FE_OFN18544_n_11152),
 	.A2(n_13446),
 	.B1(n_13155),
 	.C1(n_13266),
@@ -58153,7 +54988,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323793__1617 (
 	.A1(u_soc_u_top_u_core_alu_operand_b_ex[22]),
-	.A2(FE_OFN18366_n_11593),
+	.A2(FE_OFN18382_n_11593),
 	.B1(n_13214),
 	.C1(n_11572),
 	.D1(n_13169),
@@ -58164,7 +54999,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323795__2802 (
 	.A1(u_soc_u_top_u_core_alu_operand_b_ex[21]),
-	.A2(FE_OFN18366_n_11593),
+	.A2(FE_OFN18382_n_11593),
 	.B1(n_13212),
 	.C1(n_11568),
 	.D1(n_13188),
@@ -58175,7 +55010,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323796__1705 (
 	.A1(u_soc_u_top_u_core_alu_operand_b_ex[18]),
-	.A2(FE_OFN18366_n_11593),
+	.A2(FE_OFN18382_n_11593),
 	.B1(n_13205),
 	.C1(n_11573),
 	.D1(n_13172),
@@ -58186,7 +55021,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323797__5122 (
 	.A1(u_soc_u_top_u_core_alu_operand_b_ex[24]),
-	.A2(FE_OFN18366_n_11593),
+	.A2(FE_OFN18382_n_11593),
 	.B1(n_13201),
 	.C1(n_11566),
 	.D1(n_13171),
@@ -58197,7 +55032,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323798__8246 (
 	.A1(u_soc_u_top_u_core_alu_operand_b_ex[23]),
-	.A2(FE_OFN18366_n_11593),
+	.A2(FE_OFN18382_n_11593),
 	.B1(n_13197),
 	.C1(n_11469),
 	.D1(n_13170),
@@ -58207,8 +55042,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323799__7098 (
-	.A1(n_11151),
-	.A2(FE_COEN4285_n_13437),
+	.A1(FE_OFN18544_n_11152),
+	.A2(n_13437),
 	.B1(n_13162),
 	.C1(n_13254),
 	.D1(n_13243),
@@ -58219,7 +55054,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323800__6131 (
 	.A1(u_soc_u_top_u_core_alu_operand_b_ex[28]),
-	.A2(FE_OFN18366_n_11593),
+	.A2(FE_OFN18382_n_11593),
 	.B1(n_13149),
 	.C1(n_11571),
 	.D1(n_13185),
@@ -58229,8 +55064,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323801__1881 (
-	.A1(n_11151),
-	.A2(FE_COEN4573_n_13436),
+	.A1(FE_OFN18544_n_11152),
+	.A2(n_13436),
 	.B1(n_13116),
 	.C1(n_13231),
 	.D1(n_13209),
@@ -58240,10 +55075,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g323802__5115 (
-	.A1(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
+	.A1(FE_OFN18156_u_soc_u_top_u_core_alu_operator_ex_0),
 	.A2(n_13526),
-	.B1(FE_PSN4382_n_11039),
-	.B2(FE_PSN4149_FE_OFN1398_n_141),
+	.B1(n_11039),
+	.B2(FE_OFN1439_n_141),
 	.C1(n_13611),
 	.Y(n_13374), 
 	.VPWR(vccd1), 
@@ -58251,7 +55086,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323803__7482 (
-	.A1(n_11151),
+	.A1(FE_OFN18544_n_11152),
 	.A2(n_13447),
 	.B1(n_13128),
 	.C1(n_13233),
@@ -58262,8 +55097,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323804__4733 (
-	.A1(n_11151),
-	.A2(FE_COEN4310_n_13431),
+	.A1(FE_OFN18544_n_11152),
+	.A2(n_13431),
 	.B1(n_13122),
 	.C1(n_13228),
 	.D1(n_13200),
@@ -58272,11 +55107,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_4 g323805__6161 (
-	.A1(FE_OFN1399_n_142),
-	.A2(FE_PSN4367_n_11746),
-	.B1(u_soc_u_top_u_core_alu_operand_b_ex[3]),
-	.B2(FE_PSN4146_n_11593),
+   sky130_fd_sc_hd__o221ai_2 g323805__6161 (
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[3]),
+	.A2(n_11746),
+	.B1(FE_OFN18112_n_142),
+	.B2(FE_OFN18279_n_11593),
 	.C1(n_13226),
 	.Y(n_13810), 
 	.VPWR(vccd1), 
@@ -58284,8 +55119,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_2 g323806__9315 (
-	.A1(FE_COEN4309_FE_OFN18547_n_11593),
-	.A2(u_soc_u_top_u_core_alu_operand_b_ex[2]),
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[2]),
+	.A2(FE_OFN18279_n_11593),
 	.B1(n_11442),
 	.C1(n_13167),
 	.D1(n_13194),
@@ -58305,9 +55140,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_4 g323809__2346 (
+   sky130_fd_sc_hd__o2111ai_2 g323809__2346 (
 	.A1(u_soc_u_top_u_core_alu_operand_b_ex[9]),
-	.A2(FE_OFN18366_n_11593),
+	.A2(FE_OFN18382_n_11593),
 	.B1(n_13142),
 	.C1(n_11570),
 	.D1(n_13182),
@@ -58326,9 +55161,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_2 g323811__7410 (
+   sky130_fd_sc_hd__o2111ai_1 g323811__7410 (
 	.A1(u_soc_u_top_u_core_alu_operand_b_ex[6]),
-	.A2(FE_COEN4316_FE_OFN18547_n_11593),
+	.A2(FE_OFN18279_n_11593),
 	.B1(n_13145),
 	.C1(n_11569),
 	.D1(n_13179),
@@ -58338,8 +55173,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323812__6417 (
-	.A1(n_11151),
-	.A2(FE_COEN4287_n_13433),
+	.A1(FE_OFN18544_n_11152),
+	.A2(n_13433),
 	.B1(n_13111),
 	.C1(n_13230),
 	.D1(n_13204),
@@ -58349,8 +55184,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323813__5477 (
-	.A1(n_11151),
-	.A2(FE_COEN4330_n_13432),
+	.A1(FE_OFN18544_n_11152),
+	.A2(n_13432),
 	.B1(n_13121),
 	.C1(n_13229),
 	.D1(n_13202),
@@ -58359,9 +55194,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_2 g323814__2398 (
-	.A1(n_11151),
-	.A2(FE_PSN4363_n_13429),
+   sky130_fd_sc_hd__o2111ai_1 g323814__2398 (
+	.A1(FE_OFN18544_n_11152),
+	.A2(n_13429),
 	.B1(n_13123),
 	.C1(n_13227),
 	.D1(n_13198),
@@ -58372,7 +55207,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323815__5107 (
 	.A1(u_soc_u_top_u_core_alu_operand_b_ex[17]),
-	.A2(FE_OFN18366_n_11593),
+	.A2(FE_OFN18382_n_11593),
 	.B1(n_13148),
 	.C1(n_11468),
 	.D1(n_13234),
@@ -58383,7 +55218,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g323817__4319 (
 	.A1(u_soc_u_top_u_core_alu_operand_b_ex[11]),
-	.A2(FE_OFN18366_n_11593),
+	.A2(FE_OFN18382_n_11593),
 	.B1(n_11352),
 	.C1(n_13150),
 	.D1(n_13196),
@@ -58392,17 +55227,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_2 g323818__8428 (
-	.A1(FE_OFN1400_n_577),
-	.A2(FE_COEN4316_FE_OFN18547_n_11593),
-	.B1(n_13126),
-	.C1(n_13161),
-	.D1(n_13193),
-	.Y(n_13811), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g323819 (
 	.A(n_13268),
 	.Y(n_13859), 
@@ -58431,13 +55255,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g323823 (
-	.A(n_13261),
-	.Y(n_13866), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g323824 (
 	.A(n_13260),
 	.Y(n_13865), 
@@ -58482,11 +55299,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323830__5526 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
-	.A2(n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [20]),
 	.C1(n_13514),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13268), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58518,7 +55335,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g323835__1705 (
 	.A1(n_13452),
-	.A2(FE_PSN4149_FE_OFN1398_n_141),
+	.A2(FE_OFN1439_n_141),
 	.B1(n_11323),
 	.Y(n_13871), 
 	.VPWR(vccd1), 
@@ -58527,11 +55344,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323836__5122 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [29]),
-	.A2(n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18309_n_11258),
+	.B1(FE_OFN19047_n),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
 	.C1(n_13524),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN1649_n_11054),
 	.Y(n_13263), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58539,11 +55356,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323837__8246 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
-	.A2(FE_OFN18379_n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [28]),
 	.C1(n_13522),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13262), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58551,11 +55368,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323838__7098 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [26]),
-	.A2(FE_OFN18379_n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
 	.C1(n_13521),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13261), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58563,11 +55380,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323839__6131 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
-	.A2(FE_OFN18379_n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [26]),
 	.C1(n_13520),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13260), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58575,11 +55392,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323840__1881 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [24]),
-	.A2(FE_OFN18379_n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
 	.C1(n_13519),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13259), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58587,11 +55404,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323841__5115 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
-	.A2(FE_OFN18379_n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [24]),
 	.C1(n_13518),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13258), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58599,11 +55416,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323842__7482 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
-	.A2(FE_OFN18379_n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
 	.C1(n_13517),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13257), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58611,11 +55428,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323843__4733 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [21]),
-	.A2(FE_OFN18379_n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
 	.C1(n_13516),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13256), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58623,11 +55440,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323844__6161 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [20]),
-	.A2(n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [21]),
 	.C1(n_13515),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13255), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58641,6 +55458,20 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323848 (
+	.A(n_13251),
+	.Y(n_13854), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323849 (
+	.A(n_13250),
+	.Y(n_13853), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g323850 (
 	.A(n_13249),
 	.Y(n_13852), 
@@ -58648,6 +55479,13 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323851 (
+	.A(n_13248),
+	.Y(n_13851), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g323852 (
 	.A(n_13247),
 	.Y(n_13850), 
@@ -58655,27 +55493,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g323853 (
-	.A(n_13246),
-	.Y(n_13856), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g323854 (
-	.A(n_13245),
-	.Y(n_13847), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g323855 (
-	.A(n_13244),
-	.Y(n_13845), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g323856 (
 	.A(n_13239),
 	.Y(n_13858), 
@@ -58685,11 +55502,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323858 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [15]),
-	.A2(n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [16]),
 	.C1(n_13510),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13252), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58697,11 +55514,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323859 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [14]),
-	.A2(n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [15]),
 	.C1(n_13509),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13251), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58709,11 +55526,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323860 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [13]),
-	.A2(n_11258),
-	.B1(FE_OFN18378_n_11176),
+	.A2(FE_OFN18309_n_11258),
+	.B1(FE_OFN19047_n),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [14]),
 	.C1(n_13508),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN1649_n_11054),
 	.Y(n_13250), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58721,11 +55538,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323861 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [12]),
-	.A2(n_11258),
-	.B1(FE_OFN18378_n_11176),
+	.A2(FE_OFN18309_n_11258),
+	.B1(FE_OFN19047_n),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [13]),
 	.C1(n_13507),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN1649_n_11054),
 	.Y(n_13249), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58733,11 +55550,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323862 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [11]),
-	.A2(n_11258),
-	.B1(FE_OFN18378_n_11176),
+	.A2(FE_OFN18309_n_11258),
+	.B1(FE_OFN19047_n),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [12]),
 	.C1(n_13506),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN1649_n_11054),
 	.Y(n_13248), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58745,11 +55562,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323863 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [10]),
-	.A2(n_11258),
-	.B1(FE_OFN18378_n_11176),
+	.A2(FE_OFN18309_n_11258),
+	.B1(FE_OFN19047_n),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [11]),
 	.C1(n_13505),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN1649_n_11054),
 	.Y(n_13247), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58757,11 +55574,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323864 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [16]),
-	.A2(n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
 	.C1(n_13511),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13246), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58769,32 +55586,20 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323865 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [7]),
-	.A2(n_11258),
-	.B1(FE_OFN18378_n_11176),
+	.A2(FE_OFN18309_n_11258),
+	.B1(FE_OFN19047_n),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
 	.C1(n_13502),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN1649_n_11054),
 	.Y(n_13245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g323866 (
-	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
-	.A2(n_11258),
-	.B1(FE_OFN18378_n_11176),
-	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [6]),
-	.C1(n_13500),
-	.C2(FE_OFN18577_n_11054),
-	.Y(n_13244), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g323867 (
-	.A1(FE_OFN19553_n),
-	.A2(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
-	.B1(FE_OFN18524_n_11393),
+	.A1(FE_OFN18154_n_11746),
+	.A2(FE_OFN1387_u_soc_u_top_u_core_alu_operand_b_ex_20),
+	.B1(FE_OFN18460_n_11393),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
 	.Y(n_13243), 
 	.VPWR(vccd1), 
@@ -58802,9 +55607,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g323868 (
-	.A1(FE_OFN19553_n),
+	.A1(FE_OFN18154_n_11746),
 	.A2(u_soc_u_top_u_core_alu_operand_b_ex[26]),
-	.B1(FE_OFN18524_n_11393),
+	.B1(FE_OFN18460_n_11393),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
 	.Y(n_13242), 
 	.VPWR(vccd1), 
@@ -58812,9 +55617,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g323869 (
-	.A1(FE_OFN19553_n),
+	.A1(FE_OFN18154_n_11746),
 	.A2(u_soc_u_top_u_core_alu_operand_b_ex[25]),
-	.B1(FE_OFN18524_n_11393),
+	.B1(FE_OFN18460_n_11393),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
 	.Y(n_13241), 
 	.VPWR(vccd1), 
@@ -58822,9 +55627,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g323870 (
-	.A1(FE_OFN19553_n),
+	.A1(FE_OFN18154_n_11746),
 	.A2(u_soc_u_top_u_core_alu_operand_b_ex[29]),
-	.B1(FE_OFN18524_n_11393),
+	.B1(FE_OFN18460_n_11393),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
 	.Y(n_13240), 
 	.VPWR(vccd1), 
@@ -58833,11 +55638,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323871 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [18]),
-	.A2(n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
-	.C1(FE_PSN4151_n_13513),
-	.C2(FE_OFN18577_n_11054),
+	.C1(n_13513),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13239), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58851,13 +55656,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g323873 (
-	.A(n_13238),
-	.Y(n_13857), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g323874 (
 	.A(n_13223),
 	.Y(n_13844), 
@@ -58865,7 +55663,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g323876 (
+   sky130_fd_sc_hd__inv_1 g323876 (
 	.A(n_13221),
 	.Y(n_13841), 
 	.VPWR(vccd1), 
@@ -58888,11 +55686,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323879 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
-	.A2(n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [18]),
 	.C1(n_13512),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13238), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58900,7 +55698,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g323880 (
 	.A(u_soc_u_top_u_core_alu_operand_b_ex[25]),
-	.B(FE_OFN18366_n_11593),
+	.B(FE_OFN18382_n_11593),
 	.Y(n_13237), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58908,7 +55706,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g323881 (
 	.A(u_soc_u_top_u_core_alu_operand_b_ex[26]),
-	.B(FE_OFN18366_n_11593),
+	.B(FE_OFN18382_n_11593),
 	.Y(n_13236), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -58916,14 +55714,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g323882 (
 	.A(u_soc_u_top_u_core_alu_operand_b_ex[29]),
-	.B(FE_OFN18366_n_11593),
+	.B(FE_OFN18382_n_11593),
 	.Y(n_13235), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g323883 (
-	.A(FE_OFN19553_n),
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[17]),
 	.Y(n_13234), 
 	.VPWR(vccd1), 
@@ -58986,7 +55784,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g323891 (
+   sky130_fd_sc_hd__nor2_1 g323891 (
 	.A(n_13151),
 	.B(n_11465),
 	.Y(n_13226), 
@@ -58994,8 +55792,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_4 g323892 (
-	.A1(FE_OFN18636_n_11393),
+   sky130_fd_sc_hd__a21oi_1 g323892 (
+	.A1(FE_OFN18460_n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
 	.B1(n_13144),
 	.Y(n_13225), 
@@ -59003,7 +55801,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g323893 (
+   sky130_fd_sc_hd__a21oi_1 g323893 (
 	.A1(n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
 	.B1(n_13146),
@@ -59012,13 +55810,25 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g323894 (
+	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
+	.A2(FE_OFN18309_n_11258),
+	.B1(FE_OFN1806_n_11176),
+	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
+	.C1(n_13499),
+	.C2(FE_OFN1649_n_11054),
+	.Y(n_13223), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323897 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
-	.A2(n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18309_n_11258),
+	.B1(FE_OFN1806_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
 	.C1(n_13525),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN1649_n_11054),
 	.Y(n_13220), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -59026,19 +55836,19 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323898 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [28]),
-	.A2(FE_OFN18379_n_11258),
-	.B1(FE_OFN18535_n),
+	.A2(FE_OFN18131_n_11259),
+	.B1(FE_OFN18116_n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [29]),
 	.C1(n_13523),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN18124_n_11054),
 	.Y(n_13219), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g323899 (
-	.A(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
-	.B(FE_OFN18366_n_11593),
+	.A(FE_OFN1387_u_soc_u_top_u_core_alu_operand_b_ex_20),
+	.B(FE_OFN18382_n_11593),
 	.Y(n_13218), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -59051,6 +55861,13 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g323901 (
+	.A(n_13215),
+	.Y(n_13840), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g323902 (
 	.A(n_13206),
 	.Y(n_13849), 
@@ -59060,30 +55877,18 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323904 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [6]),
-	.A2(n_11258),
-	.B1(FE_OFN18378_n_11176),
+	.A2(FE_OFN18309_n_11258),
+	.B1(FE_OFN19047_n),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [7]),
 	.C1(n_13501),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN1649_n_11054),
 	.Y(n_13216), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g323905 (
-	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [0]),
-	.A2(n_11258),
-	.B1(FE_PSN4428_n_11176),
-	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [1]),
-	.C1(FE_OFN1581_n_11054),
-	.C2(n_13495),
-	.Y(n_13215), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323906 (
-	.A1(n_11207),
+	.A1(n_11208),
 	.A2(n_13406),
 	.B1(n_13166),
 	.X(n_13214), 
@@ -59092,8 +55897,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323907 (
-	.A1(n_11207),
-	.A2(FE_OFN19544_n_13394),
+	.A1(n_11208),
+	.A2(FE_OFN18244_n_13394),
 	.B1(n_13165),
 	.X(n_13213), 
 	.VPWR(vccd1), 
@@ -59101,7 +55906,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323908 (
-	.A1(n_11207),
+	.A1(n_11208),
 	.A2(n_13405),
 	.B1(n_13164),
 	.X(n_13212), 
@@ -59110,7 +55915,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323909 (
-	.A1(n_11207),
+	.A1(n_11208),
 	.A2(n_13411),
 	.B1(n_13163),
 	.X(n_13211), 
@@ -59119,9 +55924,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g323910 (
-	.A1(FE_OFN19553_n),
+	.A1(FE_OFN18154_n_11746),
 	.A2(u_soc_u_top_u_core_alu_operand_b_ex[30]),
-	.B1(FE_OFN18524_n_11393),
+	.B1(FE_OFN18460_n_11393),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
 	.Y(n_13210), 
 	.VPWR(vccd1), 
@@ -59129,9 +55934,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g323911 (
-	.A1(FE_OFN19553_n),
+	.A1(FE_OFN18154_n_11746),
 	.A2(u_soc_u_top_u_core_alu_operand_b_ex[19]),
-	.B1(FE_OFN18524_n_11393),
+	.B1(FE_OFN18460_n_11393),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
 	.Y(n_13209), 
 	.VPWR(vccd1), 
@@ -59149,7 +55954,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a2bb2oi_1 g323913 (
 	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[31]),
-	.A2_N(FE_PSN4456_FE_OFN18547_n_11593),
+	.A2_N(FE_OFN18279_n_11593),
 	.B1(n_11139),
 	.B2(n_11306),
 	.Y(n_13207), 
@@ -59159,19 +55964,19 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323914 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
-	.A2(n_11258),
-	.B1(FE_OFN18378_n_11176),
+	.A2(FE_OFN18309_n_11258),
+	.B1(FE_OFN19047_n),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [10]),
 	.C1(n_13504),
-	.C2(FE_OFN18577_n_11054),
+	.C2(FE_OFN1649_n_11054),
 	.Y(n_13206), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323915 (
-	.A1(n_11207),
-	.A2(FE_COEN4329_n_13402),
+	.A1(n_11208),
+	.A2(n_13402),
 	.B1(n_13159),
 	.X(n_13205), 
 	.VPWR(vccd1), 
@@ -59179,9 +55984,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g323916 (
-	.A1(FE_OFN19553_n),
-	.A2(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16),
-	.B1(FE_OFN18524_n_11393),
+	.A1(FE_OFN18154_n_11746),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[16]),
+	.B1(FE_OFN18460_n_11393),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
 	.Y(n_13204), 
 	.VPWR(vccd1), 
@@ -59189,9 +55994,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g323918 (
-	.A1(FE_OFN19553_n),
+	.A1(FE_OFN18154_n_11746),
 	.A2(u_soc_u_top_u_core_alu_operand_b_ex[15]),
-	.B1(FE_OFN18524_n_11393),
+	.B1(FE_OFN18460_n_11393),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
 	.Y(n_13202), 
 	.VPWR(vccd1), 
@@ -59199,7 +56004,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323919 (
-	.A1(n_11207),
+	.A1(n_11208),
 	.A2(n_13408),
 	.B1(n_13168),
 	.X(n_13201), 
@@ -59208,9 +56013,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g323920 (
-	.A1(FE_OFN19553_n),
+	.A1(FE_OFN18154_n_11746),
 	.A2(u_soc_u_top_u_core_alu_operand_b_ex[14]),
-	.B1(FE_OFN18524_n_11393),
+	.B1(FE_OFN18460_n_11393),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
 	.Y(n_13200), 
 	.VPWR(vccd1), 
@@ -59218,7 +56023,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323921 (
-	.A1(n_11207),
+	.A1(n_11208),
 	.A2(n_13397),
 	.B1(n_13154),
 	.X(n_13199), 
@@ -59226,10 +56031,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g323922 (
-	.A1(FE_OFN19553_n),
+   sky130_fd_sc_hd__a22oi_1 g323922 (
+	.A1(FE_OFN18154_n_11746),
 	.A2(u_soc_u_top_u_core_alu_operand_b_ex[12]),
-	.B1(FE_OFN18636_n_11393),
+	.B1(FE_OFN18460_n_11393),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
 	.Y(n_13198), 
 	.VPWR(vccd1), 
@@ -59237,8 +56042,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323923 (
-	.A1(n_11207),
-	.A2(FE_PSN4147_n_13407),
+	.A1(n_11208),
+	.A2(n_13407),
 	.B1(n_13153),
 	.X(n_13197), 
 	.VPWR(vccd1), 
@@ -59246,9 +56051,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g323924 (
-	.A1(FE_OFN19553_n),
+	.A1(FE_OFN18154_n_11746),
 	.A2(u_soc_u_top_u_core_alu_operand_b_ex[11]),
-	.B1(FE_OFN18636_n_11393),
+	.B1(FE_OFN18460_n_11393),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
 	.Y(n_13196), 
 	.VPWR(vccd1), 
@@ -59257,29 +56062,29 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g323925 (
 	.A(u_soc_u_top_u_core_alu_operand_b_ex[14]),
-	.B(FE_OFN18366_n_11593),
+	.B(FE_OFN18382_n_11593),
 	.Y(n_13195), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g323926 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[2]),
-	.B(FE_PSN4426_n_11745),
+   sky130_fd_sc_hd__nand2_1 g323926 (
+	.A(n_11745),
+	.B(u_soc_u_top_u_core_alu_operand_b_ex[2]),
 	.Y(n_13194), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g323927 (
-	.A(FE_OFN18268_n_11746),
-	.B(FE_OFN1400_n_577),
+   sky130_fd_sc_hd__nand2_1 g323927 (
+	.A(n_11745),
+	.B(FE_OFN1441_n_577),
 	.Y(n_13193), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g323928 (
+   sky130_fd_sc_hd__nand2_1 g323928 (
 	.A(n_11745),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[0]),
 	.Y(n_13192), 
@@ -59295,7 +56100,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g323930 (
+   sky130_fd_sc_hd__nor2_1 g323930 (
 	.A(n_11366),
 	.B(n_13106),
 	.Y(n_13190), 
@@ -59304,15 +56109,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g323931 (
-	.A(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16),
-	.B(FE_OFN18366_n_11593),
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[16]),
+	.B(FE_OFN18382_n_11593),
 	.Y(n_13189), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g323932 (
-	.A(FE_OFN19553_n),
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[21]),
 	.Y(n_13188), 
 	.VPWR(vccd1), 
@@ -59321,14 +56126,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g323933 (
 	.A(u_soc_u_top_u_core_alu_operand_b_ex[19]),
-	.B(FE_OFN18366_n_11593),
+	.B(FE_OFN18382_n_11593),
 	.Y(n_13187), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g323934 (
-	.A(FE_OFN19553_n),
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[27]),
 	.Y(n_13186), 
 	.VPWR(vccd1), 
@@ -59336,7 +56141,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g323935 (
-	.A(FE_OFN19553_n),
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[28]),
 	.Y(n_13185), 
 	.VPWR(vccd1), 
@@ -59344,7 +56149,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g323936 (
-	.A(FE_OFN19553_n),
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[13]),
 	.Y(n_13184), 
 	.VPWR(vccd1), 
@@ -59352,7 +56157,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g323937 (
-	.A(FE_OFN18268_n_11746),
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[10]),
 	.Y(n_13183), 
 	.VPWR(vccd1), 
@@ -59360,7 +56165,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g323938 (
-	.A(FE_OFN18268_n_11746),
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[9]),
 	.Y(n_13182), 
 	.VPWR(vccd1), 
@@ -59368,7 +56173,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g323939 (
-	.A(FE_OFN18268_n_11746),
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[8]),
 	.Y(n_13181), 
 	.VPWR(vccd1), 
@@ -59376,23 +56181,23 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g323940 (
-	.A(FE_OFN18268_n_11746),
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[7]),
 	.Y(n_13180), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g323941 (
-	.A(FE_OFN18268_n_11746),
+   sky130_fd_sc_hd__nand2_1 g323941 (
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[6]),
 	.Y(n_13179), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g323942 (
-	.A(FE_OFN18268_n_11746),
+   sky130_fd_sc_hd__nand2_1 g323942 (
+	.A(n_11745),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[5]),
 	.Y(n_13178), 
 	.VPWR(vccd1), 
@@ -59401,7 +56206,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g323943 (
 	.A(u_soc_u_top_u_core_alu_operand_b_ex[15]),
-	.B(FE_OFN18366_n_11593),
+	.B(FE_OFN18382_n_11593),
 	.Y(n_13177), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -59409,15 +56214,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g323944 (
 	.A(u_soc_u_top_u_core_alu_operand_b_ex[30]),
-	.B(FE_OFN18366_n_11593),
+	.B(FE_OFN18382_n_11593),
 	.Y(n_13176), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g323945 (
-	.A(FE_PSBN19826_n_15943),
-	.B(n_11745),
+   sky130_fd_sc_hd__nand2_1 g323945 (
+	.A(n_11745),
+	.B(n_15943),
 	.Y(n_13175), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -59425,7 +56230,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g323946 (
 	.A(u_soc_u_top_u_core_alu_operand_b_ex[12]),
-	.B(FE_OFN18366_n_11593),
+	.B(FE_OFN18382_n_11593),
 	.Y(n_13174), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -59433,14 +56238,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g323947 (
 	.A(u_soc_u_top_u_core_alu_operand_b_ex[8]),
-	.B(FE_COEN4316_FE_OFN18547_n_11593),
+	.B(FE_OFN18382_n_11593),
 	.Y(n_13173), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g323948 (
-	.A(FE_OFN19553_n),
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[18]),
 	.Y(n_13172), 
 	.VPWR(vccd1), 
@@ -59448,7 +56253,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g323949 (
-	.A(FE_OFN19553_n),
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[24]),
 	.Y(n_13171), 
 	.VPWR(vccd1), 
@@ -59456,7 +56261,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g323950 (
-	.A(FE_OFN19553_n),
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[23]),
 	.Y(n_13170), 
 	.VPWR(vccd1), 
@@ -59464,7 +56269,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g323951 (
-	.A(FE_OFN19553_n),
+	.A(FE_OFN18154_n_11746),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[22]),
 	.Y(n_13169), 
 	.VPWR(vccd1), 
@@ -59472,15 +56277,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g323952 (
-	.A1(FE_OFN1096_n_13093),
-	.A2(FE_OFN18271_n_11152),
+	.A1(FE_OFN1262_n_13093),
+	.A2(FE_OFN18347_n_11152),
 	.B1(n_11330),
 	.Y(n_13168), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_4 g323953 (
+   sky130_fd_sc_hd__nor3_1 g323953 (
 	.A(n_11316),
 	.B(n_11362),
 	.C(n_13108),
@@ -59490,8 +56295,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g323954 (
-	.A1(FE_OFN1103_n_13102),
-	.A2(FE_OFN18271_n_11152),
+	.A1(n_13102),
+	.A2(FE_OFN18347_n_11152),
 	.B1(n_11340),
 	.Y(n_13166), 
 	.VPWR(vccd1), 
@@ -59499,8 +56304,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g323955 (
-	.A1(FE_OFN1102_n_13101),
-	.A2(FE_OFN18423_n_11152),
+	.A1(FE_OFN1264_n_13101),
+	.A2(n_11152),
 	.B1(n_11324),
 	.Y(n_13165), 
 	.VPWR(vccd1), 
@@ -59508,8 +56313,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g323956 (
-	.A1(FE_OFN1101_n_13100),
-	.A2(FE_OFN18271_n_11152),
+	.A1(n_13100),
+	.A2(FE_OFN18347_n_11152),
 	.B1(n_11335),
 	.Y(n_13164), 
 	.VPWR(vccd1), 
@@ -59517,8 +56322,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g323957 (
-	.A1(FE_OFN1100_n_13099),
-	.A2(FE_OFN18271_n_11152),
+	.A1(n_13099),
+	.A2(FE_OFN18347_n_11152),
 	.B1(n_11333),
 	.Y(n_13163), 
 	.VPWR(vccd1), 
@@ -59526,8 +56331,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g323958 (
-	.A1(FE_OFN18319_n_13103),
-	.A2(FE_OFN18298_n_11208),
+	.A1(FE_OFN19036_n_13103),
+	.A2(n_11207),
 	.B1(n_11331),
 	.Y(n_13162), 
 	.VPWR(vccd1), 
@@ -59544,8 +56349,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g323960 (
-	.A1(FE_OFN1099_n_13098),
-	.A2(FE_OFN18271_n_11152),
+	.A1(n_13098),
+	.A2(FE_OFN18347_n_11152),
 	.B1(n_11321),
 	.Y(n_13160), 
 	.VPWR(vccd1), 
@@ -59553,8 +56358,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g323961 (
-	.A1(FE_OFN1098_n_13097),
-	.A2(FE_OFN18271_n_11152),
+	.A1(FE_OFN1263_n_13097),
+	.A2(FE_OFN18347_n_11152),
 	.B1(n_11328),
 	.Y(n_13159), 
 	.VPWR(vccd1), 
@@ -59562,8 +56367,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g323962 (
-	.A1(FE_OFN1092_n_13089),
-	.A2(FE_OFN18423_n_11152),
+	.A1(n_13448),
+	.A2(n_11152),
 	.B1(n_11342),
 	.Y(n_13158), 
 	.VPWR(vccd1), 
@@ -59571,17 +56376,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g323963 (
-	.A1(FE_OFN1097_n_13094),
-	.A2(FE_OFN18271_n_11152),
+	.A1(FE_PSN3946_n_13094),
+	.A2(FE_OFN18347_n_11152),
 	.B1(n_11322),
 	.Y(n_13157), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g323964 (
+   sky130_fd_sc_hd__nand2_2 g323964 (
 	.A(n_13115),
-	.B(FE_COEN4322_n_12783),
+	.B(n_12783),
 	.Y(n_13498), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -59589,7 +56394,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g323979 (
 	.A1(n_13413),
-	.A2(FE_OFN18298_n_11208),
+	.A2(n_11207),
 	.B1(n_11320),
 	.Y(n_13155), 
 	.VPWR(vccd1), 
@@ -59597,8 +56402,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g323980 (
-	.A1(FE_OFN1095_n_13092),
-	.A2(FE_OFN18423_n_11152),
+	.A1(n_13430),
+	.A2(n_11152),
 	.B1(n_11317),
 	.Y(n_13154), 
 	.VPWR(vccd1), 
@@ -59606,15 +56411,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g323981 (
-	.A1(n_13440),
-	.A2(FE_OFN18271_n_11152),
+	.A1(FE_OFN18093_n_13091),
+	.A2(FE_OFN18347_n_11152),
 	.B1(n_11336),
 	.Y(n_13153), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g323982 (
+   sky130_fd_sc_hd__a21oi_1 g323982 (
 	.A1(n_11312),
 	.A2(n_11104),
 	.B1(n_13105),
@@ -59624,18 +56429,18 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g323983 (
-	.A1(n_11151),
-	.A2(FE_OFN18492_n_13420),
+	.A1(FE_OFN18544_n_11152),
+	.A2(n_13420),
 	.B1(n_11208),
-	.B2(FE_PSBN19828_n_13387),
+	.B2(n_13387),
 	.Y(n_13151), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323984 (
-	.A1(n_11151),
-	.A2(FE_OFN1587_n_13428),
+	.A1(FE_OFN18544_n_11152),
+	.A2(FE_OFN1656_n_13428),
 	.B1(n_13120),
 	.X(n_13150), 
 	.VPWR(vccd1), 
@@ -59643,7 +56448,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323985 (
-	.A1(n_11207),
+	.A1(n_11208),
 	.A2(n_13412),
 	.B1(n_13124),
 	.X(n_13149), 
@@ -59652,8 +56457,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323986 (
-	.A1(n_11207),
-	.A2(FE_COEN4335_n_13401),
+	.A1(n_11208),
+	.A2(n_13401),
 	.B1(n_13113),
 	.X(n_13148), 
 	.VPWR(vccd1), 
@@ -59669,18 +56474,18 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_4 g323988 (
-	.A1(n_11151),
-	.A2(FE_PSN4387_FE_OFN1585_n_13422),
+   sky130_fd_sc_hd__o22ai_1 g323988 (
+	.A1(FE_OFN18544_n_11152),
+	.A2(FE_OFN1654_n_13422),
 	.B1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.B2(n_11259),
+	.B2(FE_OFN18298_n_11259),
 	.Y(n_13146), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323989 (
-	.A1(n_11207),
+	.A1(n_11208),
 	.A2(n_13390),
 	.B1(n_13117),
 	.X(n_13145), 
@@ -59688,19 +56493,19 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_2 g323990 (
-	.A1(n_11151),
-	.A2(FE_PSN4116_FE_OFN1586_n_13424),
+   sky130_fd_sc_hd__o22ai_1 g323990 (
+	.A1(FE_OFN18544_n_11152),
+	.A2(FE_OFN18245_n_13424),
 	.B1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
-	.B2(n_11259),
+	.B2(FE_OFN18298_n_11259),
 	.Y(n_13144), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323991 (
-	.A1(n_11151),
-	.A2(FE_OFN18315_n_13425),
+	.A1(FE_OFN18544_n_11152),
+	.A2(FE_OFN1878_n_13425),
 	.B1(n_13118),
 	.X(n_13143), 
 	.VPWR(vccd1), 
@@ -59708,8 +56513,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g323992 (
-	.A1(n_11207),
-	.A2(FE_PSN4475_n_13393),
+	.A1(n_11208),
+	.A2(FE_OFN18241_n_13393),
 	.B1(n_13119),
 	.X(n_13142), 
 	.VPWR(vccd1), 
@@ -59717,8 +56522,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g323993 (
-	.A1(FE_OFN18242_n_11626),
-	.A2(FE_OFN1101_n_13100),
+	.A1(FE_OFN18191_n_11626),
+	.A2(n_13100),
 	.B1(n_11900),
 	.Y(n_13516), 
 	.VPWR(vccd1), 
@@ -59727,11 +56532,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323994 (
 	.A1(u_soc_u_top_u_core_pc_id[20]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
+	.A2(FE_OFN18626_n_15862),
+	.B1(FE_OFN18505_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[20]),
-	.C1(FE_COEN4285_n_13437),
-	.C2(n_11625),
+	.C1(n_13437),
+	.C2(FE_OFN18589_n_11625),
 	.Y(n_619), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -59739,19 +56544,19 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323995 (
 	.A1(u_soc_u_top_u_core_pc_id[19]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
+	.A2(FE_OFN18626_n_15862),
+	.B1(FE_OFN18505_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[19]),
-	.C1(FE_COEN4573_n_13436),
-	.C2(n_11625),
+	.C1(n_13436),
+	.C2(FE_OFN18589_n_11625),
 	.Y(n_638), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g323996 (
-	.A1(FE_OFN18242_n_11626),
-	.A2(FE_OFN1098_n_13097),
+   sky130_fd_sc_hd__o21ai_2 g323996 (
+	.A1(FE_OFN18573_n_11626),
+	.A2(FE_OFN1263_n_13097),
 	.B1(n_11899),
 	.Y(n_13513), 
 	.VPWR(vccd1), 
@@ -59760,11 +56565,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323997 (
 	.A1(u_soc_u_top_u_core_pc_id[16]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
+	.A2(FE_OFN18626_n_15862),
+	.B1(FE_OFN18505_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[16]),
-	.C1(FE_COEN4287_n_13433),
-	.C2(n_11625),
+	.C1(n_13433),
+	.C2(FE_OFN18589_n_11625),
 	.Y(n_130), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -59772,11 +56577,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323998 (
 	.A1(u_soc_u_top_u_core_pc_id[15]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
+	.A2(FE_OFN18626_n_15862),
+	.B1(FE_OFN18505_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[15]),
-	.C1(FE_COEN4330_n_13432),
-	.C2(n_11625),
+	.C1(n_13432),
+	.C2(FE_OFN18589_n_11625),
 	.Y(n_625), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -59784,11 +56589,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g323999 (
 	.A1(u_soc_u_top_u_core_pc_id[14]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
+	.A2(FE_OFN18626_n_15862),
+	.B1(FE_OFN18505_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[14]),
-	.C1(FE_COEN4310_n_13431),
-	.C2(n_11625),
+	.C1(n_13431),
+	.C2(FE_OFN18589_n_11625),
 	.Y(n_616), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -59796,46 +56601,31 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324000 (
 	.A1(u_soc_u_top_u_core_pc_id[13]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
+	.A2(FE_OFN18626_n_15862),
+	.B1(FE_OFN18505_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[13]),
-	.C1(n_13430),
+	.C1(FE_OFN1261_n_13092),
 	.C2(n_11625),
 	.Y(n_135), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g324001 (
-	.A1(u_soc_u_top_u_core_pc_id[12]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
-	.B2(u_soc_u_top_u_core_lsu_addr_last[12]),
-	.C1(FE_PSN4363_n_13429),
-	.C2(n_11625),
-	.Y(n_113), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g324002 (
-	.A1(u_soc_u_top_u_core_pc_id[11]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
-	.B2(u_soc_u_top_u_core_lsu_addr_last[11]),
-	.C1(FE_OFN1587_n_13428),
-	.C2(n_11625),
-	.Y(n_13134), 
+   sky130_fd_sc_hd__o21ai_4 g324003 (
+	.A1(FE_OFN18191_n_11626),
+	.A2(FE_OFN1264_n_13101),
+	.B1(n_11896),
+	.Y(n_13505), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324004 (
 	.A1(u_soc_u_top_u_core_pc_id[8]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
+	.A2(n_15862),
+	.B1(n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[8]),
-	.C1(FE_OFN18315_n_13425),
+	.C1(FE_OFN1878_n_13425),
 	.C2(n_11625),
 	.Y(n_116), 
 	.VPWR(vccd1), 
@@ -59844,19 +56634,31 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324005 (
 	.A1(u_soc_u_top_u_core_pc_id[7]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
+	.A2(n_15862),
+	.B1(n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[7]),
-	.C1(FE_PSBN19866_n_13424),
+	.C1(FE_OFN18245_n_13424),
 	.C2(n_11625),
 	.Y(n_109), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324006 (
+	.A1(u_soc_u_top_u_core_pc_id[5]),
+	.A2(n_15862),
+	.B1(n_16003),
+	.B2(u_soc_u_top_u_core_lsu_addr_last[5]),
+	.C1(FE_OFN1654_n_13422),
+	.C2(n_11625),
+	.Y(n_112), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g324007 (
-	.A1(FE_OFN18242_n_11626),
-	.A2(FE_OFN1103_n_13102),
+	.A1(FE_OFN18191_n_11626),
+	.A2(n_13102),
 	.B1(n_11901),
 	.Y(n_13517), 
 	.VPWR(vccd1), 
@@ -59865,28 +56667,28 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324008 (
 	.A1(u_soc_u_top_u_core_pc_id[23]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
+	.A2(FE_OFN18626_n_15862),
+	.B1(FE_OFN18505_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[23]),
-	.C1(FE_OFN1094_n_13091),
-	.C2(n_11625),
+	.C1(n_13440),
+	.C2(FE_OFN18589_n_11625),
 	.Y(n_620), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g324009 (
-	.A1(FE_OFN18242_n_11626),
-	.A2(FE_OFN1096_n_13093),
+	.A1(FE_OFN18191_n_11626),
+	.A2(FE_OFN1262_n_13093),
 	.B1(n_11902),
 	.Y(n_13519), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g324010 (
-	.A1(FE_OFN18242_n_11626),
-	.A2(FE_OFN1097_n_13094),
+   sky130_fd_sc_hd__o21ai_1 g324010 (
+	.A1(FE_OFN18191_n_11626),
+	.A2(FE_PSN3946_n_13094),
 	.B1(n_11903),
 	.Y(n_13520), 
 	.VPWR(vccd1), 
@@ -59894,8 +56696,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g324011 (
-	.A1(FE_OFN18242_n_11626),
-	.A2(FE_OFN1099_n_13098),
+	.A1(FE_OFN18191_n_11626),
+	.A2(n_13098),
 	.B1(n_11905),
 	.Y(n_13521), 
 	.VPWR(vccd1), 
@@ -59903,8 +56705,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g324012 (
-	.A1(FE_OFN18242_n_11626),
-	.A2(FE_OFN1100_n_13099),
+	.A1(FE_OFN18191_n_11626),
+	.A2(n_13099),
 	.B1(n_11906),
 	.Y(n_13522), 
 	.VPWR(vccd1), 
@@ -59913,8 +56715,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324013 (
 	.A1(u_soc_u_top_u_core_pc_id[29]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
+	.A2(FE_OFN18626_n_15862),
+	.B1(FE_OFN18505_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[29]),
 	.C1(n_13446),
 	.C2(n_11625),
@@ -59924,44 +56726,44 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g324014 (
-	.A1(FE_PSN4168_n_13409),
-	.A2(FE_OFN18287_n_15881),
-	.B1(FE_OFN18639_n_12257),
-	.B2(FE_OFN18414_n),
-	.C1(FE_OFN18478_n_12260),
+	.A1(n_13409),
+	.A2(FE_OFN18620_n),
+	.B1(n_12257),
+	.B2(FE_OFN18142_u_soc_u_top_u_core_instr_rdata_id_25),
+	.C1(FE_OFN18609_n_12260),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[25]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g324015 (
-	.A1(FE_COEN4288_n_15881),
-	.A2(FE_OFN18319_n_13103),
+	.A1(FE_OFN18157_n_15881),
+	.A2(FE_OFN19036_n_13103),
 	.B1(n_11022),
-	.B2(n_15883),
-	.C1(FE_OFN18476_n_12260),
+	.B2(FE_OFN18419_n_15883),
+	.C1(n_12260),
 	.Y(u_soc_u_top_u_core_alu_operand_b_ex[20]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g324016 (
-	.A1(FE_COEN4335_n_13401),
-	.A2(FE_PSN4801_n_11443),
-	.B1(FE_OFN18639_n_12257),
-	.B2(FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17),
-	.C1(n_12259),
+   sky130_fd_sc_hd__a221o_1 g324016 (
+	.A1(n_13401),
+	.A2(FE_OFN18620_n),
+	.B1(n_12257),
+	.B2(FE_OFN18630_u_soc_u_top_u_core_instr_rdata_id_17),
+	.C1(FE_OFN18609_n_12260),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[17]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g324017 (
-	.A1(FE_OFN1093_n_13090),
-	.A2(FE_OFN18287_n_15881),
+	.A1(FE_OFN1260_n_13090),
+	.A2(FE_OFN18619_n),
 	.B1(n_12257),
-	.B2(FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29),
-	.C1(FE_OFN18478_n_12260),
+	.B2(FE_OFN835_u_soc_u_top_u_core_instr_rdata_id_29),
+	.C1(n_12259),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[29]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -59969,10 +56771,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g324018 (
 	.A1(n_13410),
-	.A2(FE_OFN18287_n_15881),
-	.B1(FE_OFN18639_n_12257),
-	.B2(FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26),
-	.C1(FE_OFN18478_n_12260),
+	.A2(FE_OFN18620_n),
+	.B1(n_12257),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[26]),
+	.C1(FE_OFN18609_n_12260),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[26]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -59980,10 +56782,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324019 (
 	.A1(u_soc_u_top_u_core_pc_id[31]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
+	.A2(n_15862),
+	.B1(n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[31]),
-	.C1(n_13448),
+	.C1(FE_OFN1259_n_13089),
 	.C2(n_11625),
 	.Y(n_141), 
 	.VPWR(vccd1), 
@@ -59992,7 +56794,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g324021 (
 	.A1(n_13414),
-	.A2(FE_OFN18298_n_11208),
+	.A2(n_11207),
 	.B1(n_11318),
 	.Y(n_13128), 
 	.VPWR(vccd1), 
@@ -60001,7 +56803,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_2 g324022 (
 	.A1(n_11208),
-	.A2(FE_PSN4371_n_13416),
+	.A2(FE_OFN18433_n_13416),
 	.B1(n_11110),
 	.B2(n_11313),
 	.Y(n_13127), 
@@ -60011,9 +56813,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221a_1 g324023 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
-	.A2(FE_COEN4681_n_16005),
+	.A2(n_16005),
 	.B1(n_11208),
-	.B2(FE_COEN4303_n_13388),
+	.B2(FE_OFN18431_n_13388),
 	.C1(n_11369),
 	.X(n_13126), 
 	.VPWR(vccd1), 
@@ -60022,9 +56824,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221a_1 g324024 (
 	.A1(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
-	.A2(FE_COEN4681_n_16005),
-	.B1(n_11207),
-	.B2(FE_OFN18495_n_13389),
+	.A2(n_16005),
+	.B1(n_11208),
+	.B2(FE_OFN1651_n_13389),
 	.C1(n_11392),
 	.X(n_13125), 
 	.VPWR(vccd1), 
@@ -60032,17 +56834,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g324025 (
-	.A1(FE_OFN1090_n_13087),
-	.A2(FE_OFN18271_n_11152),
+	.A1(FE_OFN1258_n_13087),
+	.A2(FE_OFN18347_n_11152),
 	.B1(n_11347),
 	.Y(n_13124), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g324026 (
+   sky130_fd_sc_hd__a21oi_1 g324026 (
 	.A1(n_13396),
-	.A2(FE_OFN18298_n_11208),
+	.A2(n_11207),
 	.B1(n_11353),
 	.Y(n_13123), 
 	.VPWR(vccd1), 
@@ -60051,7 +56853,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g324027 (
 	.A1(n_13398),
-	.A2(FE_OFN18298_n_11208),
+	.A2(n_11207),
 	.B1(n_11319),
 	.Y(n_13122), 
 	.VPWR(vccd1), 
@@ -60059,8 +56861,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g324028 (
-	.A1(FE_OFN1084_n_13077),
-	.A2(FE_OFN18298_n_11208),
+	.A1(FE_OFN1251_n_13077),
+	.A2(n_11207),
 	.B1(n_11329),
 	.Y(n_13121), 
 	.VPWR(vccd1), 
@@ -60068,8 +56870,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g324029 (
-	.A1(FE_OFN1081_n_13074),
-	.A2(FE_OFN18298_n_11208),
+	.A1(FE_OFN1248_n_13074),
+	.A2(n_11207),
 	.B1(n_11341),
 	.Y(n_13120), 
 	.VPWR(vccd1), 
@@ -60077,8 +56879,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g324030 (
-	.A1(FE_OFN1087_n_13083),
-	.A2(FE_OFN18423_n_11152),
+	.A1(FE_OFN1254_n_13083),
+	.A2(n_11152),
 	.B1(n_11338),
 	.Y(n_13119), 
 	.VPWR(vccd1), 
@@ -60086,8 +56888,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g324031 (
-	.A1(FE_OFN18260_n_13085),
-	.A2(FE_OFN18298_n_11208),
+	.A1(n_13392),
+	.A2(n_11207),
 	.B1(n_11334),
 	.Y(n_13118), 
 	.VPWR(vccd1), 
@@ -60095,8 +56897,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g324032 (
-	.A1(FE_PSN4457_FE_OFN1080_n_13070),
-	.A2(FE_OFN18423_n_11152),
+	.A1(FE_OFN1246_n_13070),
+	.A2(n_11152),
 	.B1(n_11337),
 	.Y(n_13117), 
 	.VPWR(vccd1), 
@@ -60105,7 +56907,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g324033 (
 	.A1(n_13403),
-	.A2(FE_OFN18298_n_11208),
+	.A2(n_11207),
 	.B1(n_11351),
 	.Y(n_13116), 
 	.VPWR(vccd1), 
@@ -60115,23 +56917,23 @@
    sky130_fd_sc_hd__nand3b_1 g324034 (
 	.A_N(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
 	.B(n_13365),
-	.C(FE_PSN4123_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q),
+	.C(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
 	.Y(n_13453), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g324035 (
-	.A(FE_OFN18492_n_13420),
+   sky130_fd_sc_hd__nand2_2 g324035 (
+	.A(n_13420),
 	.B(n_11625),
 	.Y(n_13115), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g324036 (
-	.A1(n_669),
-	.A2(FE_OFN18423_n_11152),
+   sky130_fd_sc_hd__a21oi_1 g324036 (
+	.A1(n_13418),
+	.A2(n_11152),
 	.B1(n_11326),
 	.Y(n_13114), 
 	.VPWR(vccd1), 
@@ -60139,17 +56941,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g324037 (
-	.A1(FE_OFN19542_n_13088),
-	.A2(FE_OFN18271_n_11152),
+	.A1(n_13088),
+	.A2(FE_OFN18347_n_11152),
 	.B1(n_11325),
 	.Y(n_13113), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_4 g324038 (
-	.A1(n_15945),
-	.A2(FE_OFN18423_n_11152),
+   sky130_fd_sc_hd__a21oi_1 g324038 (
+	.A1(FE_OFN1662_n_15945),
+	.A2(n_11152),
 	.B1(n_11339),
 	.Y(n_13112), 
 	.VPWR(vccd1), 
@@ -60157,8 +56959,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g324039 (
-	.A1(FE_PSN4169_FE_OFN1085_n_13078),
-	.A2(FE_OFN18298_n_11208),
+	.A1(FE_OFN1252_n_13078),
+	.A2(n_11207),
 	.B1(n_11327),
 	.Y(n_13111), 
 	.VPWR(vccd1), 
@@ -60166,7 +56968,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_4 g324040 (
-	.A1(FE_COEN4862_n_11626),
+	.A1(n_11626),
 	.A2(n_13421),
 	.B1(n_12784),
 	.Y(n_13499), 
@@ -60174,27 +56976,18 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 g324041 (
-	.A1(FE_COEN4862_n_11626),
-	.A2(n_669),
-	.B1(FE_COEN4693_n_12781),
+   sky130_fd_sc_hd__o21ai_2 g324041 (
+	.A1(n_11626),
+	.A2(n_13418),
+	.B1(n_12781),
 	.Y(n_13496), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 g324042 (
-	.A1(FE_COEN4862_n_11626),
-	.A2(n_13419),
-	.B1(FE_PSN4158_n_12782),
-	.Y(n_13497), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a21o_4 g324043 (
-	.A1(n_13386),
-	.A2(FE_PSN4801_n_11443),
+	.A1(FE_OFN18439_n_13386),
+	.A2(n_11443),
 	.B1(n_12947),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[2]), 
 	.VPWR(vccd1), 
@@ -60202,8 +56995,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g324044 (
-	.A1(FE_OFN1089_n_13086),
-	.A2(FE_OFN18287_n_15881),
+	.A1(FE_OFN1257_n_13086),
+	.A2(FE_OFN18619_n),
 	.B1(n_12257),
 	.B2(u_soc_u_top_u_core_instr_rdata_id[30]),
 	.C1(n_12259),
@@ -60214,10 +57007,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g324045 (
 	.A1(n_13411),
-	.A2(FE_OFN18287_n_15881),
-	.B1(FE_OFN18639_n_12257),
-	.B2(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
-	.C1(FE_OFN18478_n_12260),
+	.A2(FE_OFN18619_n),
+	.B1(n_12257),
+	.B2(FE_OFN837_u_soc_u_top_u_core_instr_rdata_id_27),
+	.C1(FE_OFN18609_n_12260),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[27]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -60225,7 +57018,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21o_1 g324046 (
 	.A1(n_13395),
-	.A2(FE_OFN18287_n_15881),
+	.A2(FE_OFN18619_n),
 	.B1(n_12259),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[11]), 
 	.VPWR(vccd1), 
@@ -60234,10 +57027,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324047 (
 	.A1(u_soc_u_top_u_core_instr_rdata_id[11]),
-	.A2(FE_COEN4278_n_12263),
-	.B1(FE_PSN4471_n_12261),
-	.B2(FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24),
-	.C1(FE_PSBN19871_n_13388),
+	.A2(n_12263),
+	.B1(n_12261),
+	.B2(FE_OFN18285_u_soc_u_top_u_core_instr_rdata_id_24),
+	.C1(n_13388),
 	.C2(n_11443),
 	.Y(n_577), 
 	.VPWR(vccd1), 
@@ -60245,27 +57038,27 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g324049 (
-	.A1(FE_PSN4443_n_15881),
-	.A2(FE_OFN1088_n_13084),
+	.A1(FE_OFN18157_n_15881),
+	.A2(FE_OFN1255_n_13084),
 	.B1(n_12811),
 	.Y(u_soc_u_top_u_core_alu_operand_b_ex[31]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_2 g324052 (
-	.A1(n_11151),
-	.A2(FE_OFN18238_n_13069),
+   sky130_fd_sc_hd__o22ai_1 g324052 (
+	.A1(FE_OFN18544_n_11152),
+	.A2(n_13419),
 	.B1(n_11208),
-	.B2(FE_PSN4264_n_13386),
+	.B2(FE_OFN18439_n_13386),
 	.Y(n_13108), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_2 g324053 (
-	.A1(n_11151),
-	.A2(FE_OFN18294_n_13073),
+   sky130_fd_sc_hd__o22ai_1 g324053 (
+	.A1(FE_OFN18544_n_11152),
+	.A2(FE_OFN1247_n_13073),
 	.B1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
 	.B2(n_11259),
 	.Y(n_13107), 
@@ -60274,18 +57067,18 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g324054 (
-	.A1(n_11207),
-	.A2(FE_PSN4389_FE_OFN18507_n_13391),
+	.A1(n_11208),
+	.A2(FE_OFN1652_n_13391),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [7]),
-	.B2(FE_COEN4681_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_13106), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 g324055 (
+   sky130_fd_sc_hd__o21ai_1 g324055 (
 	.A1(n_11208),
-	.A2(FE_PSN4346_n_15946),
+	.A2(FE_OFN18240_n_15946),
 	.B1(n_11391),
 	.Y(n_13105), 
 	.VPWR(vccd1), 
@@ -60293,18 +57086,27 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_4 g324056 (
-	.A1(FE_OFN18593_n_11626),
-	.A2(FE_OFN1080_n_13070),
+	.A1(FE_OFN18191_n_11626),
+	.A2(FE_OFN1246_n_13070),
 	.B1(n_11904),
 	.Y(n_13501), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_4 g324057 (
+	.A1(n_11626),
+	.A2(FE_OFN1662_n_15945),
+	.B1(n_12310),
+	.Y(n_13495), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324058 (
 	.A1(u_soc_u_top_u_core_pc_id[30]),
-	.A2(FE_OFN18536_n_15862),
-	.B1(FE_OFN18426_n_16003),
+	.A2(FE_OFN18626_n_15862),
+	.B1(FE_OFN18505_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[30]),
 	.C1(n_13447),
 	.C2(n_11625),
@@ -60314,8 +57116,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g324059 (
-	.A1(FE_OFN18242_n_11626),
-	.A2(FE_OFN1090_n_13087),
+	.A1(FE_OFN18191_n_11626),
+	.A2(FE_OFN1258_n_13087),
 	.B1(n_11907),
 	.Y(n_13523), 
 	.VPWR(vccd1), 
@@ -60323,8 +57125,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_2 g324060 (
-	.A1(FE_OFN18242_n_11626),
-	.A2(FE_OFN19542_n_13088),
+	.A1(FE_OFN18191_n_11626),
+	.A2(n_13088),
 	.B1(n_11898),
 	.Y(n_13512), 
 	.VPWR(vccd1), 
@@ -60332,19 +57134,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_4 g324061 (
-	.A1(FE_OFN18593_n_11626),
-	.A2(FE_OFN1087_n_13083),
+	.A1(FE_OFN18191_n_11626),
+	.A2(FE_OFN1254_n_13083),
 	.B1(n_11897),
 	.Y(n_13504), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g324062 (
+   sky130_fd_sc_hd__a221o_1 g324062 (
 	.A1(n_13397),
-	.A2(FE_OFN18287_n_15881),
+	.A2(FE_OFN18619_n),
 	.B1(n_12257),
-	.B2(FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13),
+	.B2(FE_OFN19034_u_soc_u_top_u_core_instr_rdata_id_13),
 	.C1(n_12259),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[13]), 
 	.VPWR(vccd1), 
@@ -60352,10 +57154,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_2 g324063 (
-	.A1(FE_OFN1082_n_13075),
-	.A2(FE_OFN18287_n_15881),
+	.A1(FE_OFN1249_n_13075),
+	.A2(FE_OFN18619_n),
 	.B1(n_12257),
-	.B2(FE_OFN18645_u_soc_u_top_u_core_instr_rdata_id_12),
+	.B2(FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_12),
 	.C1(n_12259),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[12]), 
 	.VPWR(vccd1), 
@@ -60363,40 +57165,40 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g324064 (
-	.A1(FE_OFN19544_n_13394),
-	.A2(FE_OFN18287_n_15881),
-	.B1(FE_OFN18381_n_11769),
+	.A1(FE_OFN18244_n_13394),
+	.A2(FE_OFN18546_n_11443),
+	.B1(FE_OFN18328_n_11769),
 	.B2(u_soc_u_top_u_core_instr_rdata_id[30]),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[10]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_4 g324065 (
-	.A1(FE_PSN4475_n_13393),
-	.A2(FE_OFN18287_n_15881),
-	.B1(FE_OFN18381_n_11769),
-	.B2(FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29),
+   sky130_fd_sc_hd__a22o_1 g324065 (
+	.A1(FE_OFN18241_n_13393),
+	.A2(FE_OFN18546_n_11443),
+	.B1(FE_OFN18328_n_11769),
+	.B2(FE_OFN835_u_soc_u_top_u_core_instr_rdata_id_29),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[9]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_4 g324066 (
-	.A1(n_13392),
-	.A2(FE_OFN18287_n_15881),
-	.B1(FE_OFN18381_n_11769),
-	.B2(FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28),
+   sky130_fd_sc_hd__a22o_1 g324066 (
+	.A1(FE_OFN1256_n_13085),
+	.A2(FE_OFN18546_n_11443),
+	.B1(FE_OFN18328_n_11769),
+	.B2(FE_OFN836_u_soc_u_top_u_core_instr_rdata_id_28),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[8]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_4 g324067 (
-	.A1(FE_OFN18507_n_13391),
-	.A2(FE_OFN18287_n_15881),
-	.B1(FE_OFN18381_n_11769),
-	.B2(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
+   sky130_fd_sc_hd__a22o_1 g324067 (
+	.A1(FE_OFN1652_n_13391),
+	.A2(FE_OFN18546_n_11443),
+	.B1(FE_OFN18328_n_11769),
+	.B2(FE_OFN837_u_soc_u_top_u_core_instr_rdata_id_27),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[7]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -60404,30 +57206,30 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g324068 (
 	.A1(n_13406),
-	.A2(FE_PSN4801_n_11443),
-	.B1(FE_OFN18639_n_12257),
-	.B2(FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22),
-	.C1(n_12259),
+	.A2(FE_OFN18620_n),
+	.B1(n_12257),
+	.B2(FE_OFN19068_n),
+	.C1(FE_OFN18609_n_12260),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[22]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_4 g324069 (
+   sky130_fd_sc_hd__a22o_1 g324069 (
 	.A1(n_13390),
-	.A2(FE_OFN18287_n_15881),
-	.B1(FE_OFN18381_n_11769),
-	.B2(FE_OFN18465_u_soc_u_top_u_core_instr_rdata_id_26),
+	.A2(FE_OFN18546_n_11443),
+	.B1(FE_OFN18328_n_11769),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[26]),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[6]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_4 g324070 (
-	.A1(FE_OFN18495_n_13389),
-	.A2(FE_PSN4801_n_11443),
-	.B1(FE_OFN18381_n_11769),
-	.B2(FE_OFN18414_n),
+	.A1(FE_OFN1651_n_13389),
+	.A2(FE_OFN18546_n_11443),
+	.B1(FE_OFN18328_n_11769),
+	.B2(FE_OFN18142_u_soc_u_top_u_core_instr_rdata_id_25),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[5]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -60442,10 +57244,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_2 g324072 (
-	.A1(FE_OFN1083_n_13076),
-	.A2(FE_OFN18287_n_15881),
+	.A1(FE_OFN1250_n_13076),
+	.A2(FE_OFN18619_n),
 	.B1(n_12257),
-	.B2(FE_OFN18545_u_soc_u_top_u_core_instr_rdata_id_14),
+	.B2(FE_OFN18564_n),
 	.C1(n_12259),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[14]), 
 	.VPWR(vccd1), 
@@ -60454,10 +57256,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g324073 (
 	.A1(n_13412),
-	.A2(FE_OFN18287_n_15881),
-	.B1(FE_OFN18639_n_12257),
-	.B2(FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28),
-	.C1(FE_OFN18478_n_12260),
+	.A2(FE_OFN18619_n),
+	.B1(n_12257),
+	.B2(FE_OFN836_u_soc_u_top_u_core_instr_rdata_id_28),
+	.C1(n_12259),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[28]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -60465,43 +57267,43 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g324074 (
 	.A1(n_13405),
-	.A2(FE_OFN18287_n_15881),
-	.B1(FE_OFN18639_n_12257),
-	.B2(FE_OFN721_u_soc_u_top_u_core_instr_rdata_id_21),
-	.C1(FE_OFN18478_n_12260),
+	.A2(FE_OFN18620_n),
+	.B1(n_12257),
+	.B2(FE_OFN843_u_soc_u_top_u_core_instr_rdata_id_21),
+	.C1(FE_OFN18609_n_12260),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[21]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g324075 (
-	.A1(FE_OFN1086_n_13082),
-	.A2(FE_OFN18287_n_15881),
-	.B1(FE_OFN18639_n_12257),
-	.B2(FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19),
-	.C1(FE_OFN18478_n_12260),
+	.A1(FE_OFN1253_n_13082),
+	.A2(FE_OFN18620_n),
+	.B1(n_12257),
+	.B2(FE_OFN18547_u_soc_u_top_u_core_instr_rdata_id_19),
+	.C1(FE_OFN18609_n_12260),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[19]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g324076 (
-	.A1(FE_COEN4329_n_13402),
-	.A2(FE_PSN4801_n_11443),
-	.B1(FE_OFN18639_n_12257),
-	.B2(FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18),
+   sky130_fd_sc_hd__a221o_1 g324076 (
+	.A1(n_13402),
+	.A2(n_11443),
+	.B1(n_12257),
+	.B2(FE_OFN18274_u_soc_u_top_u_core_instr_rdata_id_18),
 	.C1(n_12259),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[18]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o221ai_1 g324077 (
-	.A1(FE_PSN4403_n_15881),
-	.A2(FE_OFN1085_n_13078),
-	.B1(n_11032),
-	.B2(n_15883),
-	.C1(FE_OFN18476_n_12260),
+   sky130_fd_sc_hd__o221ai_4 g324077 (
+	.A1(FE_OFN18157_n_15881),
+	.A2(FE_OFN1252_n_13078),
+	.B1(FE_OFN18301_n_11032),
+	.B2(FE_OFN18419_n_15883),
+	.C1(n_12260),
 	.Y(u_soc_u_top_u_core_alu_operand_b_ex[16]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -60509,9 +57311,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_2 g324078 (
 	.A1(n_13399),
-	.A2(FE_OFN18287_n_15881),
+	.A2(FE_OFN18619_n),
 	.B1(n_12257),
-	.B2(FE_OFN18523_u_soc_u_top_u_core_instr_rdata_id_15),
+	.B2(FE_OFN849_u_soc_u_top_u_core_instr_rdata_id_15),
 	.C1(n_12259),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[15]), 
 	.VPWR(vccd1), 
@@ -60520,77 +57322,77 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g324079 (
 	.A1(n_13408),
-	.A2(FE_PSN4801_n_11443),
-	.B1(FE_OFN18639_n_12257),
-	.B2(FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24),
-	.C1(n_12259),
+	.A2(FE_OFN18620_n),
+	.B1(n_12257),
+	.B2(FE_OFN18285_u_soc_u_top_u_core_instr_rdata_id_24),
+	.C1(FE_OFN18609_n_12260),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[24]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g324080 (
-	.A1(FE_PSN4147_n_13407),
-	.A2(FE_OFN18287_n_15881),
-	.B1(FE_OFN18639_n_12257),
-	.B2(FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23),
-	.C1(FE_OFN18478_n_12260),
+	.A1(n_13407),
+	.A2(FE_OFN18620_n),
+	.B1(n_12257),
+	.B2(FE_OFN18360_n),
+	.C1(FE_OFN18609_n_12260),
 	.X(u_soc_u_top_u_core_alu_operand_b_ex[23]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g324082 (
-	.A(FE_OFN18319_n_13103),
+	.A(FE_OFN19036_n_13103),
 	.Y(n_13404), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g324083 (
-	.A(FE_OFN1103_n_13102),
+	.A(n_13102),
 	.Y(n_13439), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g324084 (
-	.A(FE_OFN1102_n_13101),
+	.A(FE_OFN1264_n_13101),
 	.Y(n_13427), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g324085 (
-	.A(FE_OFN1101_n_13100),
+	.A(n_13100),
 	.Y(n_13438), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g324086 (
-	.A(FE_OFN1100_n_13099),
+	.A(n_13099),
 	.Y(n_13444), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g324087 (
-	.A(FE_OFN1099_n_13098),
+	.A(n_13098),
 	.Y(n_13443), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g324088 (
-	.A(FE_OFN1098_n_13097),
+	.A(FE_OFN1263_n_13097),
 	.Y(n_13435), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 g324089 (
-	.A(n_15946),
+	.A(FE_OFN18240_n_15946),
 	.B(n_11443),
 	.Y(n_13096), 
 	.VPWR(vccd1), 
@@ -60599,23 +57401,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_2 g324091 (
 	.A_N(n_13335),
-	.B(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.B(FE_PDN4101_u_soc_xbar_to_lsu_d_valid),
 	.Y(n_13365), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324092 (
+   sky130_fd_sc_hd__nand4_1 g324092 (
 	.A(n_12847),
-	.B(n_13002),
+	.B(n_12848),
 	.C(n_12892),
-	.D(n_12848),
+	.D(n_13002),
 	.Y(n_13422), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g324093 (
+   sky130_fd_sc_hd__nand2_4 g324093 (
 	.A(n_13079),
 	.B(n_13001),
 	.Y(n_13420), 
@@ -60632,7 +57434,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324095 (
+   sky130_fd_sc_hd__nand4_1 g324095 (
 	.A(n_13081),
 	.B(n_12772),
 	.C(n_12771),
@@ -60651,7 +57453,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_4 g324097 (
+   sky130_fd_sc_hd__nor3_1 g324097 (
 	.A(n_12941),
 	.B(n_13046),
 	.C(n_13048),
@@ -60660,7 +57462,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g324098 (
+   sky130_fd_sc_hd__nor3_2 g324098 (
 	.A(n_12935),
 	.B(n_13042),
 	.C(n_13043),
@@ -60698,7 +57500,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g324102 (
+   sky130_fd_sc_hd__nor3_2 g324102 (
 	.A(n_12996),
 	.B(n_13031),
 	.C(n_13035),
@@ -60717,14 +57519,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g324104 (
-	.A(FE_OFN1097_n_13094),
+	.A(FE_PSN3946_n_13094),
 	.Y(n_13442), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g324105 (
-	.A(FE_OFN1096_n_13093),
+	.A(FE_OFN1262_n_13093),
 	.Y(n_13441), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -60749,7 +57551,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_2 g324112 (
+   sky130_fd_sc_hd__or4_1 g324112 (
 	.A(n_12821),
 	.B(n_12704),
 	.C(n_12957),
@@ -60778,7 +57580,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_4 g324115 (
+   sky130_fd_sc_hd__nor4_1 g324115 (
 	.A(n_12818),
 	.B(n_12906),
 	.C(n_13032),
@@ -60846,7 +57648,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g324122 (
+   sky130_fd_sc_hd__nor4_2 g324122 (
 	.A(n_12822),
 	.B(n_12983),
 	.C(n_12844),
@@ -60857,7 +57659,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g324123 (
-	.A(FE_PSN4476_n_12853),
+	.A(n_12853),
 	.B(n_13000),
 	.C(n_12854),
 	.D(n_12874),
@@ -60876,7 +57678,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g324125 (
+   sky130_fd_sc_hd__nor4_4 g324125 (
 	.A(n_12825),
 	.B(n_12908),
 	.C(n_13010),
@@ -60887,27 +57689,27 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g324126 (
-	.A(FE_OFN19542_n_13088),
+	.A(n_13088),
 	.Y(n_13434), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g324127 (
-	.A(FE_OFN1090_n_13087),
+	.A(FE_OFN1258_n_13087),
 	.Y(n_13445), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g324130 (
-	.A(FE_OFN1088_n_13084),
+	.A(FE_OFN1255_n_13084),
 	.Y(n_13415), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g324133 (
+   sky130_fd_sc_hd__nor2_1 g324133 (
 	.A(n_12945),
 	.B(n_13068),
 	.Y(n_13081), 
@@ -60925,7 +57727,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g324135 (
+   sky130_fd_sc_hd__nor2_1 g324135 (
 	.A(n_12837),
 	.B(n_13065),
 	.Y(n_13079), 
@@ -60933,7 +57735,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g324136 (
+   sky130_fd_sc_hd__nand2_4 g324136 (
 	.A(n_13036),
 	.B(n_13054),
 	.Y(n_13387), 
@@ -60941,7 +57743,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_2 g324137 (
+   sky130_fd_sc_hd__or4_1 g324137 (
 	.A(n_12831),
 	.B(n_12846),
 	.C(n_12871),
@@ -60951,15 +57753,15 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g324138 (
-	.A(n_13033),
-	.B(n_13005),
+   sky130_fd_sc_hd__nand2_1 g324138 (
+	.A(n_13005),
+	.B(n_13033),
 	.Y(n_13386), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g324139 (
+   sky130_fd_sc_hd__nor3_4 g324139 (
 	.A(n_12842),
 	.B(n_12864),
 	.C(n_13029),
@@ -60977,17 +57779,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g324141 (
-	.A(n_12739),
-	.B(n_12745),
-	.C(n_12975),
-	.D(n_13045),
-	.Y(n_13086), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_2 g324142 (
+   sky130_fd_sc_hd__or4_1 g324142 (
 	.A(n_12761),
 	.B(n_12937),
 	.C(n_12974),
@@ -61026,10 +57818,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_2 g324146 (
+   sky130_fd_sc_hd__nor3_1 g324146 (
 	.A(n_12856),
 	.B(n_12875),
-	.C(FE_PSN4150_n_13044),
+	.C(n_13044),
 	.Y(n_13083), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -61039,13 +57831,13 @@
 	.A(n_12742),
 	.B(n_12740),
 	.C(n_12972),
-	.D(n_13040),
+	.D(FE_PSN3939_n_13040),
 	.X(n_13390), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324148 (
+   sky130_fd_sc_hd__nand4_1 g324148 (
 	.A(n_13058),
 	.B(n_12737),
 	.C(n_12832),
@@ -61065,7 +57857,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g324150 (
+   sky130_fd_sc_hd__nand2_4 g324150 (
 	.A(n_13057),
 	.B(n_13056),
 	.Y(n_13388), 
@@ -61092,7 +57884,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g324153 (
-	.A(FE_PSN4169_FE_OFN1085_n_13078),
+	.A(FE_OFN1252_n_13078),
 	.Y(n_13400), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -61101,10 +57893,10 @@
    sky130_fd_sc_hd__mux4_2 g324163 (
 	.A0(\u_soc_dccm_to_xbar[d_valid] ),
 	.A1(\u_soc_tcam_to_xbar[d_valid] ),
-	.A2(\u_soc_uart_to_xbar[d_valid] ),
+	.A2(FE_OFN1295_u_soc_uart_to_xbar_d_valid),
 	.A3(\u_soc_main_swith_host_lsu_tl_u_i[3][d_valid] ),
-	.S0(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
-	.S1(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.S0(FE_PDN4094_FE_OFN909_u_soc_main_swith_host_lsu_dev_select_outstanding_0),
+	.S1(FE_PDN19250_n),
 	.X(\u_soc_xbar_to_lsu[d_valid] ), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -61159,7 +57951,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_1 g324169 (
+   sky130_fd_sc_hd__or4_4 g324169 (
 	.A(n_12694),
 	.B(n_12696),
 	.C(n_12953),
@@ -61169,7 +57961,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_2 g324170 (
+   sky130_fd_sc_hd__or4_1 g324170 (
 	.A(n_12690),
 	.B(n_12692),
 	.C(n_12951),
@@ -61179,7 +57971,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_2 g324171 (
+   sky130_fd_sc_hd__nor4_1 g324171 (
 	.A(n_12693),
 	.B(n_12904),
 	.C(n_12949),
@@ -61209,11 +58001,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g324175 (
-	.A(n_13052),
-	.B(n_12887),
-	.C(n_12915),
-	.X(n_669), 
+   sky130_fd_sc_hd__nor3_2 g324174 (
+	.A(n_12988),
+	.B(n_12924),
+	.C(n_13055),
+	.Y(n_13073), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -61228,7 +58020,17 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or4_4 g324179 (
+   sky130_fd_sc_hd__nand4_2 g324178 (
+	.A(n_13063),
+	.B(FE_OFN1244_n_12857),
+	.C(n_12583),
+	.D(n_12762),
+	.Y(n_13393), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__or4_1 g324179 (
 	.A(n_12938),
 	.B(n_12995),
 	.C(n_12858),
@@ -61247,7 +58049,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_1 g324181 (
+   sky130_fd_sc_hd__nor3_2 g324181 (
 	.A(n_13053),
 	.B(n_12916),
 	.C(n_12917),
@@ -61266,9 +58068,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g324184 (
-	.A(n_12867),
-	.B(FE_PSN4631_n_12888),
+   sky130_fd_sc_hd__nor2_1 g324184 (
+	.A(n_12888),
+	.B(n_12867),
 	.Y(n_13067), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -61291,17 +58093,17 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g324188 (
+   sky130_fd_sc_hd__nor2_1 g324188 (
 	.A(n_12940),
-	.B(FE_COEN4272_n_12978),
+	.B(n_12978),
 	.Y(n_13063), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_4 g324189 (
-	.A(FE_COEN4861_n_12755),
-	.B(FE_PSN4474_n_12754),
+   sky130_fd_sc_hd__nand4_1 g324189 (
+	.A(n_12755),
+	.B(n_12754),
 	.C(n_12238),
 	.D(n_12571),
 	.Y(n_13062), 
@@ -61335,15 +58137,15 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g324193 (
+   sky130_fd_sc_hd__nor2_1 g324193 (
 	.A(n_12930),
-	.B(FE_PSN4773_n_12970),
+	.B(n_12970),
 	.Y(n_13058), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g324194 (
+   sky130_fd_sc_hd__nor2_1 g324194 (
 	.A(n_12732),
 	.B(n_12927),
 	.Y(n_13057), 
@@ -61359,7 +58161,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324196 (
+   sky130_fd_sc_hd__nand4_1 g324196 (
 	.A(n_12730),
 	.B(n_12729),
 	.C(n_12201),
@@ -61377,19 +58179,19 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324198 (
+   sky130_fd_sc_hd__nand4_1 g324198 (
 	.A(n_12869),
 	.B(n_12337),
-	.C(FE_PSN4419_n_11699),
-	.D(FE_COEN4269_n_12024),
+	.C(n_11699),
+	.D(n_12024),
 	.Y(n_13053), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g324199 (
-	.A(n_12866),
-	.B(n_12759),
+	.A(n_12759),
+	.B(n_12866),
 	.Y(n_13052), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -61455,16 +58257,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324207 (
-	.A(n_12757),
-	.B(n_12756),
-	.C(n_12241),
-	.D(n_12576),
-	.Y(n_13044), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g324208 (
 	.A(n_12753),
 	.B(n_12752),
@@ -61495,7 +58287,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324211 (
+   sky130_fd_sc_hd__nand4_1 g324211 (
 	.A(n_12815),
 	.B(n_12547),
 	.C(n_12218),
@@ -61535,7 +58327,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g324215 (
+   sky130_fd_sc_hd__nor2_1 g324215 (
 	.A(n_12799),
 	.B(n_12946),
 	.Y(n_13036), 
@@ -61601,7 +58393,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g324222 (
+   sky130_fd_sc_hd__nand4_2 g324222 (
 	.A(n_12717),
 	.B(n_12716),
 	.C(n_12167),
@@ -61611,7 +58403,17 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324224 (
+   sky130_fd_sc_hd__a211oi_1 g324223 (
+	.A1(n_11762),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
+	.B1(n_15951),
+	.C1(n_12865),
+	.Y(n_13028), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324224 (
 	.A(n_12713),
 	.B(n_12712),
 	.C(n_12158),
@@ -61633,7 +58435,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g324226 (
 	.A(n_12451),
-	.B(FE_COEN4332_n_12885),
+	.B(n_12885),
 	.C(n_12153),
 	.D(n_12450),
 	.Y(n_13025), 
@@ -61702,7 +58504,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g324233 (
-	.A(FE_PSN4430_n_12881),
+	.A(n_12881),
 	.B(n_12293),
 	.C(n_11704),
 	.D(n_11971),
@@ -61711,8 +58513,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_4 g324234 (
-	.A(FE_PSN4180_n_12808),
+   sky130_fd_sc_hd__nand4_1 g324234 (
+	.A(n_12808),
 	.B(n_12430),
 	.C(n_12139),
 	.D(n_12429),
@@ -61751,7 +58553,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324238 (
+   sky130_fd_sc_hd__nand4_1 g324238 (
 	.A(n_12689),
 	.B(n_12688),
 	.C(n_12130),
@@ -61771,8 +58573,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324240 (
-	.A(FE_PSN4353_n_12877),
+   sky130_fd_sc_hd__nand4_1 g324240 (
+	.A(n_12877),
 	.B(n_12271),
 	.C(n_11682),
 	.D(n_11952),
@@ -61802,8 +58604,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g324243 (
-	.A1(FE_OFN1588_n_15860),
-	.A2(FE_OFN18401_u_soc_u_top_u_core_rf_wdata_fwd_wb_20),
+	.A1(FE_OFN1658_n_15860),
+	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[20]),
 	.B1(n_12992),
 	.Y(n_13008), 
 	.VPWR(vccd1), 
@@ -61811,8 +58613,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g324244 (
-	.A(n_12719),
-	.B(n_12477),
+	.A(FE_OFN1650_n_12719),
+	.B(FE_OFN18813_n_12477),
 	.C(n_11785),
 	.D(n_12479),
 	.Y(n_13007), 
@@ -61830,10 +58632,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3_4 g324246 (
+   sky130_fd_sc_hd__nor3_2 g324246 (
 	.A(n_15948),
-	.B(n_12870),
-	.C(n_12805),
+	.B(n_12805),
+	.C(n_12870),
 	.Y(n_13005), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -61847,7 +58649,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g324249 (
+   sky130_fd_sc_hd__and3_1 g324249 (
 	.A(n_12528),
 	.B(n_12684),
 	.C(n_12526),
@@ -61865,9 +58667,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_2 g324251 (
-	.A1(FE_PSN4814_FE_OFN18559_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(FE_OFN19059_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
 	.C1(n_12855),
 	.Y(n_13000), 
@@ -61875,10 +58677,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324252 (
-	.A1(FE_OFN1110_n_15858),
+   sky130_fd_sc_hd__a221oi_1 g324252 (
+	.A1(n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
-	.B1(FE_OFN1058_n_11479),
+	.B1(n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
 	.C1(n_12851),
 	.Y(n_12999), 
@@ -62015,7 +58817,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g324267 (
+   sky130_fd_sc_hd__nand4_2 g324267 (
 	.A(n_12483),
 	.B(n_12176),
 	.C(n_11788),
@@ -62025,8 +58827,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324268 (
-	.A(FE_COEN4706_n_12665),
+   sky130_fd_sc_hd__nand4_1 g324268 (
+	.A(n_12665),
 	.B(n_12008),
 	.C(n_11772),
 	.D(n_12166),
@@ -62047,7 +58849,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g324270 (
 	.A(n_12453),
-	.B(FE_COEN4710_n_11995),
+	.B(n_11995),
 	.C(n_11728),
 	.D(n_12155),
 	.Y(n_12982), 
@@ -62085,11 +58887,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324274 (
+   sky130_fd_sc_hd__nand4_4 g324274 (
 	.A(n_12802),
 	.B(n_12392),
 	.C(n_11869),
-	.D(FE_PSN4172_n_12095),
+	.D(n_12095),
 	.Y(n_12978), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -62156,7 +58958,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g324281 (
-	.A(FE_COEN4333_n_12741),
+	.A(n_12741),
 	.B(n_12370),
 	.C(n_11834),
 	.D(n_12069),
@@ -62205,7 +59007,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324286 (
+   sky130_fd_sc_hd__nand4_1 g324286 (
 	.A(n_12731),
 	.B(n_12353),
 	.C(n_11815),
@@ -62215,7 +59017,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324287 (
+   sky130_fd_sc_hd__nand4_1 g324287 (
 	.A(n_15952),
 	.B(n_12342),
 	.C(n_11805),
@@ -62315,7 +59117,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324297 (
+   sky130_fd_sc_hd__nand4_1 g324297 (
 	.A(n_12699),
 	.B(n_12295),
 	.C(n_11708),
@@ -62326,7 +59128,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g324298 (
-	.A(FE_COEN4325_n_12777),
+	.A(n_12777),
 	.B(n_12288),
 	.C(n_11701),
 	.D(n_11969),
@@ -62345,7 +59147,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324300 (
+   sky130_fd_sc_hd__nand4_1 g324300 (
 	.A(n_12695),
 	.B(n_12284),
 	.C(n_11696),
@@ -62395,16 +59197,16 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 g324305 (
+   sky130_fd_sc_hd__o21ai_1 g324305 (
 	.A1(n_12122),
-	.A2(FE_PSN4471_n_12261),
+	.A2(n_12261),
 	.B1(n_12683),
 	.Y(n_12947), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g324306 (
+   sky130_fd_sc_hd__nand4_1 g324306 (
 	.A(n_12727),
 	.B(n_12347),
 	.C(n_11744),
@@ -62414,9 +59216,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g324307 (
+   sky130_fd_sc_hd__nand3_1 g324307 (
 	.A(n_12595),
-	.B(FE_PSN4358_n_12596),
+	.B(n_12596),
 	.C(n_12252),
 	.Y(n_12945), 
 	.VPWR(vccd1), 
@@ -62516,7 +59318,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g324318 (
 	.A(n_12556),
-	.B(FE_PSN4409_n_12557),
+	.B(n_12557),
 	.C(n_12228),
 	.Y(n_12934), 
 	.VPWR(vccd1), 
@@ -62551,9 +59353,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g324322 (
-	.A(FE_PSN4630_n_12536),
-	.B(FE_PSN4407_n_12534),
+   sky130_fd_sc_hd__nand3_1 g324322 (
+	.A(n_12536),
+	.B(n_12534),
 	.C(n_12213),
 	.Y(n_12930), 
 	.VPWR(vccd1), 
@@ -62694,7 +59496,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g324337 (
+   sky130_fd_sc_hd__nor2_1 g324337 (
 	.A(n_15950),
 	.B(n_12718),
 	.Y(n_12915), 
@@ -62795,7 +59597,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_2 g324348 (
-	.A(FE_PSN4778_n_12419),
+	.A(n_12419),
 	.B(n_12417),
 	.C(n_12131),
 	.Y(n_12904), 
@@ -62812,9 +59614,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g324350 (
-	.A(FE_PSN4776_n_12413),
-	.B(FE_PSN4816_n_12412),
+   sky130_fd_sc_hd__nand3_1 g324350 (
+	.A(n_12413),
+	.B(n_12412),
 	.C(n_12128),
 	.Y(n_12902), 
 	.VPWR(vccd1), 
@@ -62843,7 +59645,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g324353 (
 	.A(n_12408),
-	.B(FE_COEN4268_n_12409),
+	.B(n_12409),
 	.C(n_12125),
 	.Y(n_12899), 
 	.VPWR(vccd1), 
@@ -62852,10 +59654,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324354 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[11]),
-	.A2(FE_OFN1079_n_12256),
-	.B1(FE_OFN18583_n_11552),
+	.A2(FE_OFN18286_n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
-	.C1(FE_OFN18586_n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
 	.Y(n_12898), 
 	.VPWR(vccd1), 
@@ -62864,10 +59666,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324355 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[22]),
-	.A2(FE_OFN1079_n_12256),
-	.B1(FE_OFN18583_n_11552),
+	.A2(FE_OFN18286_n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
-	.C1(FE_OFN18586_n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
 	.Y(n_12897), 
 	.VPWR(vccd1), 
@@ -62876,10 +59678,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324356 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[10]),
-	.A2(FE_PSN4644_FE_OFN1079_n_12256),
+	.A2(FE_OFN18286_n_12256),
 	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
-	.C1(n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
 	.Y(n_12896), 
 	.VPWR(vccd1), 
@@ -62887,11 +59689,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324357 (
-	.A1(FE_OFN19591_u_soc_u_top_u_core_rf_wdata_fwd_wb_21),
-	.A2(FE_OFN1079_n_12256),
-	.B1(FE_OFN18583_n_11552),
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.A2(FE_OFN18286_n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
-	.C1(FE_OFN18586_n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
 	.Y(n_12895), 
 	.VPWR(vccd1), 
@@ -62900,10 +59702,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324358 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[27]),
-	.A2(FE_OFN1079_n_12256),
-	.B1(FE_OFN18583_n_11552),
+	.A2(FE_OFN18286_n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
-	.C1(FE_OFN18586_n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
 	.Y(n_12894), 
 	.VPWR(vccd1), 
@@ -62911,18 +59713,18 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324359 (
-	.A1(FE_OFN18401_u_soc_u_top_u_core_rf_wdata_fwd_wb_20),
-	.A2(FE_OFN1079_n_12256),
-	.B1(FE_OFN18583_n_11552),
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.A2(n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
-	.C1(FE_OFN18586_n_11762),
+	.C1(n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
 	.Y(n_12893), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g324360 (
+   sky130_fd_sc_hd__and3_1 g324360 (
 	.A(n_12794),
 	.B(n_12531),
 	.C(n_11939),
@@ -62933,10 +59735,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324361 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[19]),
-	.A2(FE_OFN18549_n_12256),
-	.B1(FE_OFN18625_n_11552),
+	.A2(FE_OFN18286_n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
-	.C1(FE_OFN18587_n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
 	.Y(n_12891), 
 	.VPWR(vccd1), 
@@ -62945,10 +59747,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324362 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[26]),
-	.A2(FE_PSN4644_FE_OFN1079_n_12256),
+	.A2(FE_OFN18286_n_12256),
 	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
-	.C1(n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
 	.Y(n_12890), 
 	.VPWR(vccd1), 
@@ -62957,10 +59759,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324363 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[18]),
-	.A2(FE_OFN1079_n_12256),
-	.B1(FE_OFN18583_n_11552),
+	.A2(n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
-	.C1(FE_OFN18586_n_11762),
+	.C1(n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
 	.Y(n_12889), 
 	.VPWR(vccd1), 
@@ -62988,10 +59790,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324366 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[25]),
-	.A2(FE_OFN18549_n_12256),
+	.A2(FE_OFN18286_n_12256),
 	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
-	.C1(n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
 	.Y(n_12886), 
 	.VPWR(vccd1), 
@@ -63000,10 +59802,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324367 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[16]),
-	.A2(FE_OFN1079_n_12256),
-	.B1(FE_OFN18583_n_11552),
+	.A2(n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
-	.C1(FE_OFN18586_n_11762),
+	.C1(n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
 	.Y(n_12885), 
 	.VPWR(vccd1), 
@@ -63012,10 +59814,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324368 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[15]),
-	.A2(FE_OFN1079_n_12256),
-	.B1(FE_OFN18583_n_11552),
+	.A2(FE_OFN18286_n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
-	.C1(FE_OFN18586_n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
 	.Y(n_12884), 
 	.VPWR(vccd1), 
@@ -63024,10 +59826,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324369 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[29]),
-	.A2(FE_OFN18549_n_12256),
-	.B1(FE_OFN18625_n_11552),
+	.A2(FE_OFN18286_n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
-	.C1(FE_OFN18587_n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
 	.Y(n_12883), 
 	.VPWR(vccd1), 
@@ -63036,10 +59838,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324370 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[24]),
-	.A2(FE_OFN1079_n_12256),
-	.B1(FE_OFN18583_n_11552),
+	.A2(FE_OFN18286_n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
-	.C1(FE_OFN18586_n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
 	.Y(n_12882), 
 	.VPWR(vccd1), 
@@ -63048,34 +59850,22 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324371 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[14]),
-	.A2(FE_OFN18549_n_12256),
-	.B1(FE_OFN18625_n_11552),
+	.A2(FE_OFN18286_n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
-	.C1(FE_OFN18587_n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
 	.Y(n_12881), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g324372 (
-	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[13]),
-	.A2(FE_OFN18549_n_12256),
-	.B1(FE_OFN18625_n_11552),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
-	.C1(FE_OFN18587_n_11762),
-	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
-	.Y(n_12880), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324373 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[31]),
-	.A2(FE_OFN1079_n_12256),
-	.B1(FE_OFN18583_n_11552),
+	.A2(FE_OFN18286_n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
-	.C1(FE_OFN18586_n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
 	.Y(n_12879), 
 	.VPWR(vccd1), 
@@ -63084,10 +59874,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324374 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[23]),
-	.A2(FE_OFN18549_n_12256),
-	.B1(FE_OFN18625_n_11552),
+	.A2(FE_OFN18286_n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
-	.C1(FE_OFN18587_n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
 	.Y(n_12878), 
 	.VPWR(vccd1), 
@@ -63096,10 +59886,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324375 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[12]),
-	.A2(FE_OFN1079_n_12256),
-	.B1(FE_OFN18583_n_11552),
+	.A2(FE_OFN18286_n_12256),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
-	.C1(FE_OFN18586_n_11762),
+	.C1(FE_OFN18364_n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
 	.Y(n_12877), 
 	.VPWR(vccd1), 
@@ -63138,7 +59928,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g324379 (
 	.A(n_12377),
-	.B(FE_PSN4349_n_12550),
+	.B(n_12550),
 	.C(n_12678),
 	.Y(n_12873), 
 	.VPWR(vccd1), 
@@ -63182,7 +59972,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g324384 (
+   sky130_fd_sc_hd__nand3_1 g324384 (
 	.A(n_15965),
 	.B(n_12180),
 	.C(n_12181),
@@ -63261,10 +60051,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324392 (
-	.A1(FE_PSN4425_n_11761),
+   sky130_fd_sc_hd__a221oi_1 g324392 (
+	.A1(FE_OFN18607_n_15997),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
-	.B1(FE_OFN1071_n_11559),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
 	.C1(n_12769),
 	.Y(n_12860), 
@@ -63292,10 +60082,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g324395 (
-	.A1(FE_OFN1120_n_15995),
+   sky130_fd_sc_hd__a221oi_1 g324395 (
+	.A1(FE_OFN18474_n_15995),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
-	.B1(FE_OFN18317_n_15999),
+	.B1(FE_OFN18444_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
 	.C1(n_12720),
 	.Y(n_12857), 
@@ -63322,10 +60112,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g324398 (
-	.A1(n_11757),
+   sky130_fd_sc_hd__a221oi_1 g324398 (
+	.A1(FE_OFN18583_n),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
-	.B1(FE_PSN4647_n_11517),
+	.B1(FE_OFN18463_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
 	.C1(n_12751),
 	.Y(n_12854), 
@@ -63333,21 +60123,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324399 (
-	.A1(FE_OFN18475_n_11756),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
-	.B1(FE_OFN18642_n_11516),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
-	.C1(n_12750),
-	.Y(n_12853), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324400 (
-	.A1(FE_OFN18586_n_11762),
+   sky130_fd_sc_hd__a221oi_1 g324400 (
+	.A1(n_11762),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
-	.B1(FE_OFN18583_n_11552),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
 	.C1(n_12747),
 	.Y(n_12852), 
@@ -63365,9 +60144,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_2 g324402 (
-	.A1(n_11757),
+	.A1(FE_OFN18583_n),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
-	.B1(FE_PSN4647_n_11517),
+	.B1(FE_OFN18463_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
 	.C1(n_12738),
 	.Y(n_12850), 
@@ -63379,16 +60158,16 @@
 	.A(n_12365),
 	.B(n_12363),
 	.C(n_11705),
-	.D(FE_COEN4709_n_12063),
+	.D(n_12063),
 	.Y(n_12849), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g324404 (
-	.A1(n_11757),
+   sky130_fd_sc_hd__a221oi_1 g324404 (
+	.A1(FE_OFN18601_n),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
-	.B1(FE_OFN18561_n_11517),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
 	.C1(n_12734),
 	.Y(n_12848), 
@@ -63396,10 +60175,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324405 (
-	.A1(FE_OFN18475_n_11756),
+   sky130_fd_sc_hd__a221oi_1 g324405 (
+	.A1(FE_OFN18560_n_11756),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
-	.B1(FE_OFN18642_n_11516),
+	.B1(FE_OFN18365_n_11516),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
 	.C1(n_12733),
 	.Y(n_12847), 
@@ -63419,9 +60198,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g324407 (
 	.A(n_12332),
-	.B(n_12019),
+	.B(n_15966),
 	.C(n_11791),
-	.D(n_15966),
+	.D(n_12019),
 	.Y(n_12845), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -63467,12 +60246,22 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__nand4_1 g324413 (
+	.A(n_15974),
+	.B(n_12600),
+	.C(n_12162),
+	.D(n_15986),
+	.Y(n_12839), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324414 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[27]),
-	.A2(FE_OFN1588_n_15860),
-	.B1(FE_OFN18310_n_11515),
+	.A2(FE_OFN1658_n_15860),
+	.B1(FE_OFN18447_n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
-	.C1(FE_OFN18640_n_15996),
+	.C1(FE_OFN18622_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
 	.Y(n_12838), 
 	.VPWR(vccd1), 
@@ -63488,9 +60277,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324416 (
-	.A1(FE_OFN18589_n_11757),
+	.A1(FE_OFN18601_n),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
-	.B1(FE_OFN18475_n_11756),
+	.B1(FE_OFN19060_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
 	.C1(n_11944),
 	.Y(n_12836), 
@@ -63499,7 +60288,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_1 g324417 (
-	.A1(FE_OFN18640_n_15996),
+	.A1(n_11765),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
 	.B1_N(n_12558),
 	.Y(n_12835), 
@@ -63516,9 +60305,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324419 (
-	.A1(FE_OFN18559_n_15861),
+	.A1(FE_OFN18531_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
-	.B1(FE_OFN18587_n_11762),
+	.B1(FE_OFN18364_n_11762),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
 	.C1(n_11940),
 	.Y(n_12833), 
@@ -63527,7 +60316,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_1 g324420 (
-	.A1(FE_OFN1120_n_15995),
+	.A1(FE_OFN18474_n_15995),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
 	.B1_N(n_12537),
 	.Y(n_12832), 
@@ -63616,9 +60405,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324436 (
-	.A1(FE_OFN1120_n_15995),
+	.A1(FE_OFN18577_n_15995),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
-	.B1(FE_OFN18316_n_15999),
+	.B1(FE_OFN18555_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
 	.C1(n_12391),
 	.Y(n_12816), 
@@ -63626,21 +60415,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324437 (
-	.A1(FE_PSN4390_n_15995),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
-	.B1(n_15999),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
-	.C1(n_15976),
-	.Y(n_12815), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324438 (
-	.A1(FE_PSN4170_FE_OFN18454_n_15997),
+	.A1(FE_OFN18184_n_15997),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
 	.C1(n_12292),
 	.Y(n_12814), 
@@ -63649,18 +60427,18 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g324441 (
-	.A1(FE_OFN18381_n_11769),
+	.A1(FE_OFN18328_n_11769),
 	.A2(n_12257),
-	.B1(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31),
+	.B1(FE_OFN18163_u_soc_u_top_u_core_instr_rdata_id_31),
 	.Y(n_12811), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324442 (
-	.A1(FE_OFN18454_n_15997),
+	.A1(FE_OFN18607_n_15997),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
 	.C1(n_12400),
 	.Y(n_12810), 
@@ -63668,10 +60446,21 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g324444 (
-	.A1(FE_OFN1120_n_15995),
+   sky130_fd_sc_hd__a221oi_1 g324443 (
+	.A1(FE_OFN18493_n_15996),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
+	.B1(n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
+	.C1(n_12300),
+	.Y(n_12809), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324444 (
+	.A1(FE_OFN18577_n_15995),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
-	.B1(FE_OFN18317_n_15999),
+	.B1(FE_OFN18555_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
 	.C1(n_12291),
 	.Y(n_12808), 
@@ -63680,9 +60469,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324445 (
-	.A1(FE_PSN4390_n_15995),
+	.A1(FE_OFN18577_n_15995),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
-	.B1(FE_OFN18317_n_15999),
+	.B1(FE_OFN18555_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
 	.C1(n_12290),
 	.Y(n_12807), 
@@ -63691,9 +60480,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324446 (
-	.A1(FE_PSN4134_FE_OFN18552_n_15995),
+	.A1(FE_OFN18577_n_15995),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
-	.B1(FE_OFN18316_n_15999),
+	.B1(FE_OFN18555_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
 	.C1(n_12289),
 	.Y(n_12806), 
@@ -63702,10 +60491,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g324447 (
-	.A(n_11932),
+	.A(n_15981),
 	.B(n_11933),
 	.C(n_11773),
-	.D(n_15981),
+	.D(n_11932),
 	.Y(n_12805), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -63713,10 +60502,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324448 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[22]),
-	.A2(FE_OFN1588_n_15860),
-	.B1(FE_OFN18316_n_15999),
+	.A2(FE_OFN1658_n_15860),
+	.B1(FE_OFN18444_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
-	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C1(FE_OFN18474_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
 	.Y(n_12804), 
 	.VPWR(vccd1), 
@@ -63725,34 +60514,22 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324449 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[10]),
-	.A2(FE_OFN18471_n_15860),
-	.B1(FE_OFN18316_n_15999),
+	.A2(FE_OFN1658_n_15860),
+	.B1(FE_OFN18444_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
-	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C1(FE_OFN18474_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
 	.Y(n_12803), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g324450 (
-	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[9]),
-	.A2(FE_OFN18471_n_15860),
-	.B1(n_11515),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
-	.C1(FE_OFN18440_n_11765),
-	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
-	.Y(n_12802), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324451 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[21]),
-	.A2(FE_OFN1588_n_15860),
-	.B1(FE_OFN18316_n_15999),
+	.A2(FE_OFN1658_n_15860),
+	.B1(FE_OFN18444_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
-	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C1(FE_OFN18474_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
 	.Y(n_12801), 
 	.VPWR(vccd1), 
@@ -63761,10 +60538,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324452 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[8]),
-	.A2(FE_PSN4374_n_15860),
-	.B1(FE_OFN18317_n_15999),
+	.A2(FE_OFN18255_n),
+	.B1(n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
-	.C1(FE_PSN4390_n_15995),
+	.C1(FE_OFN18577_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
 	.Y(n_12800), 
 	.VPWR(vccd1), 
@@ -63781,10 +60558,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324454 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[30]),
-	.A2(FE_OFN18471_n_15860),
-	.B1(FE_OFN18310_n_11515),
+	.A2(FE_OFN18255_n),
+	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
-	.C1(FE_OFN18640_n_15996),
+	.C1(FE_OFN18493_n_15996),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
 	.Y(n_12798), 
 	.VPWR(vccd1), 
@@ -63793,10 +60570,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324455 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[7]),
-	.A2(FE_OFN1588_n_15860),
-	.B1(FE_OFN18316_n_15999),
+	.A2(n_15860),
+	.B1(n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
-	.C1(FE_OFN1120_n_15995),
+	.C1(n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
 	.Y(n_12797), 
 	.VPWR(vccd1), 
@@ -63805,22 +60582,34 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324456 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[6]),
-	.A2(FE_PSN4374_n_15860),
+	.A2(FE_OFN18255_n),
 	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
-	.C1(FE_OFN18440_n_11765),
+	.C1(FE_OFN18493_n_15996),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
 	.Y(n_12796), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__a222oi_1 g324457 (
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.A2(n_15860),
+	.B1(n_11515),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
+	.C1(n_11765),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
+	.Y(n_12795), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324458 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
-	.A2(FE_OFN18559_n_15861),
-	.B1(FE_OFN18272_n_15882),
+	.A2(n_15861),
+	.B1(n_15882),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
-	.C1(FE_OFN18587_n_11762),
+	.C1(n_11762),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
 	.Y(n_12794), 
 	.VPWR(vccd1), 
@@ -63829,10 +60618,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324459 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[19]),
-	.A2(FE_OFN18554_n_15860),
-	.B1(FE_OFN18317_n_15999),
+	.A2(FE_OFN1658_n_15860),
+	.B1(FE_OFN18444_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
-	.C1(FE_PSN4390_n_15995),
+	.C1(FE_OFN18474_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
 	.Y(n_12793), 
 	.VPWR(vccd1), 
@@ -63844,7 +60633,7 @@
 	.A2(n_15860),
 	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
-	.C1(FE_OFN18440_n_11765),
+	.C1(n_11765),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
 	.Y(n_12792), 
 	.VPWR(vccd1), 
@@ -63853,19 +60642,19 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324462 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[18]),
-	.A2(FE_OFN1588_n_15860),
-	.B1(FE_OFN18316_n_15999),
+	.A2(FE_OFN1658_n_15860),
+	.B1(FE_OFN18444_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
-	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C1(FE_OFN18474_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
 	.Y(n_12790), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o41ai_2 g324464 (
+   sky130_fd_sc_hd__o41ai_1 g324464 (
 	.A1(n_11140),
-	.A2(FE_COEN4680_n_11447),
+	.A2(n_11447),
 	.A3(n_11446),
 	.A4(n_11443),
 	.B1(n_12672),
@@ -63876,10 +60665,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324466 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[16]),
-	.A2(FE_OFN1588_n_15860),
-	.B1(FE_OFN18310_n_11515),
+	.A2(n_15860),
+	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
-	.C1(FE_OFN18640_n_15996),
+	.C1(n_11765),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
 	.Y(n_12786), 
 	.VPWR(vccd1), 
@@ -63888,10 +60677,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324467 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[31]),
-	.A2(FE_OFN1588_n_15860),
-	.B1(FE_OFN18316_n_15999),
+	.A2(FE_OFN1658_n_15860),
+	.B1(FE_OFN18444_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
-	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C1(FE_OFN18474_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
 	.Y(n_12785), 
 	.VPWR(vccd1), 
@@ -63899,7 +60688,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324468 (
-	.A1(FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19),
+	.A1(FE_OFN845_u_soc_u_top_u_core_instr_rdata_id_19),
 	.A2(n_11771),
 	.B1(n_15862),
 	.B2(u_soc_u_top_u_core_pc_id[4]),
@@ -63911,7 +60700,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324469 (
-	.A1(FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18),
+	.A1(FE_OFN18274_u_soc_u_top_u_core_instr_rdata_id_18),
 	.A2(n_11771),
 	.B1(n_15862),
 	.B2(u_soc_u_top_u_core_pc_id[3]),
@@ -63923,7 +60712,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324470 (
-	.A1(FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17),
+	.A1(u_soc_u_top_u_core_instr_rdata_id[17]),
 	.A2(n_11771),
 	.B1(n_15862),
 	.B2(u_soc_u_top_u_core_pc_id[2]),
@@ -63945,10 +60734,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324472 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[15]),
-	.A2(FE_OFN18471_n_15860),
-	.B1(FE_OFN18316_n_15999),
+	.A2(FE_OFN1658_n_15860),
+	.B1(FE_OFN18444_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
-	.C1(FE_OFN1120_n_15995),
+	.C1(FE_OFN18474_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
 	.Y(n_12780), 
 	.VPWR(vccd1), 
@@ -63957,10 +60746,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324473 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[24]),
-	.A2(FE_OFN1588_n_15860),
-	.B1(FE_OFN18316_n_15999),
+	.A2(FE_OFN1658_n_15860),
+	.B1(FE_OFN18444_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
-	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C1(FE_OFN18474_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
 	.Y(n_12779), 
 	.VPWR(vccd1), 
@@ -63969,10 +60758,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324474 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[14]),
-	.A2(FE_OFN18554_n_15860),
-	.B1(FE_OFN18317_n_15999),
+	.A2(FE_OFN18255_n),
+	.B1(FE_OFN18555_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
-	.C1(FE_PSN4390_n_15995),
+	.C1(FE_OFN18577_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
 	.Y(n_12778), 
 	.VPWR(vccd1), 
@@ -63981,10 +60770,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324475 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[13]),
-	.A2(FE_OFN18554_n_15860),
+	.A2(FE_OFN18255_n),
 	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
-	.C1(FE_OFN18440_n_11765),
+	.C1(FE_OFN18493_n_15996),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
 	.Y(n_12777), 
 	.VPWR(vccd1), 
@@ -63993,10 +60782,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324476 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[23]),
-	.A2(FE_OFN18554_n_15860),
+	.A2(FE_OFN18255_n),
 	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
-	.C1(FE_OFN18440_n_11765),
+	.C1(FE_OFN18493_n_15996),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
 	.Y(n_12776), 
 	.VPWR(vccd1), 
@@ -64004,45 +60793,33 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324477 (
-	.A1(FE_OFN19623_u_soc_u_top_u_core_rf_wdata_fwd_wb_28),
-	.A2(FE_OFN1588_n_15860),
-	.B1(FE_OFN18310_n_11515),
+	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.A2(FE_OFN18255_n),
+	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
-	.C1(FE_OFN18640_n_15996),
+	.C1(FE_OFN18493_n_15996),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
 	.Y(n_12775), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g324478 (
-	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[12]),
-	.A2(FE_OFN18471_n_15860),
-	.B1(FE_OFN18316_n_15999),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
-	.C1(FE_OFN1120_n_15995),
-	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
-	.Y(n_12774), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324479 (
 	.A1(u_soc_u_top_u_core_rf_wdata_fwd_wb[11]),
-	.A2(FE_OFN18471_n_15860),
-	.B1(FE_OFN18310_n_11515),
+	.A2(FE_OFN1658_n_15860),
+	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
-	.C1(FE_OFN18640_n_15996),
+	.C1(n_11765),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
 	.Y(n_12773), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324480 (
-	.A1(FE_OFN18579_n_15859),
+   sky130_fd_sc_hd__a221oi_1 g324480 (
+	.A1(FE_OFN18516_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
-	.B1(FE_OFN18422_n_11555),
+	.B1(FE_OFN18572_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
 	.C1(n_12663),
 	.Y(n_12772), 
@@ -64050,21 +60827,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324481 (
-	.A1(FE_OFN18570_n_15858),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
-	.B1(FE_OFN18604_n_11479),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
-	.C1(n_12662),
-	.Y(n_12771), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324482 (
-	.A1(FE_OFN1111_n_15859),
+	.A1(FE_OFN18515_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
-	.B1(FE_OFN1067_n_11555),
+	.B1(FE_OFN18522_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
 	.C1(n_12661),
 	.Y(n_12770), 
@@ -64082,9 +60848,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324484 (
-	.A1(FE_PSN4838_FE_PSBN19868_n_16000),
+	.A1(FE_OFN18497_n_16000),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
-	.B1(FE_OFN1076_n_11754),
+	.B1(FE_OFN18512_n_11754),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
 	.C1(n_12265),
 	.Y(n_12768), 
@@ -64093,9 +60859,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324485 (
-	.A1(FE_OFN1110_n_15858),
+	.A1(FE_OFN18367_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
-	.B1(FE_OFN1058_n_11479),
+	.B1(FE_OFN18523_n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
 	.C1(n_12660),
 	.Y(n_12767), 
@@ -64104,9 +60870,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324486 (
-	.A1(FE_OFN1111_n_15859),
+	.A1(FE_OFN18516_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
-	.B1(FE_OFN1067_n_11555),
+	.B1(FE_OFN18572_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
 	.C1(n_12659),
 	.Y(n_12766), 
@@ -64115,9 +60881,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324487 (
-	.A1(FE_OFN1110_n_15858),
+	.A1(FE_OFN18502_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
-	.B1(FE_OFN1058_n_11479),
+	.B1(FE_OFN18529_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
 	.C1(n_12658),
 	.Y(n_12765), 
@@ -64126,9 +60892,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324488 (
-	.A1(FE_OFN1111_n_15859),
+	.A1(FE_OFN18516_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
-	.B1(FE_OFN1067_n_11555),
+	.B1(FE_OFN18572_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
 	.C1(n_12657),
 	.Y(n_12764), 
@@ -64137,9 +60903,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_2 g324489 (
-	.A1(FE_OFN1110_n_15858),
+	.A1(FE_OFN18502_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
-	.B1(FE_OFN1058_n_11479),
+	.B1(FE_OFN18529_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
 	.C1(n_12656),
 	.Y(n_12763), 
@@ -64148,9 +60914,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324490 (
-	.A1(n_11563),
+	.A1(FE_OFN18464_n_11563),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
-	.B1(FE_OFN18600_n_15998),
+	.B1(n_11753),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
 	.C1(n_12264),
 	.Y(n_12762), 
@@ -64167,15 +60933,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g324493 (
-	.A(FE_PSN4858_n_15982),
-	.B(n_12330),
-	.C(n_11843),
-	.Y(n_12759), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g324494 (
 	.A(n_12393),
 	.B(n_12087),
@@ -64185,10 +60942,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324495 (
-	.A1(FE_OFN18579_n_15859),
+   sky130_fd_sc_hd__a221oi_1 g324495 (
+	.A1(FE_OFN18516_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
-	.B1(FE_OFN18422_n_11555),
+	.B1(FE_OFN18572_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
 	.C1(n_12655),
 	.Y(n_12757), 
@@ -64196,10 +60953,21 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g324497 (
-	.A1(n_11753),
+   sky130_fd_sc_hd__a221oi_1 g324496 (
+	.A1(FE_OFN18502_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
+	.B1(FE_OFN18529_n),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
+	.C1(n_12654),
+	.Y(n_12756), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324497 (
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
-	.B1(n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
 	.C1(n_12652),
 	.Y(n_12755), 
@@ -64207,10 +60975,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324498 (
-	.A1(FE_OFN18597_n_11754),
+   sky130_fd_sc_hd__a221oi_1 g324498 (
+	.A1(n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
-	.B1(FE_OFN1068_n_11556),
+	.B1(FE_OFN18448_n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
 	.C1(n_12651),
 	.Y(n_12754), 
@@ -64219,9 +60987,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324499 (
-	.A1(FE_OFN1111_n_15859),
+	.A1(FE_OFN18516_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
-	.B1(FE_OFN1067_n_11555),
+	.B1(FE_OFN18572_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
 	.C1(n_12650),
 	.Y(n_12753), 
@@ -64229,10 +60997,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324500 (
-	.A1(FE_OFN1110_n_15858),
+   sky130_fd_sc_hd__a221oi_1 g324500 (
+	.A1(FE_OFN18367_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
-	.B1(FE_OFN1058_n_11479),
+	.B1(FE_OFN18523_n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
 	.C1(n_12649),
 	.Y(n_12752), 
@@ -64240,7 +61008,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g324501 (
+   sky130_fd_sc_hd__nand3_1 g324501 (
 	.A(n_12385),
 	.B(n_12083),
 	.C(n_11857),
@@ -64258,10 +61026,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324503 (
-	.A1(FE_PSN4432_n_11754),
+   sky130_fd_sc_hd__a221oi_1 g324503 (
+	.A1(n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
-	.B1(FE_OFN18483_n_11556),
+	.B1(n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
 	.C1(n_12648),
 	.Y(n_12749), 
@@ -64269,10 +61037,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324504 (
-	.A1(FE_OFN18600_n_15998),
+   sky130_fd_sc_hd__a221oi_1 g324504 (
+	.A1(FE_OFN18543_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
-	.B1(FE_OFN18567_n_11513),
+	.B1(n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
 	.C1(n_12647),
 	.Y(n_12748), 
@@ -64290,9 +61058,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324506 (
-	.A1(FE_OFN1111_n_15859),
+	.A1(FE_OFN18516_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
-	.B1(FE_OFN1067_n_11555),
+	.B1(FE_OFN18572_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
 	.C1(n_12646),
 	.Y(n_12746), 
@@ -64310,9 +61078,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324508 (
-	.A1(FE_OFN1110_n_15858),
+	.A1(FE_OFN18502_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
-	.B1(FE_OFN1058_n_11479),
+	.B1(FE_OFN18529_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
 	.C1(n_12644),
 	.Y(n_12744), 
@@ -64329,9 +61097,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g324510 (
+   sky130_fd_sc_hd__nand3_1 g324510 (
 	.A(n_12371),
-	.B(FE_PSN4784_n_12068),
+	.B(n_12068),
 	.C(n_11838),
 	.Y(n_12742), 
 	.VPWR(vccd1), 
@@ -64339,9 +61107,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324511 (
-	.A1(FE_OFN1110_n_15858),
+	.A1(n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
-	.B1(FE_OFN1058_n_11479),
+	.B1(n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
 	.C1(n_12643),
 	.Y(n_12741), 
@@ -64349,9 +61117,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g324512 (
+   sky130_fd_sc_hd__nand3_1 g324512 (
 	.A(n_12369),
-	.B(FE_PSN4183_n_12067),
+	.B(n_12067),
 	.C(n_11833),
 	.Y(n_12740), 
 	.VPWR(vccd1), 
@@ -64377,9 +61145,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_2 g324515 (
-	.A1(FE_PSN4422_n_11763),
+	.A1(n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
-	.B1(FE_OFN18480_n_11558),
+	.B1(FE_OFN18629_n_11558),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
 	.C1(n_12642),
 	.Y(n_12737), 
@@ -64396,8 +61164,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g324517 (
-	.A1(FE_OFN18600_n_15998),
+   sky130_fd_sc_hd__a221oi_1 g324517 (
+	.A1(FE_OFN18543_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
 	.B1(n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
@@ -64407,7 +61175,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g324518 (
+   sky130_fd_sc_hd__nand3_1 g324518 (
 	.A(n_12359),
 	.B(n_15978),
 	.C(n_11822),
@@ -64434,10 +61202,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324521 (
-	.A1(FE_OFN18570_n_15858),
+   sky130_fd_sc_hd__a221oi_1 g324521 (
+	.A1(FE_OFN18367_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
-	.B1(FE_OFN18604_n_11479),
+	.B1(FE_OFN18523_n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
 	.C1(n_12638),
 	.Y(n_12731), 
@@ -64445,7 +61213,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324522 (
+   sky130_fd_sc_hd__a221oi_1 g324522 (
 	.A1(n_11762),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
 	.B1(n_11552),
@@ -64456,10 +61224,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324523 (
-	.A1(FE_PSN4836_n_15861),
+   sky130_fd_sc_hd__a221oi_1 g324523 (
+	.A1(n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(n_15882),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
 	.C1(n_12635),
 	.Y(n_12729), 
@@ -64476,8 +61244,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_4 g324525 (
-	.A1(FE_PSN4406_n_11761),
+   sky130_fd_sc_hd__a21oi_1 g324525 (
+	.A1(FE_OFN18607_n_15997),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
 	.B1(n_15956),
 	.Y(n_12727), 
@@ -64486,9 +61254,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324526 (
-	.A1(FE_OFN1110_n_15858),
+	.A1(FE_OFN18367_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
-	.B1(FE_OFN1058_n_11479),
+	.B1(FE_OFN18523_n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
 	.C1(n_12636),
 	.Y(n_12726), 
@@ -64497,9 +61265,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324527 (
-	.A1(FE_OFN1111_n_15859),
+	.A1(FE_OFN18515_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
-	.B1(FE_OFN1067_n_11555),
+	.B1(FE_OFN18522_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
 	.C1(n_12631),
 	.Y(n_12725), 
@@ -64507,8 +61275,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g324528 (
-	.A1(FE_OFN18549_n_12256),
+   sky130_fd_sc_hd__a21oi_1 g324528 (
+	.A1(FE_OFN18286_n_12256),
 	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[3]),
 	.B1(n_12630),
 	.Y(n_12724), 
@@ -64517,9 +61285,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324529 (
-	.A1(FE_OFN1110_n_15858),
+	.A1(FE_OFN18367_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
-	.B1(FE_OFN1058_n_11479),
+	.B1(FE_OFN18523_n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
 	.C1(n_12628),
 	.Y(n_12723), 
@@ -64528,9 +61296,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324530 (
-	.A1(FE_OFN1111_n_15859),
+	.A1(n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
-	.B1(FE_OFN1067_n_11555),
+	.B1(n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
 	.C1(n_12627),
 	.Y(n_12722), 
@@ -64539,9 +61307,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324531 (
-	.A1(FE_OFN1110_n_15858),
+	.A1(n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
-	.B1(FE_OFN1058_n_11479),
+	.B1(n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
 	.C1(n_12626),
 	.Y(n_12721), 
@@ -64559,9 +61327,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324533 (
-	.A1(n_11763),
+	.A1(FE_OFN18631_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
-	.B1(n_11518),
+	.B1(FE_OFN18217_n_11518),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
 	.C1(n_12079),
 	.Y(n_12719), 
@@ -64579,9 +61347,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324535 (
-	.A1(FE_OFN1111_n_15859),
+	.A1(FE_OFN18516_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
-	.B1(FE_OFN1067_n_11555),
+	.B1(FE_OFN18572_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
 	.C1(n_12623),
 	.Y(n_12717), 
@@ -64590,9 +61358,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324536 (
-	.A1(FE_PSBN19875_n_15858),
+	.A1(FE_OFN18502_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
-	.B1(FE_PSN4632_n_11479),
+	.B1(FE_OFN18529_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
 	.C1(n_12621),
 	.Y(n_12716), 
@@ -64601,9 +61369,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324537 (
-	.A1(FE_OFN18599_n_15998),
+	.A1(FE_OFN18543_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
-	.B1(FE_OFN18567_n_11513),
+	.B1(n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
 	.C1(n_12620),
 	.Y(n_12715), 
@@ -64612,9 +61380,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324538 (
-	.A1(FE_OFN1078_n_11763),
+	.A1(n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
-	.B1(FE_OFN1070_n_11558),
+	.B1(FE_OFN18629_n_11558),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
 	.C1(n_12619),
 	.Y(n_12714), 
@@ -64623,9 +61391,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324539 (
-	.A1(FE_OFN18579_n_15859),
+	.A1(FE_OFN18515_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
-	.B1(FE_OFN18422_n_11555),
+	.B1(FE_OFN18522_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
 	.C1(n_12618),
 	.Y(n_12713), 
@@ -64634,9 +61402,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324540 (
-	.A1(FE_OFN18570_n_15858),
+	.A1(FE_OFN18367_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
-	.B1(FE_OFN18604_n_11479),
+	.B1(FE_OFN18523_n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
 	.C1(n_12617),
 	.Y(n_12712), 
@@ -64654,9 +61422,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324542 (
-	.A1(FE_OFN18551_n_11518),
+	.A1(FE_OFN18217_n_11518),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
-	.B1(FE_PSN4422_n_11763),
+	.B1(FE_OFN18561_n_11763),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
 	.C1(n_12077),
 	.Y(n_12710), 
@@ -64674,9 +61442,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324544 (
-	.A1(FE_OFN1110_n_15858),
+	.A1(FE_OFN18502_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
-	.B1(FE_OFN1058_n_11479),
+	.B1(FE_OFN18529_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
 	.C1(n_12614),
 	.Y(n_12708), 
@@ -64685,9 +61453,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324545 (
-	.A1(FE_OFN18570_n_15858),
+	.A1(FE_OFN18367_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
-	.B1(FE_OFN18604_n_11479),
+	.B1(FE_OFN18523_n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
 	.C1(n_12615),
 	.Y(n_12707), 
@@ -64732,9 +61500,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324550 (
-	.A1(FE_OFN1111_n_15859),
+	.A1(FE_OFN18516_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
-	.B1(FE_OFN1067_n_11555),
+	.B1(FE_OFN18572_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
 	.C1(n_12613),
 	.Y(n_12702), 
@@ -64752,9 +61520,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324552 (
-	.A1(FE_OFN1110_n_15858),
+	.A1(FE_OFN18502_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
-	.B1(FE_OFN1058_n_11479),
+	.B1(FE_OFN18529_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
 	.C1(n_12612),
 	.Y(n_12700), 
@@ -64762,6 +61530,17 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_2 g324553 (
+	.A1(FE_OFN18367_n_15858),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
+	.B1(FE_OFN18523_n_11479),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
+	.C1(n_12611),
+	.Y(n_12699), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g324554 (
 	.A(n_12287),
 	.B(n_11968),
@@ -64789,10 +61568,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324557 (
-	.A1(FE_OFN18570_n_15858),
+   sky130_fd_sc_hd__a221oi_1 g324557 (
+	.A1(FE_OFN18367_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
-	.B1(FE_OFN18604_n_11479),
+	.B1(FE_OFN18523_n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
 	.C1(n_12610),
 	.Y(n_12695), 
@@ -64827,10 +61606,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324561 (
-	.A1(FE_OFN18570_n_15858),
+   sky130_fd_sc_hd__a221oi_1 g324561 (
+	.A1(FE_OFN18367_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
-	.B1(FE_OFN18604_n_11479),
+	.B1(FE_OFN18523_n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
 	.C1(n_12608),
 	.Y(n_12691), 
@@ -64847,10 +61626,21 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324564 (
-	.A1(FE_OFN1110_n_15858),
+   sky130_fd_sc_hd__a221oi_1 g324563 (
+	.A1(FE_OFN18516_n_15859),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
+	.B1(FE_OFN18572_n_11555),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
+	.C1(n_12607),
+	.Y(n_12689), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__a221oi_1 g324564 (
+	.A1(FE_OFN18367_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
-	.B1(FE_OFN1058_n_11479),
+	.B1(FE_OFN18523_n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
 	.C1(n_12606),
 	.Y(n_12688), 
@@ -64876,7 +61666,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g324567 (
+   sky130_fd_sc_hd__nand3_1 g324567 (
 	.A(n_12033),
 	.B(n_11936),
 	.C(n_12194),
@@ -64885,17 +61675,17 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g324568 (
-	.A1(FE_OFN18549_n_12256),
+   sky130_fd_sc_hd__a22oi_1 g324568 (
+	.A1(n_12256),
 	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[5]),
-	.B1(FE_OFN18537_n_15857),
+	.B1(n_15857),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
 	.Y(n_12684), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g324569 (
+   sky130_fd_sc_hd__a21oi_1 g324569 (
 	.A1(n_12263),
 	.A2(u_soc_u_top_u_core_instr_rdata_id[9]),
 	.B1(n_12666),
@@ -64905,15 +61695,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324571 (
-	.A(FE_OFN1079_n_12256),
-	.B(FE_OFN19623_u_soc_u_top_u_core_rf_wdata_fwd_wb_28),
+	.A(FE_OFN18286_n_12256),
+	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[28]),
 	.Y(n_12681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324572 (
-	.A(FE_OFN18549_n_12256),
+	.A(FE_OFN18286_n_12256),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[9]),
 	.Y(n_12680), 
 	.VPWR(vccd1), 
@@ -64921,7 +61711,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324573 (
-	.A(FE_OFN18549_n_12256),
+	.A(FE_OFN18286_n_12256),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[8]),
 	.Y(n_12679), 
 	.VPWR(vccd1), 
@@ -64929,7 +61719,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324574 (
-	.A(FE_OFN1079_n_12256),
+	.A(n_12256),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[7]),
 	.Y(n_12678), 
 	.VPWR(vccd1), 
@@ -64937,7 +61727,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324575 (
-	.A(FE_PSN4783_n_12256),
+	.A(n_12256),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[0]),
 	.Y(n_12677), 
 	.VPWR(vccd1), 
@@ -64945,7 +61735,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324576 (
-	.A(FE_OFN18549_n_12256),
+	.A(FE_OFN18286_n_12256),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[6]),
 	.Y(n_12676), 
 	.VPWR(vccd1), 
@@ -64953,7 +61743,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324577 (
-	.A(FE_PSN4783_n_12256),
+	.A(n_12256),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[4]),
 	.Y(n_12675), 
 	.VPWR(vccd1), 
@@ -64961,7 +61751,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324578 (
-	.A(FE_OFN1079_n_12256),
+	.A(FE_OFN18286_n_12256),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[30]),
 	.Y(n_12674), 
 	.VPWR(vccd1), 
@@ -64969,7 +61759,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324579 (
-	.A(FE_PSN4783_n_12256),
+	.A(n_12256),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[2]),
 	.Y(n_12673), 
 	.VPWR(vccd1), 
@@ -64985,7 +61775,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324581 (
-	.A(FE_PSN4783_n_12256),
+	.A(n_12256),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[1]),
 	.Y(n_12671), 
 	.VPWR(vccd1), 
@@ -64993,7 +61783,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324583 (
-	.A(FE_OFN1079_n_12256),
+	.A(FE_OFN18286_n_12256),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[17]),
 	.Y(n_12669), 
 	.VPWR(vccd1), 
@@ -65009,7 +61799,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g324586 (
-	.A(FE_PSN4467_n_11020),
+	.A(n_11020),
 	.B(n_12262),
 	.Y(n_12666), 
 	.VPWR(vccd1), 
@@ -65018,10 +61808,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324587 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
-	.A2(FE_OFN1068_n_11556),
-	.B1(n_11515),
+	.A2(FE_OFN18448_n_11556),
+	.B1(FE_OFN18447_n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
-	.C1(FE_OFN18440_n_11765),
+	.C1(FE_OFN18622_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
 	.Y(n_12665), 
 	.VPWR(vccd1), 
@@ -65117,9 +61907,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324599 (
-	.A1(FE_OFN1059_n_11480),
+	.A1(FE_OFN18437_n_11480),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
-	.B1(FE_OFN1063_n_11518),
+	.B1(FE_OFN18217_n_11518),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
 	.C1(n_11646),
 	.Y(n_12653), 
@@ -65183,8 +61973,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 g324607 (
-	.A1(FE_OFN1066_n_11554),
+   sky130_fd_sc_hd__a21boi_1 g324607 (
+	.A1(n_11554),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
 	.B1_N(n_12075),
 	.Y(n_12645), 
@@ -65216,7 +62006,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g324611 (
+   sky130_fd_sc_hd__nand2_1 g324611 (
 	.A(n_12058),
 	.B(n_11828),
 	.Y(n_12641), 
@@ -65225,9 +62015,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324612 (
-	.A1(FE_PSN4361_n_11476),
+	.A1(FE_OFN18476_n_11476),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
-	.B1(FE_OFN18641_n_11563),
+	.B1(FE_OFN18465_n_11563),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
 	.C1(n_11648),
 	.Y(n_12640), 
@@ -65235,10 +62025,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_4 g324613 (
+   sky130_fd_sc_hd__a221oi_2 g324613 (
 	.A1(n_11563),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
-	.B1(FE_PSN4635_n_11476),
+	.B1(n_11476),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
 	.C1(n_11651),
 	.Y(n_12639), 
@@ -65271,9 +62061,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324619 (
-	.A1(FE_PSBN19868_n_16000),
+	.A1(FE_OFN18497_n_16000),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
-	.B1(FE_OFN18631_n),
+	.B1(FE_OFN19030_n_11561),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
 	.C1(n_11654),
 	.Y(n_12633), 
@@ -65282,7 +62072,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324620 (
-	.A1(FE_PSN4368_n_11553),
+	.A1(FE_OFN18352_n_11553),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
 	.B1(n_11471),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
@@ -65341,17 +62131,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324628 (
-	.A1(FE_PSN4368_n_11553),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
-	.B1(n_11471),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
-	.C1(n_15993),
-	.Y(n_12624), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324629 (
 	.A(n_12009),
 	.B(n_11777),
@@ -65377,7 +62156,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324633 (
-	.A(FE_PSN4472_n_12000),
+	.A(n_12000),
 	.B(n_11739),
 	.Y(n_12619), 
 	.VPWR(vccd1), 
@@ -65401,9 +62180,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324636 (
-	.A1(FE_OFN18437_n_11563),
+	.A1(FE_OFN18465_n_11563),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
-	.B1(FE_OFN18493_n_11476),
+	.B1(FE_OFN18476_n_11476),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
 	.C1(n_11662),
 	.Y(n_12616), 
@@ -65459,10 +62238,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g324643 (
-	.A1(FE_OFN18641_n_11563),
+   sky130_fd_sc_hd__a221oi_1 g324643 (
+	.A1(FE_OFN18465_n_11563),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
-	.B1(FE_OFN18494_n_11476),
+	.B1(FE_OFN18476_n_11476),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
 	.C1(n_11667),
 	.Y(n_12609), 
@@ -65495,9 +62274,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324647 (
-	.A1(FE_OFN1059_n_11480),
+	.A1(FE_OFN18437_n_11480),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
-	.B1(FE_OFN18551_n_11518),
+	.B1(FE_OFN18217_n_11518),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
 	.C1(n_11668),
 	.Y(n_12605), 
@@ -65506,9 +62285,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g324648 (
-	.A1(FE_PSN4805_FE_PSBN19868_n_16000),
+	.A1(FE_OFN18497_n_16000),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
-	.B1(FE_OFN18631_n),
+	.B1(FE_OFN19030_n_11561),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
 	.C1(n_11671),
 	.Y(n_12604), 
@@ -65532,7 +62311,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g324652 (
+   sky130_fd_sc_hd__nor2_1 g324652 (
 	.A(n_11909),
 	.B(n_11908),
 	.Y(n_12600), 
@@ -65542,10 +62321,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324653 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN18601_n_11564),
+	.A2(FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
-	.C1(FE_OFN1075_n_11751),
+	.C1(FE_OFN18612_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
 	.Y(n_12599), 
 	.VPWR(vccd1), 
@@ -65554,10 +62333,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324654 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
-	.A2(FE_OFN1062_n_11516),
-	.B1(FE_OFN18560_n_11517),
+	.A2(n_11516),
+	.B1(FE_OFN18463_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
-	.C1(FE_OFN1077_n_11756),
+	.C1(FE_OFN18560_n_11756),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
 	.Y(n_12598), 
 	.VPWR(vccd1), 
@@ -65566,10 +62345,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324655 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.A2(FE_OFN18587_n),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
-	.C1(FE_OFN1069_n_11557),
+	.C1(FE_OFN18585_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
 	.Y(n_12597), 
 	.VPWR(vccd1), 
@@ -65578,10 +62357,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324656 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
-	.A2(FE_PSN4143_FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(FE_OFN18580_n),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
-	.C1(FE_OFN1064_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
 	.Y(n_12596), 
 	.VPWR(vccd1), 
@@ -65590,10 +62369,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324657 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
-	.A2(FE_OFN1056_n_11475),
-	.B1(FE_OFN18306_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
-	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C1(FE_OFN19063_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
 	.Y(n_12595), 
 	.VPWR(vccd1), 
@@ -65602,10 +62381,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324658 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
-	.A2(FE_OFN18483_n_11556),
-	.B1(FE_OFN18310_n_11515),
+	.A2(FE_OFN18623_n),
+	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
-	.C1(FE_OFN18640_n_15996),
+	.C1(n_11765),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
 	.Y(n_12594), 
 	.VPWR(vccd1), 
@@ -65614,10 +62393,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324659 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
-	.A2(FE_OFN18453_n_11425),
-	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.A2(n_11425),
+	.B1(n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
-	.C1(FE_OFN18290_n_11557),
+	.C1(n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
 	.Y(n_12593), 
 	.VPWR(vccd1), 
@@ -65626,10 +62405,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324660 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
-	.A2(FE_OFN1062_n_11516),
-	.B1(FE_OFN18560_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
-	.C1(FE_OFN18588_n_11757),
+	.C1(FE_OFN18601_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
 	.Y(n_12592), 
 	.VPWR(vccd1), 
@@ -65638,10 +62417,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324661 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN1073_n_11564),
+	.A2(FE_PSN3917_n_11478),
+	.B1(n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
-	.C1(FE_OFN18450_n_11751),
+	.C1(FE_OFN19057_n_11751),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
 	.Y(n_12591), 
 	.VPWR(vccd1), 
@@ -65650,10 +62429,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324662 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
-	.A2(FE_OFN1062_n_11516),
-	.B1(FE_OFN18560_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
-	.C1(FE_OFN18588_n_11757),
+	.C1(FE_OFN18601_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
 	.Y(n_12590), 
 	.VPWR(vccd1), 
@@ -65662,10 +62441,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324663 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
-	.A2(FE_COEN4317_n_11475),
-	.B1(FE_OFN18306_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
-	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
 	.Y(n_12589), 
 	.VPWR(vccd1), 
@@ -65674,10 +62453,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324664 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
-	.A2(FE_PSN4143_FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
-	.C1(FE_OFN1064_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
 	.Y(n_12588), 
 	.VPWR(vccd1), 
@@ -65686,10 +62465,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324665 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
-	.A2(FE_PSN4143_FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
-	.C1(FE_COEN4306_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
 	.Y(n_12587), 
 	.VPWR(vccd1), 
@@ -65698,10 +62477,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324666 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
-	.A2(FE_COEN4317_n_11475),
-	.B1(FE_OFN18306_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
-	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
 	.Y(n_12586), 
 	.VPWR(vccd1), 
@@ -65710,10 +62489,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324667 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
-	.A2(FE_PSN4477_FE_COEN4317_n_11475),
-	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.A2(n_11475),
+	.B1(n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
-	.C1(FE_OFN18553_n_15856),
+	.C1(n_15856),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
 	.Y(n_12585), 
 	.VPWR(vccd1), 
@@ -65722,10 +62501,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324668 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
-	.A2(FE_PSN4485_FE_OFN18425_n_15868),
-	.B1(FE_OFN18521_n_11473),
+	.A2(n_15868),
+	.B1(n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
-	.C1(FE_PSN4641_FE_OFN18542_n_11550),
+	.C1(n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
 	.Y(n_12584), 
 	.VPWR(vccd1), 
@@ -65734,7 +62513,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324669 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
-	.A2(n_11513),
+	.A2(FE_OFN18442_n_11513),
 	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
 	.C1(n_11761),
@@ -65746,10 +62525,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324670 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN18601_n_11564),
+	.A2(FE_PSN3917_n_11478),
+	.B1(n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
-	.C1(FE_OFN1075_n_11751),
+	.C1(FE_OFN19057_n_11751),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
 	.Y(n_12582), 
 	.VPWR(vccd1), 
@@ -65758,10 +62537,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324671 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
-	.A2(FE_PSN4355_n_11478),
-	.B1(n_11564),
+	.A2(n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
-	.C1(FE_PSN4451_n_11751),
+	.C1(FE_OFN18477_n_11751),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
 	.Y(n_12581), 
 	.VPWR(vccd1), 
@@ -65770,10 +62549,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324672 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
-	.A2(FE_OFN18483_n_11556),
-	.B1(FE_OFN18310_n_11515),
+	.A2(FE_OFN18448_n_11556),
+	.B1(FE_OFN18447_n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
-	.C1(FE_OFN18640_n_15996),
+	.C1(FE_OFN18622_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
 	.Y(n_12580), 
 	.VPWR(vccd1), 
@@ -65782,10 +62561,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324673 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
-	.A2(FE_PSN4357_n_11425),
-	.B1(FE_PSN4438_FE_COEN4311_n_11474),
+	.A2(FE_OFN18587_n),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
-	.C1(FE_PSN4259_n_11557),
+	.C1(FE_OFN18458_n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
 	.Y(n_12579), 
 	.VPWR(vccd1), 
@@ -65794,10 +62573,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324674 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
-	.A2(FE_OFN18316_n_15999),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.A2(FE_OFN18444_n_15999),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
-	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C1(FE_OFN18474_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
 	.Y(n_12578), 
 	.VPWR(vccd1), 
@@ -65806,10 +62585,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324675 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.A2(FE_OFN18587_n),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
-	.C1(FE_OFN1069_n_11557),
+	.C1(FE_OFN18585_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
 	.Y(n_12577), 
 	.VPWR(vccd1), 
@@ -65818,10 +62597,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324676 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
-	.A2(FE_OFN18642_n_11516),
-	.B1(FE_OFN18561_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
-	.C1(FE_OFN18475_n_11756),
+	.C1(FE_OFN19060_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
 	.Y(n_12576), 
 	.VPWR(vccd1), 
@@ -65830,10 +62609,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324677 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN18601_n_11564),
+	.A2(FE_PSN4032_FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
-	.C1(n_11749),
+	.C1(FE_OFN18574_n_11748),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
 	.Y(n_12575), 
 	.VPWR(vccd1), 
@@ -65842,10 +62621,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324678 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN18601_n_11564),
+	.A2(FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
-	.C1(FE_OFN1075_n_11751),
+	.C1(FE_OFN18612_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
 	.Y(n_12574), 
 	.VPWR(vccd1), 
@@ -65854,10 +62633,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324679 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
-	.A2(FE_PSN4477_FE_COEN4317_n_11475),
-	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
-	.C1(FE_OFN18537_n_15857),
+	.C1(n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
 	.Y(n_12573), 
 	.VPWR(vccd1), 
@@ -65866,10 +62645,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324680 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
-	.A2(FE_OFN18425_n_15868),
-	.B1(FE_PSN4796_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
-	.C1(FE_OFN18543_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
 	.Y(n_12572), 
 	.VPWR(vccd1), 
@@ -65879,9 +62658,9 @@
    sky130_fd_sc_hd__a222oi_1 g324681 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
 	.A2(n_11515),
-	.B1(FE_OFN18434_n_11559),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
-	.C1(FE_OFN18614_n_11761),
+	.C1(n_11761),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
 	.Y(n_12571), 
 	.VPWR(vccd1), 
@@ -65890,10 +62669,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324682 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN18601_n_11564),
+	.A2(FE_PSN4032_FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
-	.C1(FE_OFN1075_n_11751),
+	.C1(FE_OFN18612_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
 	.Y(n_12570), 
 	.VPWR(vccd1), 
@@ -65902,10 +62681,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324683 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
-	.A2(FE_PSN4355_n_11478),
-	.B1(n_11564),
+	.A2(FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
-	.C1(FE_PSN4451_n_11751),
+	.C1(FE_OFN18612_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
 	.Y(n_12569), 
 	.VPWR(vccd1), 
@@ -65914,10 +62693,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324684 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
-	.A2(FE_OFN1062_n_11516),
-	.B1(FE_OFN18560_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
-	.C1(FE_OFN18588_n_11757),
+	.C1(FE_OFN18601_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
 	.Y(n_12568), 
 	.VPWR(vccd1), 
@@ -65926,10 +62705,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324685 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
-	.A2(FE_PSN4357_n_11425),
-	.B1(FE_PSN4438_FE_COEN4311_n_11474),
+	.A2(FE_OFN18587_n),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
-	.C1(FE_PSN4259_n_11557),
+	.C1(FE_OFN18585_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
 	.Y(n_12567), 
 	.VPWR(vccd1), 
@@ -65938,10 +62717,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324686 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.A2(FE_OFN18587_n),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
-	.C1(FE_OFN1069_n_11557),
+	.C1(FE_OFN18585_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
 	.Y(n_12566), 
 	.VPWR(vccd1), 
@@ -65950,10 +62729,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324687 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.A2(FE_OFN18587_n),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
-	.C1(FE_OFN1069_n_11557),
+	.C1(FE_OFN18585_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
 	.Y(n_12565), 
 	.VPWR(vccd1), 
@@ -65962,10 +62741,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324688 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
-	.A2(FE_PSN4362_n_15872),
+	.A2(FE_OFN18369_n_15872),
 	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
-	.C1(FE_OFN18506_n_16001),
+	.C1(FE_OFN18556_n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
 	.Y(n_12564), 
 	.VPWR(vccd1), 
@@ -65974,10 +62753,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324689 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
-	.A2(FE_OFN1056_n_11475),
-	.B1(FE_OFN18306_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
-	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
 	.Y(n_12563), 
 	.VPWR(vccd1), 
@@ -65986,10 +62765,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324690 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
-	.A2(FE_PSN4143_FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
-	.C1(FE_OFN1064_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
 	.Y(n_12562), 
 	.VPWR(vccd1), 
@@ -65998,10 +62777,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324691 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
-	.A2(FE_PSN4477_FE_COEN4317_n_11475),
-	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
-	.C1(FE_OFN18537_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
 	.Y(n_12561), 
 	.VPWR(vccd1), 
@@ -66010,10 +62789,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324692 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
-	.A2(FE_OFN18425_n_15868),
-	.B1(FE_PSN4796_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
-	.C1(FE_OFN18543_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
 	.Y(n_12560), 
 	.VPWR(vccd1), 
@@ -66022,10 +62801,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324693 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
-	.A2(FE_OFN18567_n_11513),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.A2(n_11513),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
-	.C1(FE_PSN4170_FE_OFN18454_n_15997),
+	.C1(FE_OFN18184_n_15997),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
 	.Y(n_12559), 
 	.VPWR(vccd1), 
@@ -66034,10 +62813,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324694 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
-	.A2(FE_OFN18310_n_11515),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.A2(n_11515),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
-	.C1(FE_PSN4170_FE_OFN18454_n_15997),
+	.C1(FE_OFN18184_n_15997),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
 	.Y(n_12558), 
 	.VPWR(vccd1), 
@@ -66046,10 +62825,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324695 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.A2(n_11425),
+	.B1(n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
-	.C1(FE_OFN1069_n_11557),
+	.C1(n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
 	.Y(n_12557), 
 	.VPWR(vccd1), 
@@ -66058,10 +62837,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324696 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN18601_n_11564),
+	.A2(FE_PSN3917_n_11478),
+	.B1(n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
-	.C1(FE_OFN1075_n_11751),
+	.C1(n_11751),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
 	.Y(n_12556), 
 	.VPWR(vccd1), 
@@ -66070,10 +62849,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324697 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
-	.A2(FE_OFN18483_n_11556),
-	.B1(FE_OFN18310_n_11515),
+	.A2(n_11556),
+	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
-	.C1(FE_OFN18640_n_15996),
+	.C1(n_11765),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
 	.Y(n_12555), 
 	.VPWR(vccd1), 
@@ -66082,10 +62861,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324698 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN18601_n_11564),
+	.A2(FE_PSN3917_n_11478),
+	.B1(n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
-	.C1(FE_OFN1075_n_11751),
+	.C1(FE_OFN19057_n_11751),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
 	.Y(n_12554), 
 	.VPWR(vccd1), 
@@ -66094,10 +62873,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324699 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
-	.A2(FE_OFN1115_n_15869),
-	.B1(FE_OFN18561_n_11517),
+	.A2(n_15869),
+	.B1(n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
-	.C1(FE_OFN18526_n_11553),
+	.C1(FE_OFN18352_n_11553),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
 	.Y(n_12553), 
 	.VPWR(vccd1), 
@@ -66106,10 +62885,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324700 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.A2(n_11425),
+	.B1(n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
-	.C1(FE_OFN1069_n_11557),
+	.C1(n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
 	.Y(n_12552), 
 	.VPWR(vccd1), 
@@ -66118,10 +62897,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324701 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
-	.A2(FE_OFN1062_n_11516),
-	.B1(FE_OFN18560_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
-	.C1(FE_OFN1077_n_11756),
+	.C1(FE_OFN19060_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
 	.Y(n_12551), 
 	.VPWR(vccd1), 
@@ -66130,10 +62909,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324702 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
-	.A2(FE_PSN4143_FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(n_15868),
+	.B1(n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
-	.C1(FE_OFN1064_n_11550),
+	.C1(n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
 	.Y(n_12550), 
 	.VPWR(vccd1), 
@@ -66142,10 +62921,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324703 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
-	.A2(FE_OFN1056_n_11475),
-	.B1(FE_OFN18306_n_15887),
+	.A2(n_11475),
+	.B1(n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
-	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C1(n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
 	.Y(n_12549), 
 	.VPWR(vccd1), 
@@ -66154,10 +62933,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324704 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
-	.A2(FE_OFN1070_n_11558),
-	.B1(FE_OFN18316_n_15999),
+	.A2(FE_OFN18629_n_11558),
+	.B1(FE_OFN18444_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
-	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C1(FE_OFN18474_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
 	.Y(n_12548), 
 	.VPWR(vccd1), 
@@ -66166,10 +62945,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324705 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
-	.A2(FE_PSN4355_n_11478),
-	.B1(n_11564),
+	.A2(FE_PSN4032_FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
-	.C1(FE_PSN4451_n_11751),
+	.C1(FE_OFN18612_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
 	.Y(n_12547), 
 	.VPWR(vccd1), 
@@ -66178,10 +62957,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324706 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
-	.A2(FE_PSN4357_n_11425),
-	.B1(FE_PSN4438_FE_COEN4311_n_11474),
+	.A2(FE_OFN18467_n_11425),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
-	.C1(FE_PSN4259_n_11557),
+	.C1(FE_OFN18458_n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
 	.Y(n_12546), 
 	.VPWR(vccd1), 
@@ -66190,10 +62969,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324707 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
-	.A2(FE_OFN1062_n_11516),
-	.B1(FE_OFN18560_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
-	.C1(FE_OFN1077_n_11756),
+	.C1(FE_OFN18560_n_11756),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
 	.Y(n_12545), 
 	.VPWR(vccd1), 
@@ -66202,10 +62981,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324708 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
-	.A2(FE_OFN1056_n_11475),
-	.B1(FE_OFN18306_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
-	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
 	.Y(n_12544), 
 	.VPWR(vccd1), 
@@ -66214,10 +62993,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324709 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
-	.A2(FE_PSN4143_FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
-	.C1(FE_OFN1064_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
 	.Y(n_12543), 
 	.VPWR(vccd1), 
@@ -66226,10 +63005,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324710 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
-	.A2(FE_PSN4143_FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(n_15868),
+	.B1(n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
-	.C1(FE_OFN1064_n_11550),
+	.C1(n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
 	.Y(n_12542), 
 	.VPWR(vccd1), 
@@ -66238,10 +63017,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324711 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
-	.A2(FE_COEN4317_n_11475),
-	.B1(FE_OFN18306_n_15887),
+	.A2(n_11475),
+	.B1(n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
-	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C1(n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
 	.Y(n_12541), 
 	.VPWR(vccd1), 
@@ -66250,10 +63029,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324712 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
-	.A2(FE_PSN4651_FE_COEN4317_n_11475),
-	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
-	.C1(FE_OFN18537_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
 	.Y(n_12540), 
 	.VPWR(vccd1), 
@@ -66262,10 +63041,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324713 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
-	.A2(FE_OFN18425_n_15868),
-	.B1(FE_PSN4796_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
-	.C1(FE_OFN18543_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
 	.Y(n_12539), 
 	.VPWR(vccd1), 
@@ -66274,10 +63053,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324714 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.A2(FE_OFN18467_n_11425),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
-	.C1(FE_OFN1069_n_11557),
+	.C1(FE_OFN18458_n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
 	.Y(n_12538), 
 	.VPWR(vccd1), 
@@ -66286,10 +63065,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324715 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
-	.A2(FE_OFN18317_n_15999),
-	.B1(FE_OFN18434_n_11559),
+	.A2(FE_OFN18444_n_15999),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
-	.C1(FE_OFN18614_n_11761),
+	.C1(FE_OFN18184_n_15997),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
 	.Y(n_12537), 
 	.VPWR(vccd1), 
@@ -66298,10 +63077,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324716 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN1073_n_11564),
+	.A2(FE_PSN3917_n_11478),
+	.B1(n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
-	.C1(FE_OFN18450_n_11751),
+	.C1(FE_OFN19057_n_11751),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
 	.Y(n_12536), 
 	.VPWR(vccd1), 
@@ -66310,10 +63089,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324717 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
-	.A2(FE_OFN18635_n),
-	.B1(FE_OFN1071_n_11559),
+	.A2(FE_OFN18442_n_11513),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
-	.C1(FE_PSN4425_n_11761),
+	.C1(n_11761),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
 	.Y(n_12535), 
 	.VPWR(vccd1), 
@@ -66322,10 +63101,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324718 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
-	.A2(FE_OFN18453_n_11425),
-	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.A2(n_11425),
+	.B1(n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
-	.C1(FE_OFN18290_n_11557),
+	.C1(n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
 	.Y(n_12534), 
 	.VPWR(vccd1), 
@@ -66334,10 +63113,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324719 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
-	.A2(n_11515),
-	.B1(FE_OFN18434_n_11559),
+	.A2(FE_OFN18447_n_11515),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
-	.C1(FE_OFN18440_n_11765),
+	.C1(FE_OFN18622_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
 	.Y(n_12533), 
 	.VPWR(vccd1), 
@@ -66346,10 +63125,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324720 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
-	.A2(FE_PSN4817_FE_OFN1057_n_11478),
-	.B1(FE_OFN1073_n_11564),
+	.A2(FE_PSN4032_FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
-	.C1(FE_OFN18623_n_11748),
+	.C1(FE_OFN18574_n_11748),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
 	.Y(n_12532), 
 	.VPWR(vccd1), 
@@ -66358,10 +63137,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324721 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
-	.A2(FE_OFN18585_n_15872),
-	.B1(FE_OFN18625_n_11552),
+	.A2(n_15872),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
-	.C1(FE_OFN18506_n_16001),
+	.C1(n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
 	.Y(n_12531), 
 	.VPWR(vccd1), 
@@ -66370,10 +63149,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324722 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
-	.A2(FE_OFN18453_n_11425),
-	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.A2(FE_OFN18467_n_11425),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
-	.C1(FE_OFN18290_n_11557),
+	.C1(FE_OFN18458_n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
 	.Y(n_12530), 
 	.VPWR(vccd1), 
@@ -66382,10 +63161,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324723 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
-	.A2(FE_OFN1070_n_11558),
-	.B1(FE_OFN18316_n_15999),
+	.A2(FE_OFN19052_n),
+	.B1(FE_OFN18444_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
-	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C1(FE_OFN18474_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
 	.Y(n_12529), 
 	.VPWR(vccd1), 
@@ -66394,10 +63173,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324724 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
-	.A2(FE_PSN4477_FE_COEN4317_n_11475),
-	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.A2(n_11475),
+	.B1(n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
-	.C1(FE_OFN18553_n_15856),
+	.C1(n_15856),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
 	.Y(n_12528), 
 	.VPWR(vccd1), 
@@ -66406,10 +63185,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324725 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
-	.A2(FE_OFN18642_n_11516),
-	.B1(FE_OFN18561_n_11517),
+	.A2(n_11516),
+	.B1(FE_OFN18463_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
-	.C1(FE_OFN18589_n_11757),
+	.C1(FE_OFN18583_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
 	.Y(n_12527), 
 	.VPWR(vccd1), 
@@ -66418,10 +63197,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324726 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
-	.A2(FE_OFN18425_n_15868),
-	.B1(FE_OFN18521_n_11473),
+	.A2(n_15868),
+	.B1(n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
-	.C1(FE_OFN18542_n_11550),
+	.C1(n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
 	.Y(n_12526), 
 	.VPWR(vccd1), 
@@ -66433,7 +63212,7 @@
 	.A2(n_15999),
 	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
-	.C1(n_11761),
+	.C1(FE_OFN18184_n_15997),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
 	.Y(n_12525), 
 	.VPWR(vccd1), 
@@ -66442,10 +63221,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324728 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
-	.A2(FE_OFN18642_n_11516),
-	.B1(FE_OFN18561_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
-	.C1(FE_OFN18475_n_11756),
+	.C1(FE_OFN19060_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
 	.Y(n_12524), 
 	.VPWR(vccd1), 
@@ -66454,7 +63233,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324729 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
-	.A2(FE_PSN4355_n_11478),
+	.A2(FE_PSN3917_n_11478),
 	.B1(n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
 	.C1(n_11751),
@@ -66466,10 +63245,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324730 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
-	.A2(FE_OFN18483_n_11556),
-	.B1(FE_OFN18310_n_11515),
+	.A2(FE_OFN18448_n_11556),
+	.B1(FE_OFN18447_n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
-	.C1(FE_OFN18640_n_15996),
+	.C1(FE_OFN18622_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
 	.Y(n_12522), 
 	.VPWR(vccd1), 
@@ -66479,7 +63258,7 @@
    sky130_fd_sc_hd__a222oi_1 g324731 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
 	.A2(n_11425),
-	.B1(FE_COEN4311_n_11474),
+	.B1(n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
 	.C1(n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
@@ -66490,10 +63269,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324732 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
-	.A2(FE_PSN4477_FE_COEN4317_n_11475),
-	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
-	.C1(FE_OFN18537_n_15857),
+	.C1(FE_OFN19063_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
 	.Y(n_12520), 
 	.VPWR(vccd1), 
@@ -66502,10 +63281,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324733 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
-	.A2(FE_PSN4667_n_11479),
-	.B1(FE_PSN4831_n_11517),
+	.A2(n_11479),
+	.B1(n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
-	.C1(FE_PSBN19876_n_15858),
+	.C1(n_15858),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
 	.Y(n_12519), 
 	.VPWR(vccd1), 
@@ -66514,10 +63293,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324734 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
-	.A2(FE_PSN4649_FE_OFN18425_n_15868),
-	.B1(FE_OFN18521_n_11473),
+	.A2(FE_OFN18580_n),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
-	.C1(FE_OFN18542_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
 	.Y(n_12518), 
 	.VPWR(vccd1), 
@@ -66526,10 +63305,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324735 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
-	.A2(FE_OFN1118_n_15887),
-	.B1(FE_PSN4179_n_11516),
+	.A2(n_15887),
+	.B1(n_11516),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
-	.C1(FE_OFN18553_n_15856),
+	.C1(n_15856),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
 	.Y(n_12517), 
 	.VPWR(vccd1), 
@@ -66538,10 +63317,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324736 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
-	.A2(FE_PSN4817_FE_OFN1057_n_11478),
-	.B1(FE_OFN1073_n_11564),
+	.A2(FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
-	.C1(FE_OFN18623_n_11748),
+	.C1(FE_OFN18574_n_11748),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
 	.Y(n_12516), 
 	.VPWR(vccd1), 
@@ -66550,10 +63329,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324737 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
-	.A2(FE_OFN18425_n_15868),
-	.B1(FE_PSN4796_n_11473),
+	.A2(n_15868),
+	.B1(n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
-	.C1(FE_PSBN19873_n_11550),
+	.C1(n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
 	.Y(n_12515), 
 	.VPWR(vccd1), 
@@ -66565,7 +63344,7 @@
 	.A2(n_15999),
 	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
-	.C1(FE_PSN4390_n_15995),
+	.C1(n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
 	.Y(n_12514), 
 	.VPWR(vccd1), 
@@ -66574,10 +63353,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324739 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
-	.A2(FE_OFN18453_n_11425),
-	.B1(FE_COEN4311_n_11474),
+	.A2(FE_OFN18587_n),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
-	.C1(FE_OFN18290_n_11557),
+	.C1(FE_OFN18585_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
 	.Y(n_12513), 
 	.VPWR(vccd1), 
@@ -66586,10 +63365,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324740 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
-	.A2(FE_OFN18310_n_11515),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.A2(n_11515),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
-	.C1(FE_PSN4170_FE_OFN18454_n_15997),
+	.C1(FE_OFN18184_n_15997),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
 	.Y(n_12512), 
 	.VPWR(vccd1), 
@@ -66598,10 +63377,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324742 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
-	.A2(FE_PSN4357_n_11425),
-	.B1(FE_PSN4438_FE_COEN4311_n_11474),
+	.A2(n_11425),
+	.B1(n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
-	.C1(FE_PSN4259_n_11557),
+	.C1(FE_OFN18458_n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
 	.Y(n_12510), 
 	.VPWR(vccd1), 
@@ -66610,10 +63389,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324743 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.A2(n_11425),
+	.B1(n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
-	.C1(FE_OFN1069_n_11557),
+	.C1(n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
 	.Y(n_12509), 
 	.VPWR(vccd1), 
@@ -66622,10 +63401,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324744 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN18601_n_11564),
+	.A2(FE_PSN3917_n_11478),
+	.B1(n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
-	.C1(FE_OFN1075_n_11751),
+	.C1(FE_OFN19057_n_11751),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
 	.Y(n_12508), 
 	.VPWR(vccd1), 
@@ -66634,10 +63413,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324745 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
-	.A2(FE_OFN1062_n_11516),
-	.B1(FE_OFN18560_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
-	.C1(FE_OFN18588_n_11757),
+	.C1(FE_OFN18601_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
 	.Y(n_12507), 
 	.VPWR(vccd1), 
@@ -66646,10 +63425,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324746 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
-	.A2(FE_PSN4454_n_11479),
-	.B1(FE_PSN4647_n_11517),
+	.A2(FE_OFN18523_n_11479),
+	.B1(n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
-	.C1(FE_PSBN19876_n_15858),
+	.C1(n_15858),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
 	.Y(n_12506), 
 	.VPWR(vccd1), 
@@ -66658,10 +63437,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324747 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.A2(n_11425),
+	.B1(n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
-	.C1(FE_OFN1069_n_11557),
+	.C1(n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
 	.Y(n_12505), 
 	.VPWR(vccd1), 
@@ -66670,10 +63449,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324748 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
-	.A2(FE_PSN4843_FE_OFN1118_n_15887),
-	.B1(FE_PSN4179_n_11516),
+	.A2(n_15887),
+	.B1(n_11516),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
-	.C1(FE_OFN18553_n_15856),
+	.C1(n_15856),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
 	.Y(n_12504), 
 	.VPWR(vccd1), 
@@ -66682,10 +63461,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324749 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
-	.A2(FE_OFN18425_n_15868),
-	.B1(FE_PSN4796_n_11473),
+	.A2(n_15868),
+	.B1(n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
-	.C1(FE_OFN18543_n_11550),
+	.C1(n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
 	.Y(n_12503), 
 	.VPWR(vccd1), 
@@ -66694,10 +63473,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324750 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
-	.A2(FE_PSN4465_FE_OFN1056_n_11475),
-	.B1(FE_OFN18306_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
-	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
 	.Y(n_12502), 
 	.VPWR(vccd1), 
@@ -66706,10 +63485,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324751 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
-	.A2(FE_PSN4398_FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
-	.C1(FE_OFN1064_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
 	.Y(n_12501), 
 	.VPWR(vccd1), 
@@ -66718,7 +63497,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324752 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
-	.A2(FE_PSN4355_n_11478),
+	.A2(FE_PSN3917_n_11478),
 	.B1(n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
 	.C1(n_11751),
@@ -66731,7 +63510,7 @@
    sky130_fd_sc_hd__a222oi_1 g324754 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
 	.A2(n_11425),
-	.B1(FE_COEN4311_n_11474),
+	.B1(n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
 	.C1(n_11518),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
@@ -66742,10 +63521,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324755 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
-	.A2(FE_OFN1062_n_11516),
-	.B1(FE_OFN18560_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
-	.C1(FE_OFN1077_n_11756),
+	.C1(FE_OFN18560_n_11756),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
 	.Y(n_12497), 
 	.VPWR(vccd1), 
@@ -66754,10 +63533,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324757 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
-	.A2(FE_PSN4477_FE_COEN4317_n_11475),
-	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
-	.C1(FE_OFN18537_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
 	.Y(n_12495), 
 	.VPWR(vccd1), 
@@ -66766,10 +63545,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324759 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
-	.A2(FE_OFN18425_n_15868),
-	.B1(FE_PSN4796_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
-	.C1(FE_PSN4670_FE_OFN18542_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
 	.Y(n_12493), 
 	.VPWR(vccd1), 
@@ -66778,10 +63557,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324760 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
-	.A2(FE_COEN4317_n_11475),
-	.B1(FE_OFN18306_n_15887),
+	.A2(n_11475),
+	.B1(n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
-	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C1(n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
 	.Y(n_12492), 
 	.VPWR(vccd1), 
@@ -66790,10 +63569,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324761 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
-	.A2(FE_PSN4143_FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(n_15868),
+	.B1(n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
-	.C1(FE_OFN1064_n_11550),
+	.C1(n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
 	.Y(n_12491), 
 	.VPWR(vccd1), 
@@ -66802,10 +63581,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324765 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
-	.A2(FE_OFN18567_n_11513),
-	.B1(FE_OFN1071_n_11559),
+	.A2(FE_OFN18442_n_11513),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
-	.C1(FE_PSN4340_n_11761),
+	.C1(n_11761),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
 	.Y(n_12487), 
 	.VPWR(vccd1), 
@@ -66814,7 +63593,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324768 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
-	.A2(n_11513),
+	.A2(FE_OFN18442_n_11513),
 	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
 	.C1(n_11761),
@@ -66826,10 +63605,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324769 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
-	.A2(n_11556),
-	.B1(FE_OFN18310_n_11515),
+	.A2(FE_OFN18623_n),
+	.B1(FE_OFN18447_n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
-	.C1(FE_OFN18440_n_11765),
+	.C1(n_11765),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
 	.Y(n_12483), 
 	.VPWR(vccd1), 
@@ -66838,10 +63617,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324772 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(n_11564),
+	.A2(FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
-	.C1(FE_PSN4481_n_11751),
+	.C1(FE_OFN18612_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
 	.Y(n_12480), 
 	.VPWR(vccd1), 
@@ -66850,10 +63629,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324773 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_OFN18431_n_11474),
+	.A2(FE_OFN18467_n_11425),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
-	.C1(FE_OFN1588_n_15860),
+	.C1(FE_OFN18255_n),
 	.C2(u_soc_u_top_u_core_rf_wdata_fwd_wb[17]),
 	.Y(n_12479), 
 	.VPWR(vccd1), 
@@ -66862,10 +63641,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324775 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
-	.A2(FE_OFN1070_n_11558),
-	.B1(n_15999),
+	.A2(FE_OFN18629_n_11558),
+	.B1(FE_OFN18444_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
-	.C1(FE_PSN4134_FE_OFN18552_n_15995),
+	.C1(FE_OFN18474_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
 	.Y(n_12477), 
 	.VPWR(vccd1), 
@@ -66874,17 +63653,17 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324778 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
-	.A2(FE_OFN1062_n_11516),
-	.B1(FE_OFN18560_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
-	.C1(FE_OFN18588_n_11757),
+	.C1(FE_OFN18601_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
 	.Y(n_12474), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g324779 (
+   sky130_fd_sc_hd__a21oi_1 g324779 (
 	.A1(n_11672),
 	.A2(n_11661),
 	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
@@ -66895,10 +63674,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324780 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
-	.A2(FE_OFN1062_n_11516),
-	.B1(FE_OFN18560_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
-	.C1(FE_OFN18588_n_11757),
+	.C1(FE_OFN18601_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
 	.Y(n_12473), 
 	.VPWR(vccd1), 
@@ -66907,10 +63686,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324781 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
-	.A2(FE_OFN1068_n_11556),
+	.A2(FE_OFN18448_n_11556),
 	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
-	.C1(FE_OFN18440_n_11765),
+	.C1(FE_OFN18493_n_15996),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
 	.Y(n_12472), 
 	.VPWR(vccd1), 
@@ -66919,10 +63698,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324784 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
-	.A2(FE_COEN4317_n_11475),
-	.B1(FE_OFN1118_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
-	.C1(FE_OFN18292_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
 	.Y(n_12469), 
 	.VPWR(vccd1), 
@@ -66931,10 +63710,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324786 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
-	.A2(FE_PSN4355_n_11478),
-	.B1(n_11564),
+	.A2(FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
-	.C1(FE_PSN4451_n_11751),
+	.C1(FE_OFN18612_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
 	.Y(n_12467), 
 	.VPWR(vccd1), 
@@ -66943,10 +63722,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324789 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
-	.A2(FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(n_15868),
+	.B1(n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
-	.C1(FE_COEN4306_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
 	.Y(n_12464), 
 	.VPWR(vccd1), 
@@ -66955,10 +63734,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324790 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
-	.A2(FE_PSN4357_n_11425),
-	.B1(FE_PSN4438_FE_COEN4311_n_11474),
+	.A2(FE_OFN18587_n),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
-	.C1(FE_PSN4259_n_11557),
+	.C1(FE_OFN18585_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
 	.Y(n_12463), 
 	.VPWR(vccd1), 
@@ -66967,10 +63746,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324791 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
-	.A2(FE_OFN18316_n_15999),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.A2(FE_OFN18444_n_15999),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
-	.C1(FE_PSN4170_FE_OFN18454_n_15997),
+	.C1(FE_OFN18184_n_15997),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
 	.Y(n_12462), 
 	.VPWR(vccd1), 
@@ -66979,10 +63758,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324792 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.A2(n_11425),
+	.B1(n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
-	.C1(FE_OFN1069_n_11557),
+	.C1(n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
 	.Y(n_12461), 
 	.VPWR(vccd1), 
@@ -66991,10 +63770,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324793 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN1073_n_11564),
+	.A2(FE_PSN4032_FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
-	.C1(FE_OFN18450_n_11751),
+	.C1(FE_OFN18612_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
 	.Y(n_12460), 
 	.VPWR(vccd1), 
@@ -67003,10 +63782,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324794 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
-	.A2(FE_OFN18642_n_11516),
-	.B1(FE_OFN18561_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
-	.C1(FE_OFN18589_n_11757),
+	.C1(FE_OFN18601_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
 	.Y(n_12459), 
 	.VPWR(vccd1), 
@@ -67015,10 +63794,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324795 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN18601_n_11564),
+	.A2(FE_PSN3917_n_11478),
+	.B1(n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
-	.C1(FE_OFN1075_n_11751),
+	.C1(FE_OFN19057_n_11751),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
 	.Y(n_12458), 
 	.VPWR(vccd1), 
@@ -67027,10 +63806,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324796 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
-	.A2(FE_OFN18453_n_11425),
-	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.A2(FE_OFN18467_n_11425),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
-	.C1(FE_OFN18471_n_15860),
+	.C1(FE_OFN18255_n),
 	.C2(u_soc_u_top_u_core_rf_wdata_fwd_wb[29]),
 	.Y(n_12457), 
 	.VPWR(vccd1), 
@@ -67039,10 +63818,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324797 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
-	.A2(FE_OFN1058_n_11479),
-	.B1(FE_OFN18560_n_11517),
+	.A2(n_11479),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
-	.C1(FE_OFN1110_n_15858),
+	.C1(n_15858),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
 	.Y(n_12456), 
 	.VPWR(vccd1), 
@@ -67051,10 +63830,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324798 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
-	.A2(FE_OFN18480_n_11558),
-	.B1(FE_OFN18317_n_15999),
+	.A2(FE_OFN19052_n),
+	.B1(FE_OFN18555_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
-	.C1(FE_OFN1120_n_15995),
+	.C1(FE_OFN18577_n_15995),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
 	.Y(n_12455), 
 	.VPWR(vccd1), 
@@ -67063,10 +63842,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324799 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
-	.A2(FE_PSN4477_FE_COEN4317_n_11475),
-	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
-	.C1(FE_OFN18537_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
 	.Y(n_12454), 
 	.VPWR(vccd1), 
@@ -67075,10 +63854,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324800 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
-	.A2(FE_OFN1067_n_11555),
-	.B1(FE_OFN1062_n_11516),
+	.A2(n_11555),
+	.B1(FE_OFN18365_n_11516),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
-	.C1(FE_OFN1111_n_15859),
+	.C1(n_15859),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
 	.Y(n_12453), 
 	.VPWR(vccd1), 
@@ -67087,10 +63866,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324801 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
-	.A2(FE_OFN18425_n_15868),
-	.B1(FE_PSN4796_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
-	.C1(FE_OFN18543_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
 	.Y(n_12452), 
 	.VPWR(vccd1), 
@@ -67099,10 +63878,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324802 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
-	.A2(FE_COEN4317_n_11475),
-	.B1(FE_OFN18306_n_15887),
+	.A2(n_11475),
+	.B1(n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
-	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C1(n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
 	.Y(n_12451), 
 	.VPWR(vccd1), 
@@ -67111,10 +63890,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324803 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
-	.A2(FE_PSN4143_FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(n_15868),
+	.B1(n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
-	.C1(FE_OFN1064_n_11550),
+	.C1(n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
 	.Y(n_12450), 
 	.VPWR(vccd1), 
@@ -67123,10 +63902,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324804 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
-	.A2(FE_OFN18310_n_11515),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.A2(FE_OFN18447_n_11515),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
-	.C1(FE_OFN18640_n_15996),
+	.C1(FE_OFN18622_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
 	.Y(n_12449), 
 	.VPWR(vccd1), 
@@ -67135,10 +63914,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324805 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN18601_n_11564),
+	.A2(FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
-	.C1(n_11749),
+	.C1(FE_OFN18334_n_11749),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
 	.Y(n_12448), 
 	.VPWR(vccd1), 
@@ -67147,10 +63926,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324806 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN18601_n_11564),
+	.A2(FE_PSN4032_FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
-	.C1(FE_OFN1075_n_11751),
+	.C1(FE_OFN18602_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
 	.Y(n_12447), 
 	.VPWR(vccd1), 
@@ -67159,10 +63938,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324807 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.A2(n_11425),
+	.B1(n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
-	.C1(FE_OFN1069_n_11557),
+	.C1(FE_OFN18458_n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
 	.Y(n_12446), 
 	.VPWR(vccd1), 
@@ -67171,10 +63950,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324808 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
-	.A2(FE_OFN18642_n_11516),
-	.B1(FE_OFN18561_n_11517),
+	.A2(n_11516),
+	.B1(FE_OFN18463_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
-	.C1(FE_OFN18589_n_11757),
+	.C1(FE_OFN18583_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
 	.Y(n_12445), 
 	.VPWR(vccd1), 
@@ -67183,10 +63962,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324809 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
-	.A2(FE_OFN1058_n_11479),
-	.B1(FE_OFN18561_n_11517),
+	.A2(FE_OFN18523_n_11479),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
-	.C1(FE_OFN1110_n_15858),
+	.C1(FE_OFN18367_n_15858),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
 	.Y(n_12444), 
 	.VPWR(vccd1), 
@@ -67195,10 +63974,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324810 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
-	.A2(FE_OFN1056_n_11475),
-	.B1(FE_OFN18306_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
-	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C1(FE_OFN19063_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
 	.Y(n_12443), 
 	.VPWR(vccd1), 
@@ -67207,10 +63986,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324811 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
-	.A2(FE_PSN4398_FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(FE_OFN18580_n),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
-	.C1(FE_OFN1064_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
 	.Y(n_12442), 
 	.VPWR(vccd1), 
@@ -67219,10 +63998,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324812 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
-	.A2(FE_PSN4817_FE_OFN1057_n_11478),
-	.B1(FE_OFN1073_n_11564),
+	.A2(FE_PSN4032_FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
-	.C1(FE_OFN18450_n_11751),
+	.C1(FE_OFN18612_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
 	.Y(n_12441), 
 	.VPWR(vccd1), 
@@ -67231,10 +64010,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324813 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
-	.A2(FE_OFN18453_n_11425),
-	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.A2(FE_OFN18467_n_11425),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
-	.C1(FE_OFN18290_n_11557),
+	.C1(FE_OFN18458_n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
 	.Y(n_12440), 
 	.VPWR(vccd1), 
@@ -67243,10 +64022,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324814 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
-	.A2(FE_OFN1062_n_11516),
-	.B1(FE_OFN18560_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
-	.C1(FE_OFN1077_n_11756),
+	.C1(FE_OFN19060_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
 	.Y(n_12439), 
 	.VPWR(vccd1), 
@@ -67255,10 +64034,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324815 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
-	.A2(FE_PSN4821_FE_COEN4317_n_11475),
-	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
-	.C1(FE_OFN18537_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
 	.Y(n_12438), 
 	.VPWR(vccd1), 
@@ -67267,10 +64046,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324816 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
-	.A2(FE_OFN18642_n_11516),
-	.B1(FE_OFN18561_n_11517),
+	.A2(n_11516),
+	.B1(FE_OFN18463_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
-	.C1(n_11757),
+	.C1(FE_OFN18583_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
 	.Y(n_12437), 
 	.VPWR(vccd1), 
@@ -67279,10 +64058,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324817 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
-	.A2(FE_PSN4485_FE_OFN18425_n_15868),
-	.B1(FE_OFN18521_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
-	.C1(FE_OFN18542_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
 	.Y(n_12436), 
 	.VPWR(vccd1), 
@@ -67291,10 +64070,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324818 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
-	.A2(FE_COEN4317_n_11475),
-	.B1(FE_OFN18306_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
-	.C1(FE_COEN4271_FE_OFN18292_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
 	.Y(n_12435), 
 	.VPWR(vccd1), 
@@ -67303,10 +64082,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324819 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
-	.A2(FE_PSN4143_FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(n_15868),
+	.B1(n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
-	.C1(FE_OFN1064_n_11550),
+	.C1(n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
 	.Y(n_12434), 
 	.VPWR(vccd1), 
@@ -67315,10 +64094,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324820 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
-	.A2(FE_PSN4477_FE_COEN4317_n_11475),
-	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
-	.C1(FE_OFN18537_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
 	.Y(n_12433), 
 	.VPWR(vccd1), 
@@ -67327,10 +64106,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324821 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
-	.A2(FE_OFN18425_n_15868),
-	.B1(FE_OFN18521_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
-	.C1(FE_OFN18542_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
 	.Y(n_12432), 
 	.VPWR(vccd1), 
@@ -67339,10 +64118,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324822 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
-	.A2(FE_OFN1056_n_11475),
-	.B1(FE_OFN18306_n_15887),
+	.A2(n_11475),
+	.B1(n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
-	.C1(FE_OFN1108_n_15856),
+	.C1(n_15856),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
 	.Y(n_12431), 
 	.VPWR(vccd1), 
@@ -67351,10 +64130,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324823 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
-	.A2(FE_PSN4817_FE_OFN1057_n_11478),
-	.B1(FE_OFN1073_n_11564),
+	.A2(FE_PSN4032_FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
-	.C1(FE_OFN18450_n_11751),
+	.C1(FE_OFN18612_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
 	.Y(n_12430), 
 	.VPWR(vccd1), 
@@ -67363,10 +64142,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324824 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
-	.A2(FE_OFN18453_n_11425),
-	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.A2(FE_OFN18587_n),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
-	.C1(FE_OFN18290_n_11557),
+	.C1(FE_OFN18585_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
 	.Y(n_12429), 
 	.VPWR(vccd1), 
@@ -67375,10 +64154,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324825 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN1073_n_11564),
+	.A2(FE_PSN4032_FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
-	.C1(FE_OFN18450_n_11751),
+	.C1(FE_OFN18612_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
 	.Y(n_12428), 
 	.VPWR(vccd1), 
@@ -67387,10 +64166,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324826 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
-	.A2(FE_OFN18453_n_11425),
-	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.A2(FE_OFN18587_n),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
-	.C1(FE_OFN18290_n_11557),
+	.C1(FE_OFN18585_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
 	.Y(n_12427), 
 	.VPWR(vccd1), 
@@ -67399,10 +64178,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324827 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN18601_n_11564),
+	.A2(FE_PSN4032_FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
-	.C1(FE_OFN1075_n_11751),
+	.C1(FE_OFN18612_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
 	.Y(n_12426), 
 	.VPWR(vccd1), 
@@ -67411,10 +64190,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324828 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
-	.A2(FE_PSN4143_FE_OFN1114_n_15868),
-	.B1(FE_OFN18520_n_11473),
+	.A2(n_15868),
+	.B1(n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
-	.C1(FE_OFN1064_n_11550),
+	.C1(n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
 	.Y(n_12425), 
 	.VPWR(vccd1), 
@@ -67423,10 +64202,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324829 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.A2(FE_OFN18587_n),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
-	.C1(FE_OFN1069_n_11557),
+	.C1(FE_OFN18585_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
 	.Y(n_12424), 
 	.VPWR(vccd1), 
@@ -67435,10 +64214,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324830 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
-	.A2(FE_OFN18642_n_11516),
-	.B1(FE_OFN18561_n_11517),
+	.A2(n_11516),
+	.B1(FE_OFN18463_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
-	.C1(FE_OFN18589_n_11757),
+	.C1(FE_OFN18583_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
 	.Y(n_12423), 
 	.VPWR(vccd1), 
@@ -67447,10 +64226,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324831 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
-	.A2(FE_PSN4477_FE_COEN4317_n_11475),
-	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
-	.C1(FE_OFN18537_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
 	.Y(n_12422), 
 	.VPWR(vccd1), 
@@ -67459,10 +64238,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324832 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
-	.A2(FE_OFN18425_n_15868),
-	.B1(FE_OFN18521_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
-	.C1(FE_OFN18542_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
 	.Y(n_12421), 
 	.VPWR(vccd1), 
@@ -67471,10 +64250,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324833 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
-	.A2(FE_OFN18310_n_11515),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.A2(FE_OFN18447_n_11515),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
-	.C1(FE_OFN18640_n_15996),
+	.C1(FE_OFN18622_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
 	.Y(n_12420), 
 	.VPWR(vccd1), 
@@ -67483,10 +64262,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324834 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN1073_n_11564),
+	.A2(FE_PSN4032_FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
-	.C1(FE_OFN18450_n_11751),
+	.C1(FE_OFN18602_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
 	.Y(n_12419), 
 	.VPWR(vccd1), 
@@ -67495,10 +64274,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324835 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
-	.A2(FE_OFN18642_n_11516),
-	.B1(FE_OFN18561_n_11517),
+	.A2(n_11516),
+	.B1(FE_OFN18463_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
-	.C1(FE_OFN18475_n_11756),
+	.C1(FE_OFN18560_n_11756),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
 	.Y(n_12418), 
 	.VPWR(vccd1), 
@@ -67507,10 +64286,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324836 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
-	.A2(FE_OFN18453_n_11425),
-	.B1(FE_PSN4171_FE_OFN18622_n_11474),
+	.A2(FE_OFN18467_n_11425),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
-	.C1(FE_OFN18290_n_11557),
+	.C1(FE_OFN18458_n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
 	.Y(n_12417), 
 	.VPWR(vccd1), 
@@ -67519,10 +64298,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324837 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
-	.A2(FE_OFN18642_n_11516),
-	.B1(FE_OFN18561_n_11517),
+	.A2(FE_OFN18365_n_11516),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
-	.C1(FE_OFN18589_n_11757),
+	.C1(FE_OFN18601_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
 	.Y(n_12416), 
 	.VPWR(vccd1), 
@@ -67531,10 +64310,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324838 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
-	.A2(FE_PSN4837_FE_COEN4317_n_11475),
-	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
-	.C1(FE_OFN18537_n_15857),
+	.C1(FE_OFN18363_n_15857),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
 	.Y(n_12415), 
 	.VPWR(vccd1), 
@@ -67543,10 +64322,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324839 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
-	.A2(FE_PSN4649_FE_OFN18425_n_15868),
-	.B1(FE_OFN18521_n_11473),
+	.A2(FE_OFN18322_n_15868),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
-	.C1(FE_PSN4669_FE_OFN18542_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
 	.Y(n_12414), 
 	.VPWR(vccd1), 
@@ -67555,10 +64334,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324840 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
-	.A2(FE_PSN4477_FE_COEN4317_n_11475),
-	.B1(FE_PSN4843_FE_OFN1118_n_15887),
+	.A2(FE_OFN18533_n_11475),
+	.B1(FE_OFN18358_n_15887),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
-	.C1(FE_OFN18537_n_15857),
+	.C1(FE_OFN19063_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
 	.Y(n_12413), 
 	.VPWR(vccd1), 
@@ -67567,10 +64346,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324841 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
-	.A2(FE_PSN4485_FE_OFN18425_n_15868),
-	.B1(FE_OFN18521_n_11473),
+	.A2(FE_OFN18580_n),
+	.B1(FE_OFN18305_n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
-	.C1(FE_PSN4641_FE_OFN18542_n_11550),
+	.C1(FE_OFN18332_n_11550),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
 	.Y(n_12412), 
 	.VPWR(vccd1), 
@@ -67579,10 +64358,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324842 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
-	.A2(FE_OFN18316_n_15999),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.A2(FE_OFN18444_n_15999),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
-	.C1(FE_PSN4170_FE_OFN18454_n_15997),
+	.C1(FE_OFN18372_n_15997),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
 	.Y(n_12411), 
 	.VPWR(vccd1), 
@@ -67591,10 +64370,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324843 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
-	.A2(FE_OFN18310_n_11515),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.A2(FE_OFN18447_n_11515),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
-	.C1(FE_OFN18640_n_15996),
+	.C1(FE_OFN18622_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
 	.Y(n_12410), 
 	.VPWR(vccd1), 
@@ -67603,10 +64382,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324844 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
-	.A2(FE_OFN1052_n_11425),
-	.B1(FE_PSN4161_FE_OFN18431_n_11474),
+	.A2(FE_OFN18467_n_11425),
+	.B1(FE_OFN18354_n_11474),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
-	.C1(FE_OFN1069_n_11557),
+	.C1(FE_OFN18458_n_11557),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
 	.Y(n_12409), 
 	.VPWR(vccd1), 
@@ -67615,10 +64394,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324845 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
-	.A2(FE_OFN1057_n_11478),
-	.B1(FE_OFN18601_n_11564),
+	.A2(FE_PSN4032_FE_OFN18344_n_11478),
+	.B1(FE_OFN1242_n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
-	.C1(FE_OFN1075_n_11751),
+	.C1(FE_OFN18602_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
 	.Y(n_12408), 
 	.VPWR(vccd1), 
@@ -67626,9 +64405,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324847 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(FE_OFN19058_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
 	.Y(n_12407), 
 	.VPWR(vccd1), 
@@ -67636,9 +64415,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324848 (
-	.A1(FE_OFN1078_n_11763),
+	.A1(FE_OFN18631_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
-	.B1(FE_OFN1070_n_11558),
+	.B1(FE_OFN18629_n_11558),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
 	.Y(n_12406), 
 	.VPWR(vccd1), 
@@ -67646,9 +64425,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324849 (
-	.A1(n_11753),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
-	.B1(FE_OFN18635_n),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
 	.Y(n_12405), 
 	.VPWR(vccd1), 
@@ -67656,9 +64435,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324850 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(FE_OFN18531_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(FE_OFN19058_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
 	.Y(n_12404), 
 	.VPWR(vccd1), 
@@ -67666,9 +64445,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324851 (
-	.A1(FE_OFN18586_n_11762),
+	.A1(FE_OFN18364_n_11762),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
-	.B1(FE_OFN18583_n_11552),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
 	.Y(n_12403), 
 	.VPWR(vccd1), 
@@ -67676,9 +64455,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324852 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(FE_OFN19059_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
 	.Y(n_12402), 
 	.VPWR(vccd1), 
@@ -67686,9 +64465,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324853 (
-	.A1(FE_OFN1078_n_11763),
+	.A1(FE_OFN18631_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
-	.B1(FE_OFN1070_n_11558),
+	.B1(FE_OFN18629_n_11558),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
 	.Y(n_12401), 
 	.VPWR(vccd1), 
@@ -67696,9 +64475,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g324854 (
-	.A1(FE_OFN18640_n_15996),
+	.A1(n_11765),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
-	.B1(FE_OFN18310_n_11515),
+	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
 	.X(n_12400), 
 	.VPWR(vccd1), 
@@ -67706,9 +64485,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324855 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(FE_OFN19058_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
 	.Y(n_12399), 
 	.VPWR(vccd1), 
@@ -67716,9 +64495,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324856 (
-	.A1(FE_PSN4645_n_15861),
+	.A1(FE_OFN18531_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(FE_OFN19058_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
 	.Y(n_12398), 
 	.VPWR(vccd1), 
@@ -67726,9 +64505,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324857 (
-	.A1(FE_PSN4170_FE_OFN18454_n_15997),
+	.A1(n_11761),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
 	.Y(n_12397), 
 	.VPWR(vccd1), 
@@ -67736,9 +64515,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324858 (
-	.A1(FE_OFN18599_n_15998),
+	.A1(n_11753),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
-	.B1(FE_OFN18567_n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
 	.Y(n_12396), 
 	.VPWR(vccd1), 
@@ -67746,9 +64525,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324859 (
-	.A1(FE_OFN18599_n_15998),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
-	.B1(FE_OFN18567_n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
 	.Y(n_12395), 
 	.VPWR(vccd1), 
@@ -67756,9 +64535,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324860 (
-	.A1(FE_PSN4422_n_11763),
+	.A1(FE_OFN18631_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
-	.B1(FE_OFN18480_n_11558),
+	.B1(FE_OFN18629_n_11558),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
 	.Y(n_12394), 
 	.VPWR(vccd1), 
@@ -67766,9 +64545,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324861 (
-	.A1(FE_OFN18599_n_15998),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
-	.B1(FE_OFN18567_n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
 	.Y(n_12393), 
 	.VPWR(vccd1), 
@@ -67776,9 +64555,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324862 (
-	.A1(FE_OFN18597_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
-	.B1(FE_OFN1068_n_11556),
+	.B1(n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
 	.Y(n_12392), 
 	.VPWR(vccd1), 
@@ -67786,9 +64565,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g324863 (
-	.A1(FE_PSN4170_FE_OFN18454_n_15997),
+	.A1(n_11761),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
 	.X(n_12391), 
 	.VPWR(vccd1), 
@@ -67796,7 +64575,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324864 (
-	.A1(FE_OFN18587_n_11762),
+	.A1(FE_OFN18364_n_11762),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
 	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
@@ -67806,9 +64585,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324865 (
-	.A1(FE_OFN1078_n_11763),
+	.A1(FE_OFN18631_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
-	.B1(FE_OFN1070_n_11558),
+	.B1(FE_OFN18629_n_11558),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
 	.Y(n_12389), 
 	.VPWR(vccd1), 
@@ -67816,9 +64595,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324866 (
-	.A1(FE_PSN4645_n_15861),
+	.A1(n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(FE_OFN19058_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
 	.Y(n_12388), 
 	.VPWR(vccd1), 
@@ -67826,9 +64605,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324867 (
-	.A1(FE_OFN1078_n_11763),
+	.A1(FE_OFN18631_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
-	.B1(FE_OFN1070_n_11558),
+	.B1(FE_OFN18629_n_11558),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
 	.Y(n_12387), 
 	.VPWR(vccd1), 
@@ -67838,7 +64617,7 @@
    sky130_fd_sc_hd__a22oi_1 g324868 (
 	.A1(n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
-	.B1(n_11558),
+	.B1(FE_OFN19052_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
 	.Y(n_12386), 
 	.VPWR(vccd1), 
@@ -67846,9 +64625,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324869 (
-	.A1(FE_PSBN19876_n_15858),
+	.A1(FE_OFN18367_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
-	.B1(FE_PSN4454_n_11479),
+	.B1(FE_OFN18523_n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
 	.Y(n_12385), 
 	.VPWR(vccd1), 
@@ -67856,9 +64635,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324870 (
-	.A1(FE_PSN4423_n_15859),
+	.A1(n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
-	.B1(FE_PSBN19877_FE_PSN4174_n_11555),
+	.B1(n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
 	.Y(n_12384), 
 	.VPWR(vccd1), 
@@ -67866,9 +64645,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324871 (
-	.A1(FE_OFN1076_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
-	.B1(FE_OFN18483_n_11556),
+	.B1(FE_OFN18623_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
 	.Y(n_12383), 
 	.VPWR(vccd1), 
@@ -67876,9 +64655,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324872 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(FE_OFN19059_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
 	.Y(n_12382), 
 	.VPWR(vccd1), 
@@ -67886,9 +64665,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324873 (
-	.A1(FE_PSN4432_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
-	.B1(FE_OFN18483_n_11556),
+	.B1(FE_OFN18448_n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
 	.Y(n_12381), 
 	.VPWR(vccd1), 
@@ -67896,9 +64675,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324874 (
-	.A1(FE_OFN1078_n_11763),
+	.A1(n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
-	.B1(FE_OFN1070_n_11558),
+	.B1(n_11558),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
 	.Y(n_12380), 
 	.VPWR(vccd1), 
@@ -67915,10 +64694,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g324876 (
-	.A1(FE_OFN18558_n_15861),
+   sky130_fd_sc_hd__a22oi_1 g324876 (
+	.A1(n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(n_15882),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
 	.Y(n_12378), 
 	.VPWR(vccd1), 
@@ -67926,9 +64705,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324877 (
-	.A1(FE_OFN1077_n_11756),
+	.A1(n_11756),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
-	.B1(FE_OFN1062_n_11516),
+	.B1(n_11516),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
 	.Y(n_12377), 
 	.VPWR(vccd1), 
@@ -67936,9 +64715,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324878 (
-	.A1(FE_OFN1076_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
-	.B1(FE_OFN18483_n_11556),
+	.B1(n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
 	.Y(n_12376), 
 	.VPWR(vccd1), 
@@ -67946,9 +64725,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324879 (
-	.A1(FE_OFN1111_n_15859),
+	.A1(n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
-	.B1(FE_OFN1067_n_11555),
+	.B1(n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
 	.Y(n_12375), 
 	.VPWR(vccd1), 
@@ -67956,9 +64735,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324880 (
-	.A1(FE_OFN18600_n_15998),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
-	.B1(FE_OFN18567_n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
 	.Y(n_12374), 
 	.VPWR(vccd1), 
@@ -67966,9 +64745,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324882 (
-	.A1(FE_OFN18597_n_11754),
+	.A1(n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
-	.B1(FE_OFN1068_n_11556),
+	.B1(FE_OFN18448_n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
 	.Y(n_12372), 
 	.VPWR(vccd1), 
@@ -67978,7 +64757,7 @@
    sky130_fd_sc_hd__a22oi_1 g324883 (
 	.A1(n_11753),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
-	.B1(n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
 	.Y(n_12371), 
 	.VPWR(vccd1), 
@@ -67986,9 +64765,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324884 (
-	.A1(FE_OFN1111_n_15859),
+	.A1(n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
-	.B1(FE_OFN1067_n_11555),
+	.B1(n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
 	.Y(n_12370), 
 	.VPWR(vccd1), 
@@ -67998,7 +64777,7 @@
    sky130_fd_sc_hd__a22oi_1 g324885 (
 	.A1(n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
-	.B1(n_11558),
+	.B1(FE_OFN19052_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
 	.Y(n_12369), 
 	.VPWR(vccd1), 
@@ -68006,9 +64785,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324886 (
-	.A1(FE_PSN4422_n_11763),
+	.A1(FE_OFN18561_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
-	.B1(FE_OFN18480_n_11558),
+	.B1(FE_OFN19052_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
 	.Y(n_12368), 
 	.VPWR(vccd1), 
@@ -68016,9 +64795,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324887 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(FE_OFN19058_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
 	.Y(n_12367), 
 	.VPWR(vccd1), 
@@ -68026,19 +64805,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324888 (
-	.A1(FE_PSBN19876_n_15858),
+	.A1(FE_OFN18367_n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
-	.B1(FE_PSN4454_n_11479),
+	.B1(FE_OFN18523_n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
 	.Y(n_12366), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g324889 (
-	.A1(FE_OFN18475_n_11756),
+   sky130_fd_sc_hd__a22oi_1 g324889 (
+	.A1(n_11756),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
-	.B1(FE_OFN18642_n_11516),
+	.B1(n_11516),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
 	.Y(n_12365), 
 	.VPWR(vccd1), 
@@ -68046,9 +64825,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324890 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(n_15882),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
 	.Y(n_12364), 
 	.VPWR(vccd1), 
@@ -68056,9 +64835,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324891 (
-	.A1(FE_PSN4423_n_15859),
+	.A1(n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
-	.B1(FE_PSBN19877_FE_PSN4174_n_11555),
+	.B1(n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
 	.Y(n_12363), 
 	.VPWR(vccd1), 
@@ -68066,9 +64845,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324892 (
-	.A1(FE_OFN18597_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
-	.B1(FE_OFN1068_n_11556),
+	.B1(FE_OFN18448_n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
 	.Y(n_12362), 
 	.VPWR(vccd1), 
@@ -68076,9 +64855,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324893 (
-	.A1(FE_OFN18597_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
-	.B1(FE_OFN1068_n_11556),
+	.B1(n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
 	.Y(n_12361), 
 	.VPWR(vccd1), 
@@ -68086,9 +64865,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324894 (
-	.A1(FE_OFN18600_n_15998),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
-	.B1(n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
 	.Y(n_12360), 
 	.VPWR(vccd1), 
@@ -68096,9 +64875,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324895 (
-	.A1(FE_PSBN19876_n_15858),
+	.A1(n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
-	.B1(FE_PSN4454_n_11479),
+	.B1(n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
 	.Y(n_12359), 
 	.VPWR(vccd1), 
@@ -68106,9 +64885,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324896 (
-	.A1(FE_PSN4423_n_15859),
+	.A1(n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
-	.B1(FE_PSBN19877_FE_PSN4174_n_11555),
+	.B1(n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
 	.Y(n_12358), 
 	.VPWR(vccd1), 
@@ -68116,9 +64895,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324897 (
-	.A1(FE_PSN4422_n_11763),
+	.A1(FE_OFN18561_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
-	.B1(FE_OFN18480_n_11558),
+	.B1(FE_OFN19052_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
 	.Y(n_12357), 
 	.VPWR(vccd1), 
@@ -68136,7 +64915,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324899 (
-	.A1(n_11753),
+	.A1(FE_OFN18543_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
 	.B1(n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
@@ -68146,9 +64925,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324900 (
-	.A1(FE_OFN18579_n_15859),
+	.A1(FE_OFN18515_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
-	.B1(FE_OFN18422_n_11555),
+	.B1(FE_OFN18522_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
 	.Y(n_12354), 
 	.VPWR(vccd1), 
@@ -68156,9 +64935,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324901 (
-	.A1(FE_PSN4423_n_15859),
+	.A1(FE_OFN18515_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
-	.B1(FE_PSBN19877_FE_PSN4174_n_11555),
+	.B1(FE_OFN18522_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
 	.Y(n_12353), 
 	.VPWR(vccd1), 
@@ -68176,9 +64955,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324903 (
-	.A1(FE_OFN18559_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(FE_OFN19058_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
 	.Y(n_12351), 
 	.VPWR(vccd1), 
@@ -68186,9 +64965,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324904 (
-	.A1(FE_PSN4164_n_15857),
+	.A1(n_15857),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
-	.B1(FE_PSN4423_n_15859),
+	.B1(n_15859),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
 	.Y(n_12350), 
 	.VPWR(vccd1), 
@@ -68198,7 +64977,7 @@
    sky130_fd_sc_hd__a22oi_1 g324905 (
 	.A1(n_11753),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
-	.B1(n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
 	.Y(n_12349), 
 	.VPWR(vccd1), 
@@ -68206,9 +64985,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324906 (
-	.A1(FE_OFN18599_n_15998),
+	.A1(FE_OFN18543_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
-	.B1(FE_OFN18567_n_11513),
+	.B1(n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
 	.Y(n_12348), 
 	.VPWR(vccd1), 
@@ -68226,9 +65005,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324908 (
-	.A1(FE_OFN18586_n_11762),
+	.A1(FE_OFN18364_n_11762),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
-	.B1(FE_OFN18583_n_11552),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
 	.Y(n_12346), 
 	.VPWR(vccd1), 
@@ -68236,9 +65015,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324909 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(FE_OFN19059_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
 	.Y(n_12345), 
 	.VPWR(vccd1), 
@@ -68246,9 +65025,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324910 (
-	.A1(FE_OFN1076_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
-	.B1(FE_OFN18483_n_11556),
+	.B1(n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
 	.Y(n_12344), 
 	.VPWR(vccd1), 
@@ -68265,10 +65044,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g324913 (
-	.A1(FE_OFN18559_n_15861),
+   sky130_fd_sc_hd__a22oi_1 g324913 (
+	.A1(FE_OFN18531_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(FE_OFN19058_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
 	.Y(n_12341), 
 	.VPWR(vccd1), 
@@ -68276,9 +65055,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324914 (
-	.A1(FE_OFN18537_n_15857),
+	.A1(FE_OFN18363_n_15857),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
-	.B1(FE_PSN4651_FE_COEN4317_n_11475),
+	.B1(FE_OFN18533_n_11475),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
 	.Y(n_12340), 
 	.VPWR(vccd1), 
@@ -68286,9 +65065,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324916 (
-	.A1(FE_OFN1078_n_11763),
+	.A1(FE_OFN18631_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
-	.B1(FE_OFN1070_n_11558),
+	.B1(FE_OFN18629_n_11558),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
 	.Y(n_12338), 
 	.VPWR(vccd1), 
@@ -68306,9 +65085,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324918 (
-	.A1(FE_PSN4645_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(FE_OFN19059_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
 	.Y(n_12336), 
 	.VPWR(vccd1), 
@@ -68316,9 +65095,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324919 (
-	.A1(FE_PSN4423_n_15859),
+	.A1(n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
-	.B1(FE_OFN18553_n_15856),
+	.B1(n_15856),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
 	.Y(n_12335), 
 	.VPWR(vccd1), 
@@ -68326,9 +65105,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324920 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(n_15882),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
 	.Y(n_12334), 
 	.VPWR(vccd1), 
@@ -68336,17 +65115,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324921 (
-	.A1(FE_PSN4645_n_15861),
+	.A1(n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(n_15882),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
 	.Y(n_12333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g324922 (
-	.A1(FE_OFN18440_n_11765),
+   sky130_fd_sc_hd__a22oi_1 g324922 (
+	.A1(n_11765),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
 	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
@@ -68356,9 +65135,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324923 (
-	.A1(FE_OFN18614_n_11761),
+	.A1(n_11761),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
-	.B1(FE_OFN18434_n_11559),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
 	.Y(n_12331), 
 	.VPWR(vccd1), 
@@ -68376,9 +65155,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324925 (
-	.A1(FE_OFN18600_n_15998),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
-	.B1(n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
 	.Y(n_12329), 
 	.VPWR(vccd1), 
@@ -68386,9 +65165,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324926 (
-	.A1(FE_OFN1120_n_15995),
+	.A1(FE_OFN18474_n_15995),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
-	.B1(FE_OFN18317_n_15999),
+	.B1(FE_OFN18444_n_15999),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
 	.Y(n_12328), 
 	.VPWR(vccd1), 
@@ -68396,9 +65175,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324927 (
-	.A1(FE_PSN4422_n_11763),
+	.A1(FE_OFN18561_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
-	.B1(FE_OFN18480_n_11558),
+	.B1(FE_OFN19052_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
 	.Y(n_12327), 
 	.VPWR(vccd1), 
@@ -68406,9 +65185,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324929 (
-	.A1(FE_PSN4423_n_15859),
+	.A1(n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
-	.B1(FE_OFN18553_n_15856),
+	.B1(n_15856),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
 	.Y(n_12325), 
 	.VPWR(vccd1), 
@@ -68416,9 +65195,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324930 (
-	.A1(FE_PSN4645_n_15861),
+	.A1(n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(n_15882),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
 	.Y(n_12324), 
 	.VPWR(vccd1), 
@@ -68443,7 +65222,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g324933 (
+   sky130_fd_sc_hd__a22oi_2 g324933 (
 	.A1(n_15860),
 	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[0]),
 	.B1(n_11559),
@@ -68472,9 +65251,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324936 (
-	.A1(FE_OFN18586_n_11762),
+	.A1(FE_OFN18364_n_11762),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
-	.B1(FE_OFN18583_n_11552),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
 	.Y(n_12318), 
 	.VPWR(vccd1), 
@@ -68482,9 +65261,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324937 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(FE_OFN18531_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(FE_OFN19059_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
 	.Y(n_12317), 
 	.VPWR(vccd1), 
@@ -68492,9 +65271,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324938 (
-	.A1(FE_OFN1076_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
-	.B1(FE_OFN18483_n_11556),
+	.B1(n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
 	.Y(n_12316), 
 	.VPWR(vccd1), 
@@ -68502,9 +65281,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324939 (
-	.A1(FE_OFN1111_n_15859),
+	.A1(FE_OFN18516_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
-	.B1(FE_OFN1067_n_11555),
+	.B1(FE_OFN18572_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
 	.Y(n_12315), 
 	.VPWR(vccd1), 
@@ -68512,9 +65291,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324940 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(n_15882),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
 	.Y(n_12314), 
 	.VPWR(vccd1), 
@@ -68522,9 +65301,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324941 (
-	.A1(FE_PSN4645_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(FE_OFN19059_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
 	.Y(n_12313), 
 	.VPWR(vccd1), 
@@ -68532,9 +65311,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324942 (
-	.A1(FE_PSN4432_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
-	.B1(FE_OFN18483_n_11556),
+	.B1(FE_OFN18448_n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
 	.Y(n_12312), 
 	.VPWR(vccd1), 
@@ -68544,16 +65323,16 @@
    sky130_fd_sc_hd__o2bb2ai_1 g324943 (
 	.A1_N(u_soc_u_top_u_core_pc_id[1]),
 	.A2_N(n_15862),
-	.B1(n_11032),
-	.B2(n_11770),
+	.B1(FE_OFN18301_n_11032),
+	.B2(FE_OFN18603_n_11770),
 	.Y(n_12311), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_4 g324944 (
+   sky130_fd_sc_hd__a22oi_1 g324944 (
 	.A1(n_11771),
-	.A2(FE_OFN18523_u_soc_u_top_u_core_instr_rdata_id_15),
+	.A2(FE_OFN849_u_soc_u_top_u_core_instr_rdata_id_15),
 	.B1(n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[0]),
 	.Y(n_12310), 
@@ -68562,9 +65341,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324945 (
-	.A1(FE_OFN18600_n_15998),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
-	.B1(FE_OFN18567_n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
 	.Y(n_12309), 
 	.VPWR(vccd1), 
@@ -68572,9 +65351,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324946 (
-	.A1(FE_PSN4422_n_11763),
+	.A1(FE_OFN18561_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
-	.B1(FE_OFN18480_n_11558),
+	.B1(FE_OFN19052_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
 	.Y(n_12308), 
 	.VPWR(vccd1), 
@@ -68582,9 +65361,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324947 (
-	.A1(FE_OFN1078_n_11763),
+	.A1(FE_OFN18631_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
-	.B1(FE_OFN1070_n_11558),
+	.B1(FE_OFN18629_n_11558),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
 	.Y(n_12307), 
 	.VPWR(vccd1), 
@@ -68592,9 +65371,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324948 (
-	.A1(FE_OFN18579_n_15859),
+	.A1(FE_OFN18515_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
-	.B1(FE_OFN18422_n_11555),
+	.B1(FE_OFN18522_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
 	.Y(n_12306), 
 	.VPWR(vccd1), 
@@ -68602,9 +65381,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324949 (
-	.A1(FE_OFN18475_n_11756),
+	.A1(FE_OFN19060_n),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
-	.B1(FE_OFN18642_n_11516),
+	.B1(FE_OFN18365_n_11516),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
 	.Y(n_12305), 
 	.VPWR(vccd1), 
@@ -68612,9 +65391,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324950 (
-	.A1(FE_OFN18579_n_15859),
+	.A1(FE_OFN18515_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
-	.B1(FE_OFN18422_n_11555),
+	.B1(FE_OFN18522_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
 	.Y(n_12304), 
 	.VPWR(vccd1), 
@@ -68622,9 +65401,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324951 (
-	.A1(FE_OFN18599_n_15998),
+	.A1(n_11753),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
-	.B1(FE_OFN18567_n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
 	.Y(n_12303), 
 	.VPWR(vccd1), 
@@ -68632,9 +65411,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324952 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(FE_OFN19058_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
 	.Y(n_12302), 
 	.VPWR(vccd1), 
@@ -68642,9 +65421,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324953 (
-	.A1(FE_OFN1076_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
-	.B1(n_11556),
+	.B1(FE_OFN18623_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
 	.Y(n_12301), 
 	.VPWR(vccd1), 
@@ -68652,9 +65431,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g324954 (
-	.A1(FE_OFN18614_n_11761),
+	.A1(n_11761),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
-	.B1(FE_OFN18434_n_11559),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
 	.X(n_12300), 
 	.VPWR(vccd1), 
@@ -68662,9 +65441,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324955 (
-	.A1(FE_OFN18559_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(FE_OFN19059_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
 	.Y(n_12299), 
 	.VPWR(vccd1), 
@@ -68672,9 +65451,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324956 (
-	.A1(FE_PSN4422_n_11763),
+	.A1(FE_OFN18561_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
-	.B1(FE_OFN18480_n_11558),
+	.B1(FE_OFN19052_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
 	.Y(n_12298), 
 	.VPWR(vccd1), 
@@ -68682,9 +65461,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324957 (
-	.A1(FE_OFN18600_n_15998),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
-	.B1(n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
 	.Y(n_12297), 
 	.VPWR(vccd1), 
@@ -68692,9 +65471,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324958 (
-	.A1(FE_OFN18597_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
-	.B1(FE_OFN1068_n_11556),
+	.B1(FE_OFN18448_n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
 	.Y(n_12296), 
 	.VPWR(vccd1), 
@@ -68702,9 +65481,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324959 (
-	.A1(FE_PSN4423_n_15859),
+	.A1(FE_OFN18515_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
-	.B1(FE_PSBN19877_FE_PSN4174_n_11555),
+	.B1(FE_OFN18522_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
 	.Y(n_12295), 
 	.VPWR(vccd1), 
@@ -68712,9 +65491,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324960 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(FE_OFN18531_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(FE_OFN19058_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
 	.Y(n_12294), 
 	.VPWR(vccd1), 
@@ -68722,9 +65501,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324961 (
-	.A1(FE_OFN18559_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(FE_OFN19059_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
 	.Y(n_12293), 
 	.VPWR(vccd1), 
@@ -68732,9 +65511,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g324962 (
-	.A1(FE_OFN18640_n_15996),
+	.A1(n_11765),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
-	.B1(FE_OFN18310_n_11515),
+	.B1(n_11515),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
 	.X(n_12292), 
 	.VPWR(vccd1), 
@@ -68742,9 +65521,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g324963 (
-	.A1(FE_OFN18614_n_11761),
+	.A1(n_11761),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
-	.B1(FE_OFN18434_n_11559),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
 	.X(n_12291), 
 	.VPWR(vccd1), 
@@ -68752,9 +65531,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g324964 (
-	.A1(FE_OFN18614_n_11761),
+	.A1(n_11761),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
-	.B1(FE_OFN18434_n_11559),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
 	.X(n_12290), 
 	.VPWR(vccd1), 
@@ -68762,9 +65541,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g324965 (
-	.A1(FE_OFN18454_n_15997),
+	.A1(n_11761),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
-	.B1(FE_COEN4297_FE_OFN1071_n_11559),
+	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
 	.X(n_12289), 
 	.VPWR(vccd1), 
@@ -68772,9 +65551,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324966 (
-	.A1(FE_OFN18597_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
-	.B1(FE_OFN1068_n_11556),
+	.B1(FE_OFN18448_n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
 	.Y(n_12288), 
 	.VPWR(vccd1), 
@@ -68782,9 +65561,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324967 (
-	.A1(FE_OFN18600_n_15998),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
-	.B1(n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
 	.Y(n_12287), 
 	.VPWR(vccd1), 
@@ -68792,9 +65571,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324968 (
-	.A1(FE_PSN4422_n_11763),
+	.A1(FE_OFN18561_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
-	.B1(FE_OFN18480_n_11558),
+	.B1(FE_OFN19052_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
 	.Y(n_12286), 
 	.VPWR(vccd1), 
@@ -68802,9 +65581,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324969 (
-	.A1(FE_OFN18597_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
-	.B1(FE_OFN1068_n_11556),
+	.B1(FE_OFN18448_n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
 	.Y(n_12285), 
 	.VPWR(vccd1), 
@@ -68812,9 +65591,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324970 (
-	.A1(FE_OFN18579_n_15859),
+	.A1(FE_OFN18515_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
-	.B1(FE_OFN18422_n_11555),
+	.B1(FE_OFN18522_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
 	.Y(n_12284), 
 	.VPWR(vccd1), 
@@ -68822,9 +65601,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324971 (
-	.A1(FE_OFN18600_n_15998),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
-	.B1(n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
 	.Y(n_12283), 
 	.VPWR(vccd1), 
@@ -68832,9 +65611,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324972 (
-	.A1(FE_OFN1078_n_11763),
+	.A1(FE_OFN18631_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
-	.B1(FE_OFN1070_n_11558),
+	.B1(FE_OFN18629_n_11558),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
 	.Y(n_12282), 
 	.VPWR(vccd1), 
@@ -68842,9 +65621,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324973 (
-	.A1(FE_PSN4422_n_11763),
+	.A1(FE_OFN18561_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
-	.B1(FE_OFN18480_n_11558),
+	.B1(FE_OFN19052_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
 	.Y(n_12281), 
 	.VPWR(vccd1), 
@@ -68852,9 +65631,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324974 (
-	.A1(FE_OFN18559_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(FE_OFN19059_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
 	.Y(n_12280), 
 	.VPWR(vccd1), 
@@ -68864,7 +65643,7 @@
    sky130_fd_sc_hd__a22oi_1 g324975 (
 	.A1(n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
-	.B1(n_11556),
+	.B1(FE_OFN18448_n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
 	.Y(n_12279), 
 	.VPWR(vccd1), 
@@ -68872,9 +65651,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324976 (
-	.A1(FE_PSN4432_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
-	.B1(FE_OFN18483_n_11556),
+	.B1(FE_OFN18448_n_11556),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
 	.Y(n_12278), 
 	.VPWR(vccd1), 
@@ -68882,9 +65661,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324977 (
-	.A1(FE_OFN18600_n_15998),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
-	.B1(FE_OFN18567_n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
 	.Y(n_12277), 
 	.VPWR(vccd1), 
@@ -68892,9 +65671,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324978 (
-	.A1(FE_OFN18579_n_15859),
+	.A1(FE_OFN18515_n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
-	.B1(FE_OFN18422_n_11555),
+	.B1(FE_OFN18522_n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
 	.Y(n_12276), 
 	.VPWR(vccd1), 
@@ -68902,9 +65681,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324979 (
-	.A1(FE_OFN18599_n_15998),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
-	.B1(FE_OFN18567_n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
 	.Y(n_12275), 
 	.VPWR(vccd1), 
@@ -68912,9 +65691,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324980 (
-	.A1(FE_PSN4422_n_11763),
+	.A1(FE_OFN18631_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
-	.B1(FE_OFN18480_n_11558),
+	.B1(FE_OFN18629_n_11558),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
 	.Y(n_12274), 
 	.VPWR(vccd1), 
@@ -68922,9 +65701,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324981 (
-	.A1(FE_OFN1078_n_11763),
+	.A1(FE_OFN18561_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
-	.B1(FE_OFN1070_n_11558),
+	.B1(FE_OFN19052_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
 	.Y(n_12273), 
 	.VPWR(vccd1), 
@@ -68932,9 +65711,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324982 (
-	.A1(FE_OFN18559_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
-	.B1(FE_OFN18272_n_15882),
+	.B1(FE_OFN19059_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
 	.Y(n_12272), 
 	.VPWR(vccd1), 
@@ -68942,9 +65721,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324983 (
-	.A1(FE_OFN18558_n_15861),
+	.A1(FE_OFN18571_n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
-	.B1(FE_OFN1589_n_15882),
+	.B1(FE_OFN19058_FE_RN_146_0),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
 	.Y(n_12271), 
 	.VPWR(vccd1), 
@@ -68952,9 +65731,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324984 (
-	.A1(FE_OFN18600_n_15998),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
-	.B1(FE_OFN18567_n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
 	.Y(n_12270), 
 	.VPWR(vccd1), 
@@ -68962,9 +65741,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324985 (
-	.A1(FE_OFN18599_n_15998),
+	.A1(FE_OFN18196_n_15998),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
-	.B1(FE_OFN18567_n_11513),
+	.B1(FE_OFN18442_n_11513),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
 	.Y(n_12269), 
 	.VPWR(vccd1), 
@@ -68972,9 +65751,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324986 (
-	.A1(FE_PSN4422_n_11763),
+	.A1(FE_OFN18631_n_11763),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
-	.B1(FE_OFN18480_n_11558),
+	.B1(FE_OFN18629_n_11558),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
 	.Y(n_12268), 
 	.VPWR(vccd1), 
@@ -68982,9 +65761,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324987 (
-	.A1(FE_OFN1076_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
-	.B1(FE_OFN18483_n_11556),
+	.B1(FE_OFN18623_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
 	.Y(n_12267), 
 	.VPWR(vccd1), 
@@ -68992,9 +65771,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g324988 (
-	.A1(FE_OFN18597_n_11754),
+	.A1(FE_OFN18512_n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
-	.B1(FE_OFN1068_n_11556),
+	.B1(FE_OFN18623_n),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
 	.Y(n_12266), 
 	.VPWR(vccd1), 
@@ -69015,26 +65794,12 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g324991 (
-	.A(n_12262),
-	.Y(n_12261), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g324993 (
-	.A(n_15883),
-	.Y(n_12257), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g324994 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
-	.A2(FE_OFN1051_n_11418),
-	.B1(n_11422),
+	.A2(FE_OFN18621_n),
+	.B1(FE_OFN18396_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
-	.C1(FE_PSBN19868_n_16000),
+	.C1(FE_OFN18498_n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
 	.Y(n_12255), 
 	.VPWR(vccd1), 
@@ -69042,7 +65807,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324995 (
-	.A(FE_OFN18588_n_11757),
+	.A(FE_OFN18583_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
 	.Y(n_12254), 
 	.VPWR(vccd1), 
@@ -69050,7 +65815,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324996 (
-	.A(n_11749),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
 	.Y(n_12253), 
 	.VPWR(vccd1), 
@@ -69058,7 +65823,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324997 (
-	.A(FE_OFN1108_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
 	.Y(n_12252), 
 	.VPWR(vccd1), 
@@ -69066,7 +65831,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324998 (
-	.A(FE_OFN1077_n_11756),
+	.A(FE_OFN19060_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
 	.Y(n_12251), 
 	.VPWR(vccd1), 
@@ -69074,7 +65839,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g324999 (
-	.A(FE_OFN18623_n_11748),
+	.A(FE_OFN18334_n_11749),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
 	.Y(n_12250), 
 	.VPWR(vccd1), 
@@ -69082,7 +65847,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325000 (
-	.A(FE_OFN1077_n_11756),
+	.A(FE_OFN19060_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
 	.Y(n_12249), 
 	.VPWR(vccd1), 
@@ -69090,7 +65855,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325001 (
-	.A(FE_OFN1108_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
 	.Y(n_12248), 
 	.VPWR(vccd1), 
@@ -69098,7 +65863,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325002 (
-	.A(FE_OFN1108_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
 	.Y(n_12247), 
 	.VPWR(vccd1), 
@@ -69106,7 +65871,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325003 (
-	.A(FE_OFN18537_n_15857),
+	.A(n_15857),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
 	.Y(n_12246), 
 	.VPWR(vccd1), 
@@ -69114,7 +65879,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325004 (
-	.A(FE_PSN4164_n_15857),
+	.A(n_15857),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
 	.Y(n_12245), 
 	.VPWR(vccd1), 
@@ -69122,7 +65887,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325005 (
-	.A(FE_OFN1074_n_11749),
+	.A(FE_OFN18334_n_11749),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
 	.Y(n_12244), 
 	.VPWR(vccd1), 
@@ -69130,7 +65895,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325006 (
-	.A(FE_OFN1076_n_11754),
+	.A(FE_OFN18512_n_11754),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
 	.Y(n_12243), 
 	.VPWR(vccd1), 
@@ -69138,7 +65903,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325007 (
-	.A(FE_PSN4170_FE_OFN18454_n_15997),
+	.A(FE_OFN18372_n_15997),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
 	.Y(n_12242), 
 	.VPWR(vccd1), 
@@ -69146,7 +65911,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325008 (
-	.A(FE_OFN18589_n_11757),
+	.A(FE_OFN18601_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
 	.Y(n_12241), 
 	.VPWR(vccd1), 
@@ -69154,7 +65919,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325009 (
-	.A(n_11749),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
 	.Y(n_12240), 
 	.VPWR(vccd1), 
@@ -69162,7 +65927,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325010 (
-	.A(FE_OFN18553_n_15856),
+	.A(n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
 	.Y(n_12239), 
 	.VPWR(vccd1), 
@@ -69170,7 +65935,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325011 (
-	.A(FE_OFN18440_n_11765),
+	.A(FE_OFN18493_n_15996),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
 	.Y(n_12238), 
 	.VPWR(vccd1), 
@@ -69178,7 +65943,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325012 (
-	.A(FE_OFN1077_n_11756),
+	.A(FE_OFN19060_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
 	.Y(n_12237), 
 	.VPWR(vccd1), 
@@ -69186,7 +65951,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325013 (
-	.A(FE_OFN1074_n_11749),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
 	.Y(n_12236), 
 	.VPWR(vccd1), 
@@ -69194,7 +65959,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325014 (
-	.A(FE_OFN1075_n_11751),
+	.A(FE_OFN18612_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
 	.Y(n_12235), 
 	.VPWR(vccd1), 
@@ -69202,7 +65967,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325015 (
-	.A(n_11749),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
 	.Y(n_12234), 
 	.VPWR(vccd1), 
@@ -69210,7 +65975,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325016 (
-	.A(FE_OFN18587_n_11762),
+	.A(FE_OFN18364_n_11762),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
 	.Y(n_12233), 
 	.VPWR(vccd1), 
@@ -69218,7 +65983,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325017 (
-	.A(FE_OFN1108_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
 	.Y(n_12232), 
 	.VPWR(vccd1), 
@@ -69226,7 +65991,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325018 (
-	.A(FE_OFN18553_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
 	.Y(n_12231), 
 	.VPWR(vccd1), 
@@ -69234,7 +65999,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325019 (
-	.A(FE_OFN18599_n_15998),
+	.A(FE_OFN18543_n_15998),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
 	.Y(n_12230), 
 	.VPWR(vccd1), 
@@ -69242,7 +66007,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g325020 (
-	.A(n_11765),
+	.A(n_15996),
 	.B_N(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
 	.Y(n_12229), 
 	.VPWR(vccd1), 
@@ -69250,7 +66015,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325021 (
-	.A(n_11749),
+	.A(FE_OFN18334_n_11749),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
 	.Y(n_12228), 
 	.VPWR(vccd1), 
@@ -69258,7 +66023,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325022 (
-	.A(FE_OFN1076_n_11754),
+	.A(FE_OFN18512_n_11754),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
 	.Y(n_12227), 
 	.VPWR(vccd1), 
@@ -69266,7 +66031,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325023 (
-	.A(FE_OFN18588_n_11757),
+	.A(FE_OFN18601_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
 	.Y(n_12226), 
 	.VPWR(vccd1), 
@@ -69274,7 +66039,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325024 (
-	.A(n_11749),
+	.A(FE_OFN18334_n_11749),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
 	.Y(n_12225), 
 	.VPWR(vccd1), 
@@ -69282,7 +66047,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325025 (
-	.A(FE_OFN18589_n_11757),
+	.A(n_11757),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
 	.Y(n_12224), 
 	.VPWR(vccd1), 
@@ -69290,7 +66055,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325026 (
-	.A(FE_OFN1108_n_15856),
+	.A(n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
 	.Y(n_12223), 
 	.VPWR(vccd1), 
@@ -69306,7 +66071,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325028 (
-	.A(FE_OFN1078_n_11763),
+	.A(n_11763),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
 	.Y(n_12221), 
 	.VPWR(vccd1), 
@@ -69314,7 +66079,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325030 (
-	.A(FE_COEN4298_n_11756),
+	.A(n_11756),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
 	.Y(n_12219), 
 	.VPWR(vccd1), 
@@ -69322,7 +66087,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325031 (
-	.A(FE_OFN1074_n_11749),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
 	.Y(n_12218), 
 	.VPWR(vccd1), 
@@ -69330,7 +66095,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325032 (
-	.A(FE_OFN18588_n_11757),
+	.A(FE_OFN18601_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
 	.Y(n_12217), 
 	.VPWR(vccd1), 
@@ -69338,7 +66103,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325033 (
-	.A(FE_OFN1108_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
 	.Y(n_12216), 
 	.VPWR(vccd1), 
@@ -69346,7 +66111,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325034 (
-	.A(FE_OFN1108_n_15856),
+	.A(n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
 	.Y(n_12215), 
 	.VPWR(vccd1), 
@@ -69354,7 +66119,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325035 (
-	.A(FE_OFN18553_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
 	.Y(n_12214), 
 	.VPWR(vccd1), 
@@ -69362,7 +66127,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325036 (
-	.A(FE_OFN18623_n_11748),
+	.A(FE_OFN18334_n_11749),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
 	.Y(n_12213), 
 	.VPWR(vccd1), 
@@ -69370,7 +66135,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325037 (
-	.A(FE_OFN18614_n_11761),
+	.A(FE_OFN18372_n_15997),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
 	.Y(n_12212), 
 	.VPWR(vccd1), 
@@ -69386,7 +66151,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325039 (
-	.A(FE_OFN18450_n_11751),
+	.A(FE_OFN18602_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
 	.Y(n_12210), 
 	.VPWR(vccd1), 
@@ -69394,7 +66159,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325040 (
-	.A(FE_OFN1077_n_11756),
+	.A(FE_OFN18560_n_11756),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
 	.Y(n_12209), 
 	.VPWR(vccd1), 
@@ -69402,7 +66167,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325041 (
-	.A(FE_OFN1078_n_11763),
+	.A(FE_OFN18561_n_11763),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
 	.Y(n_12208), 
 	.VPWR(vccd1), 
@@ -69418,7 +66183,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325043 (
-	.A(n_11757),
+	.A(FE_OFN18601_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
 	.Y(n_12206), 
 	.VPWR(vccd1), 
@@ -69426,7 +66191,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325044 (
-	.A(n_11749),
+	.A(FE_OFN18334_n_11749),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
 	.Y(n_12205), 
 	.VPWR(vccd1), 
@@ -69434,7 +66199,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325045 (
-	.A(FE_OFN1074_n_11749),
+	.A(FE_OFN18334_n_11749),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
 	.Y(n_12204), 
 	.VPWR(vccd1), 
@@ -69442,7 +66207,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325046 (
-	.A(FE_OFN1076_n_11754),
+	.A(FE_OFN18512_n_11754),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
 	.Y(n_12203), 
 	.VPWR(vccd1), 
@@ -69450,7 +66215,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325047 (
-	.A(FE_OFN18553_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
 	.Y(n_12202), 
 	.VPWR(vccd1), 
@@ -69466,7 +66231,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325049 (
-	.A(FE_OFN18471_n_15860),
+	.A(FE_OFN18255_n),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[26]),
 	.Y(n_12200), 
 	.VPWR(vccd1), 
@@ -69474,7 +66239,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325050 (
-	.A(FE_COEN4298_n_11756),
+	.A(n_11756),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
 	.Y(n_12199), 
 	.VPWR(vccd1), 
@@ -69482,7 +66247,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325051 (
-	.A(FE_OFN18450_n_11751),
+	.A(FE_OFN18612_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
 	.Y(n_12198), 
 	.VPWR(vccd1), 
@@ -69490,7 +66255,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325052 (
-	.A(FE_OFN18640_n_15996),
+	.A(n_11765),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
 	.Y(n_12197), 
 	.VPWR(vccd1), 
@@ -69498,7 +66263,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325053 (
-	.A(FE_OFN1074_n_11749),
+	.A(FE_OFN18334_n_11749),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
 	.Y(n_12196), 
 	.VPWR(vccd1), 
@@ -69506,7 +66271,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325054 (
-	.A(FE_OFN1077_n_11756),
+	.A(FE_OFN19060_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
 	.Y(n_12195), 
 	.VPWR(vccd1), 
@@ -69514,7 +66279,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325055 (
-	.A(FE_OFN18587_n_11762),
+	.A(FE_OFN18364_n_11762),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
 	.Y(n_12194), 
 	.VPWR(vccd1), 
@@ -69522,7 +66287,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325056 (
-	.A(n_11749),
+	.A(FE_OFN18334_n_11749),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
 	.Y(n_12193), 
 	.VPWR(vccd1), 
@@ -69530,7 +66295,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325057 (
-	.A(n_11757),
+	.A(FE_OFN18583_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
 	.Y(n_12192), 
 	.VPWR(vccd1), 
@@ -69538,7 +66303,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325058 (
-	.A(FE_OFN1108_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
 	.Y(n_12191), 
 	.VPWR(vccd1), 
@@ -69546,7 +66311,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325059 (
-	.A(FE_OFN1074_n_11749),
+	.A(FE_OFN18334_n_11749),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
 	.Y(n_12190), 
 	.VPWR(vccd1), 
@@ -69562,7 +66327,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325061 (
-	.A(n_11753),
+	.A(FE_OFN18543_n_15998),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
 	.Y(n_12188), 
 	.VPWR(vccd1), 
@@ -69570,7 +66335,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325062 (
-	.A(FE_OFN18588_n_11757),
+	.A(FE_OFN18601_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
 	.Y(n_12187), 
 	.VPWR(vccd1), 
@@ -69578,7 +66343,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325063 (
-	.A(n_11761),
+	.A(FE_OFN18184_n_15997),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
 	.Y(n_12186), 
 	.VPWR(vccd1), 
@@ -69586,7 +66351,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325065 (
-	.A(FE_OFN18553_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
 	.Y(n_12184), 
 	.VPWR(vccd1), 
@@ -69594,7 +66359,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325066 (
-	.A(FE_OFN1108_n_15856),
+	.A(n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
 	.Y(n_12183), 
 	.VPWR(vccd1), 
@@ -69609,8 +66374,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g325068 (
-	.A(n_11753),
+   sky130_fd_sc_hd__nand2_1 g325068 (
+	.A(FE_OFN18543_n_15998),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
 	.Y(n_12181), 
 	.VPWR(vccd1), 
@@ -69618,7 +66383,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325069 (
-	.A(n_11761),
+	.A(FE_OFN18184_n_15997),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
 	.Y(n_12180), 
 	.VPWR(vccd1), 
@@ -69626,7 +66391,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325070 (
-	.A(FE_OFN18599_n_15998),
+	.A(n_11753),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
 	.Y(n_12179), 
 	.VPWR(vccd1), 
@@ -69642,7 +66407,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325072 (
-	.A(FE_OFN1074_n_11749),
+	.A(FE_OFN18334_n_11749),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
 	.Y(n_12177), 
 	.VPWR(vccd1), 
@@ -69650,7 +66415,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325073 (
-	.A(n_11754),
+	.A(FE_OFN18512_n_11754),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
 	.Y(n_12176), 
 	.VPWR(vccd1), 
@@ -69666,7 +66431,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325075 (
-	.A(n_11749),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
 	.Y(n_12174), 
 	.VPWR(vccd1), 
@@ -69674,7 +66439,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325077 (
-	.A(FE_OFN1077_n_11756),
+	.A(FE_OFN19060_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
 	.Y(n_12172), 
 	.VPWR(vccd1), 
@@ -69698,7 +66463,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325081 (
-	.A(FE_COEN4298_n_11756),
+	.A(n_11756),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
 	.Y(n_12168), 
 	.VPWR(vccd1), 
@@ -69706,7 +66471,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325082 (
-	.A(FE_OFN1077_n_11756),
+	.A(FE_OFN19060_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
 	.Y(n_12167), 
 	.VPWR(vccd1), 
@@ -69714,7 +66479,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325083 (
-	.A(FE_OFN18597_n_11754),
+	.A(FE_OFN18512_n_11754),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
 	.Y(n_12166), 
 	.VPWR(vccd1), 
@@ -69722,7 +66487,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325084 (
-	.A(FE_OFN18597_n_11754),
+	.A(FE_OFN18512_n_11754),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
 	.Y(n_12165), 
 	.VPWR(vccd1), 
@@ -69730,7 +66495,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325085 (
-	.A(FE_PSN4633_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
 	.Y(n_12164), 
 	.VPWR(vccd1), 
@@ -69738,7 +66503,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325086 (
-	.A(FE_PSN4374_n_15860),
+	.A(FE_OFN18255_n),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[25]),
 	.Y(n_12163), 
 	.VPWR(vccd1), 
@@ -69754,7 +66519,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325088 (
-	.A(FE_OFN1074_n_11749),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
 	.Y(n_12161), 
 	.VPWR(vccd1), 
@@ -69762,7 +66527,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325089 (
-	.A(FE_PSN4134_FE_OFN18552_n_15995),
+	.A(FE_OFN18474_n_15995),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
 	.Y(n_12160), 
 	.VPWR(vccd1), 
@@ -69770,7 +66535,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325090 (
-	.A(FE_OFN18623_n_11748),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
 	.Y(n_12159), 
 	.VPWR(vccd1), 
@@ -69778,7 +66543,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325091 (
-	.A(FE_OFN18475_n_11756),
+	.A(FE_OFN19060_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
 	.Y(n_12158), 
 	.VPWR(vccd1), 
@@ -69786,7 +66551,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325092 (
-	.A(n_11749),
+	.A(FE_OFN18334_n_11749),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
 	.Y(n_12157), 
 	.VPWR(vccd1), 
@@ -69794,7 +66559,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325093 (
-	.A(FE_OFN18588_n_11757),
+	.A(FE_OFN18601_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
 	.Y(n_12156), 
 	.VPWR(vccd1), 
@@ -69802,7 +66567,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325094 (
-	.A(FE_OFN1077_n_11756),
+	.A(FE_OFN18560_n_11756),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
 	.Y(n_12155), 
 	.VPWR(vccd1), 
@@ -69810,7 +66575,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325095 (
-	.A(FE_OFN18553_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
 	.Y(n_12154), 
 	.VPWR(vccd1), 
@@ -69818,7 +66583,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325096 (
-	.A(FE_OFN1108_n_15856),
+	.A(n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
 	.Y(n_12153), 
 	.VPWR(vccd1), 
@@ -69826,7 +66591,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325097 (
-	.A(FE_PSN4170_FE_OFN18454_n_15997),
+	.A(FE_OFN18372_n_15997),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
 	.Y(n_12152), 
 	.VPWR(vccd1), 
@@ -69834,7 +66599,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325098 (
-	.A(FE_OFN1075_n_11751),
+	.A(FE_OFN18477_n_11751),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
 	.Y(n_12151), 
 	.VPWR(vccd1), 
@@ -69842,7 +66607,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325099 (
-	.A(n_11749),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
 	.Y(n_12150), 
 	.VPWR(vccd1), 
@@ -69850,7 +66615,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325101 (
-	.A(FE_OFN18475_n_11756),
+	.A(FE_OFN18560_n_11756),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
 	.Y(n_12148), 
 	.VPWR(vccd1), 
@@ -69858,7 +66623,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325102 (
-	.A(FE_OFN18589_n_11757),
+	.A(FE_OFN18601_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
 	.Y(n_12147), 
 	.VPWR(vccd1), 
@@ -69866,7 +66631,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325103 (
-	.A(FE_OFN1108_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
 	.Y(n_12146), 
 	.VPWR(vccd1), 
@@ -69874,7 +66639,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325104 (
-	.A(FE_OFN18623_n_11748),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
 	.Y(n_12145), 
 	.VPWR(vccd1), 
@@ -69882,7 +66647,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325105 (
-	.A(FE_OFN18588_n_11757),
+	.A(FE_OFN18601_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
 	.Y(n_12144), 
 	.VPWR(vccd1), 
@@ -69890,7 +66655,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325106 (
-	.A(FE_OFN18475_n_11756),
+	.A(FE_OFN18560_n_11756),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
 	.Y(n_12143), 
 	.VPWR(vccd1), 
@@ -69898,7 +66663,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325107 (
-	.A(FE_OFN18553_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
 	.Y(n_12142), 
 	.VPWR(vccd1), 
@@ -69906,7 +66671,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325108 (
-	.A(FE_OFN1108_n_15856),
+	.A(n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
 	.Y(n_12141), 
 	.VPWR(vccd1), 
@@ -69914,7 +66679,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325109 (
-	.A(FE_OFN18553_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
 	.Y(n_12140), 
 	.VPWR(vccd1), 
@@ -69922,7 +66687,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325110 (
-	.A(FE_OFN18623_n_11748),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
 	.Y(n_12139), 
 	.VPWR(vccd1), 
@@ -69930,7 +66695,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325111 (
-	.A(FE_OFN18623_n_11748),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
 	.Y(n_12138), 
 	.VPWR(vccd1), 
@@ -69938,7 +66703,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325112 (
-	.A(n_11749),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
 	.Y(n_12137), 
 	.VPWR(vccd1), 
@@ -69946,7 +66711,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325113 (
-	.A(FE_COEN4271_FE_OFN18292_n_15857),
+	.A(n_15857),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
 	.Y(n_12136), 
 	.VPWR(vccd1), 
@@ -69954,7 +66719,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325114 (
-	.A(FE_OFN18475_n_11756),
+	.A(FE_OFN18560_n_11756),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
 	.Y(n_12135), 
 	.VPWR(vccd1), 
@@ -69962,7 +66727,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325115 (
-	.A(FE_OFN18553_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
 	.Y(n_12134), 
 	.VPWR(vccd1), 
@@ -69970,7 +66735,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325116 (
-	.A(FE_PSN4170_FE_OFN18454_n_15997),
+	.A(FE_OFN18372_n_15997),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
 	.Y(n_12133), 
 	.VPWR(vccd1), 
@@ -69978,7 +66743,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325117 (
-	.A(n_11757),
+	.A(FE_OFN18583_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
 	.Y(n_12132), 
 	.VPWR(vccd1), 
@@ -69986,7 +66751,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325118 (
-	.A(FE_OFN18623_n_11748),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
 	.Y(n_12131), 
 	.VPWR(vccd1), 
@@ -69994,7 +66759,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325119 (
-	.A(FE_OFN18475_n_11756),
+	.A(FE_OFN19060_n),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
 	.Y(n_12130), 
 	.VPWR(vccd1), 
@@ -70002,7 +66767,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325120 (
-	.A(FE_OFN18553_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
 	.Y(n_12129), 
 	.VPWR(vccd1), 
@@ -70010,7 +66775,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325121 (
-	.A(FE_OFN18553_n_15856),
+	.A(FE_OFN18366_n_15856),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
 	.Y(n_12128), 
 	.VPWR(vccd1), 
@@ -70018,7 +66783,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325122 (
-	.A(FE_OFN1120_n_15995),
+	.A(FE_OFN18474_n_15995),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
 	.Y(n_12127), 
 	.VPWR(vccd1), 
@@ -70026,7 +66791,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325123 (
-	.A(FE_PSN4170_FE_OFN18454_n_15997),
+	.A(FE_OFN18372_n_15997),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
 	.Y(n_12126), 
 	.VPWR(vccd1), 
@@ -70034,7 +66799,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325124 (
-	.A(n_11749),
+	.A(FE_OFN18574_n_11748),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
 	.Y(n_12125), 
 	.VPWR(vccd1), 
@@ -70043,10 +66808,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325125 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
-	.A2(FE_OFN1051_n_11418),
-	.B1(n_11422),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
-	.C1(FE_OFN18631_n),
+	.C1(FE_OFN19030_n_11561),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
 	.Y(n_12124), 
 	.VPWR(vccd1), 
@@ -70055,10 +66820,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325126 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
-	.A2(FE_OFN1116_n_15870),
-	.B1(FE_OFN1050_n_11415),
+	.A2(n_15870),
+	.B1(n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
 	.Y(n_12123), 
 	.VPWR(vccd1), 
@@ -70068,7 +66833,7 @@
    sky130_fd_sc_hd__o21ai_0 g325127 (
 	.A1(n_11446),
 	.A2(n_11574),
-	.B1(FE_PSN4403_n_15881),
+	.B1(n_15881),
 	.Y(n_12122), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -70076,10 +66841,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325129 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
-	.A2(FE_OFN18510_n_11423),
-	.B1(FE_OFN18490_n_11428),
+	.A2(n_11423),
+	.B1(n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
-	.C1(FE_OFN18437_n_11563),
+	.C1(n_11563),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
 	.Y(n_12120), 
 	.VPWR(vccd1), 
@@ -70088,10 +66853,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325131 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
-	.A2(FE_OFN18510_n_11423),
-	.B1(FE_OFN18490_n_11428),
+	.A2(n_11423),
+	.B1(n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
-	.C1(FE_OFN18437_n_11563),
+	.C1(n_11563),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
 	.Y(n_12118), 
 	.VPWR(vccd1), 
@@ -70100,10 +66865,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325132 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
-	.A2(FE_OFN1051_n_11418),
-	.B1(n_11422),
+	.A2(n_11418),
+	.B1(FE_OFN18397_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
-	.C1(FE_PSBN19868_n_16000),
+	.C1(n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
 	.Y(n_12117), 
 	.VPWR(vccd1), 
@@ -70112,10 +66877,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325133 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
-	.A2(FE_PSN4478_FE_OFN18397_n_15865),
-	.B1(FE_PSN4462_FE_OFN18407_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18434_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
-	.C1(FE_OFN19537_n_11514),
+	.C1(FE_OFN18342_n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
 	.Y(n_12116), 
 	.VPWR(vccd1), 
@@ -70124,10 +66889,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325134 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
-	.A2(FE_OFN1115_n_15869),
-	.B1(FE_OFN18546_n_15866),
+	.A2(FE_OFN18348_n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
-	.C1(FE_COEN4688_FE_OFN18466_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
 	.Y(n_12115), 
 	.VPWR(vccd1), 
@@ -70136,10 +66901,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325135 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
-	.A2(FE_OFN18396_n_15865),
-	.B1(FE_OFN1117_n_15871),
+	.A2(FE_OFN18346_n_15865),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
-	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C1(FE_OFN18615_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
 	.Y(n_12114), 
 	.VPWR(vccd1), 
@@ -70148,10 +66913,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325136 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
-	.A2(FE_COEN4700_FE_OFN18585_n_15872),
-	.B1(FE_PSN4447_FE_OFN18562_n_15867),
+	.A2(FE_OFN18527_n),
+	.B1(FE_OFN18569_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
-	.C1(FE_PSN4394_FE_OFN18506_n_16001),
+	.C1(FE_OFN18556_n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
 	.Y(n_12113), 
 	.VPWR(vccd1), 
@@ -70160,10 +66925,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325137 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
-	.A2(FE_OFN18433_n_15870),
-	.B1(FE_OFN1050_n_11415),
+	.A2(FE_OFN18500_n_15870),
+	.B1(FE_OFN18480_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
-	.C1(FE_PSN4182_n_11480),
+	.C1(FE_OFN18437_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
 	.Y(n_12112), 
 	.VPWR(vccd1), 
@@ -70172,10 +66937,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325138 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
-	.A2(n_11423),
-	.B1(n_11428),
+	.A2(FE_OFN18517_n_11423),
+	.B1(FE_OFN18485_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
-	.C1(n_11563),
+	.C1(FE_OFN18465_n_11563),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
 	.Y(n_12111), 
 	.VPWR(vccd1), 
@@ -70184,10 +66949,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325139 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
-	.A2(FE_OFN18574_n_15869),
-	.B1(FE_PSBN19832_n_15866),
+	.A2(FE_OFN18565_n_15869),
+	.B1(n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
-	.C1(FE_OFN1053_n_11471),
+	.C1(FE_OFN18307_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
 	.Y(n_12110), 
 	.VPWR(vccd1), 
@@ -70196,10 +66961,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325140 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
-	.A2(FE_OFN1051_n_11418),
-	.B1(n_11422),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
-	.C1(FE_OFN18592_n_11561),
+	.C1(FE_OFN19030_n_11561),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
 	.Y(n_12109), 
 	.VPWR(vccd1), 
@@ -70208,10 +66973,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325141 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
-	.A2(FE_OFN18584_n_15872),
-	.B1(FE_OFN18562_n_15867),
+	.A2(FE_OFN18527_n),
+	.B1(FE_OFN18569_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
-	.C1(FE_OFN18611_n_11472),
+	.C1(FE_OFN18628_n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
 	.Y(n_12108), 
 	.VPWR(vccd1), 
@@ -70220,10 +66985,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325142 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
-	.A2(FE_PSN4404_FE_COEN4289_FE_OFN18396_n_15865),
-	.B1(FE_OFN1117_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
-	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C1(FE_OFN18342_n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
 	.Y(n_12107), 
 	.VPWR(vccd1), 
@@ -70232,10 +66997,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325143 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
-	.A2(FE_OFN1116_n_15870),
-	.B1(FE_OFN1050_n_11415),
+	.A2(FE_OFN18500_n_15870),
+	.B1(FE_OFN18480_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18437_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
 	.Y(n_12106), 
 	.VPWR(vccd1), 
@@ -70244,10 +67009,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325144 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
-	.A2(FE_OFN18283_n_15869),
-	.B1(FE_OFN18565_n),
+	.A2(FE_OFN18348_n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
-	.C1(FE_PSN4627_FE_OFN1053_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
 	.Y(n_12105), 
 	.VPWR(vccd1), 
@@ -70256,10 +67021,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325145 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
-	.A2(FE_OFN18584_n_15872),
-	.B1(FE_OFN18562_n_15867),
+	.A2(FE_OFN18369_n_15872),
+	.B1(FE_OFN18538_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
-	.C1(FE_OFN18611_n_11472),
+	.C1(n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
 	.Y(n_12104), 
 	.VPWR(vccd1), 
@@ -70268,10 +67033,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325146 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
-	.A2(FE_COEN4289_FE_OFN18396_n_15865),
-	.B1(FE_OFN1117_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18434_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
-	.C1(FE_OFN18384_n_11514),
+	.C1(FE_OFN18342_n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
 	.Y(n_12103), 
 	.VPWR(vccd1), 
@@ -70280,10 +67045,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325147 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
-	.A2(FE_OFN18574_n_15869),
-	.B1(FE_PSN4378_FE_OFN1113_n_15866),
+	.A2(n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
-	.C1(FE_OFN1053_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
 	.Y(n_12102), 
 	.VPWR(vccd1), 
@@ -70292,10 +67057,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325148 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
-	.A2(FE_PSN4413_FE_OFN18584_n_15872),
-	.B1(FE_OFN18562_n_15867),
+	.A2(FE_OFN18527_n),
+	.B1(FE_OFN18569_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
-	.C1(FE_PSN4431_FE_OFN18505_n_16001),
+	.C1(FE_OFN18556_n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
 	.Y(n_12101), 
 	.VPWR(vccd1), 
@@ -70304,10 +67069,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325149 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
-	.A2(FE_PSN4458_n_15872),
-	.B1(FE_PSN4408_n_15867),
+	.A2(FE_OFN18527_n),
+	.B1(FE_OFN18569_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
-	.C1(n_11472),
+	.C1(FE_OFN18628_n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
 	.Y(n_12100), 
 	.VPWR(vccd1), 
@@ -70319,7 +67084,7 @@
 	.A2(n_11423),
 	.B1(n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
-	.C1(FE_PSN4361_n_11476),
+	.C1(FE_OFN18475_n_11476),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
 	.Y(n_12099), 
 	.VPWR(vccd1), 
@@ -70328,10 +67093,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325151 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
-	.A2(FE_OFN18603_n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.A2(FE_OFN18500_n_15870),
+	.B1(FE_OFN18480_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18437_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
 	.Y(n_12098), 
 	.VPWR(vccd1), 
@@ -70340,10 +67105,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325152 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
-	.A2(FE_OFN18510_n_11423),
-	.B1(FE_OFN18490_n_11428),
+	.A2(FE_OFN18518_n_11423),
+	.B1(FE_OFN18484_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
-	.C1(FE_OFN18493_n_11476),
+	.C1(FE_OFN18475_n_11476),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
 	.Y(n_12097), 
 	.VPWR(vccd1), 
@@ -70352,10 +67117,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325153 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
-	.A2(FE_OFN18510_n_11423),
-	.B1(FE_OFN18490_n_11428),
+	.A2(FE_OFN18517_n_11423),
+	.B1(FE_OFN18485_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
-	.C1(FE_OFN18493_n_11476),
+	.C1(FE_OFN18476_n_11476),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
 	.Y(n_12096), 
 	.VPWR(vccd1), 
@@ -70364,10 +67129,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325154 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
-	.A2(FE_OFN18550_n_11418),
-	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
-	.C1(FE_OFN18456_n_16000),
+	.C1(FE_OFN18497_n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
 	.Y(n_12095), 
 	.VPWR(vccd1), 
@@ -70376,10 +67141,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325155 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
-	.A2(FE_OFN1051_n_11418),
-	.B1(n_11422),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
-	.C1(FE_OFN18631_n),
+	.C1(FE_OFN19030_n_11561),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
 	.Y(n_12094), 
 	.VPWR(vccd1), 
@@ -70388,10 +67153,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325156 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
-	.A2(FE_PSN4478_FE_OFN18397_n_15865),
-	.B1(FE_OFN18407_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18434_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
-	.C1(FE_OFN19537_n_11514),
+	.C1(FE_OFN18342_n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
 	.Y(n_12093), 
 	.VPWR(vccd1), 
@@ -70400,10 +67165,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325157 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
-	.A2(FE_PSN4159_FE_OFN18575_n_15869),
-	.B1(FE_OFN18546_n_15866),
+	.A2(n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
-	.C1(FE_COEN4688_FE_OFN18466_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
 	.Y(n_12092), 
 	.VPWR(vccd1), 
@@ -70412,8 +67177,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325158 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
-	.A2(FE_PSN4362_n_15872),
-	.B1(FE_OFN18563_n_15867),
+	.A2(FE_OFN18369_n_15872),
+	.B1(FE_OFN18538_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
 	.C1(n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
@@ -70424,10 +67189,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325159 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
-	.A2(FE_OFN1116_n_15870),
-	.B1(FE_OFN1050_n_11415),
+	.A2(FE_OFN18500_n_15870),
+	.B1(FE_OFN18480_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18437_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
 	.Y(n_12090), 
 	.VPWR(vccd1), 
@@ -70436,10 +67201,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325160 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
-	.A2(n_11423),
-	.B1(n_11428),
+	.A2(FE_OFN18518_n_11423),
+	.B1(FE_OFN18484_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
-	.C1(n_11563),
+	.C1(FE_OFN18464_n_11563),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
 	.Y(n_12089), 
 	.VPWR(vccd1), 
@@ -70449,9 +67214,9 @@
    sky130_fd_sc_hd__a222oi_1 g325161 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
 	.A2(n_11418),
-	.B1(FE_OFN18472_n_11421),
+	.B1(FE_OFN18396_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
-	.C1(FE_PSBN19894_n_16000),
+	.C1(n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
 	.Y(n_12088), 
 	.VPWR(vccd1), 
@@ -70460,10 +67225,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325162 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
-	.A2(FE_OFN18510_n_11423),
-	.B1(FE_OFN18490_n_11428),
+	.A2(FE_OFN18517_n_11423),
+	.B1(FE_OFN18485_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
-	.C1(FE_OFN18493_n_11476),
+	.C1(FE_OFN18476_n_11476),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
 	.Y(n_12087), 
 	.VPWR(vccd1), 
@@ -70472,10 +67237,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325163 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
-	.A2(FE_COEN4289_FE_OFN18396_n_15865),
-	.B1(FE_OFN1117_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
-	.C1(FE_OFN18384_n_11514),
+	.C1(FE_OFN18342_n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
 	.Y(n_12086), 
 	.VPWR(vccd1), 
@@ -70485,9 +67250,9 @@
    sky130_fd_sc_hd__a222oi_1 g325164 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
 	.A2(n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.B1(n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
-	.C1(FE_PSN4444_n_11480),
+	.C1(n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
 	.Y(n_12085), 
 	.VPWR(vccd1), 
@@ -70496,10 +67261,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325165 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
-	.A2(FE_OFN18283_n_15869),
-	.B1(FE_OFN18565_n),
+	.A2(FE_OFN18348_n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
-	.C1(FE_OFN1053_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
 	.Y(n_12084), 
 	.VPWR(vccd1), 
@@ -70508,10 +67273,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325166 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
-	.A2(n_15869),
-	.B1(FE_PSBN19833_n_15866),
+	.A2(FE_OFN18565_n_15869),
+	.B1(n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
-	.C1(n_11471),
+	.C1(FE_OFN18307_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
 	.Y(n_12083), 
 	.VPWR(vccd1), 
@@ -70520,10 +67285,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325167 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
-	.A2(FE_PSBN19835_n_15865),
-	.B1(n_15871),
+	.A2(n_15865),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
-	.C1(FE_PSN4775_FE_OFN18385_n_11514),
+	.C1(FE_OFN18342_n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
 	.Y(n_12082), 
 	.VPWR(vccd1), 
@@ -70532,10 +67297,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325168 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
-	.A2(FE_OFN18584_n_15872),
-	.B1(FE_OFN18562_n_15867),
+	.A2(FE_OFN18369_n_15872),
+	.B1(FE_OFN18538_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
-	.C1(FE_OFN18505_n_16001),
+	.C1(FE_OFN18556_n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
 	.Y(n_12081), 
 	.VPWR(vccd1), 
@@ -70544,10 +67309,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325169 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
-	.A2(FE_OFN1051_n_11418),
-	.B1(n_11422),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
-	.C1(FE_PSBN19868_n_16000),
+	.C1(FE_OFN18497_n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
 	.Y(n_12080), 
 	.VPWR(vccd1), 
@@ -70562,7 +67327,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g325171 (
+   sky130_fd_sc_hd__nor2_2 g325171 (
 	.A(n_11446),
 	.B(n_15994),
 	.Y(n_12263), 
@@ -70570,7 +67335,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g325172 (
+   sky130_fd_sc_hd__nand2_2 g325172 (
 	.A(n_11769),
 	.B(n_11446),
 	.Y(n_12262), 
@@ -70579,8 +67344,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325173 (
-	.A(FE_OFN18381_n_11769),
-	.B(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31),
+	.A(n_11769),
+	.B(FE_OFN18163_u_soc_u_top_u_core_instr_rdata_id_31),
 	.Y(n_12260), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -70610,10 +67375,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325179 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
-	.A2(FE_OFN18510_n_11423),
-	.B1(FE_OFN18490_n_11428),
+	.A2(FE_OFN18517_n_11423),
+	.B1(FE_OFN18485_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
-	.C1(FE_OFN18437_n_11563),
+	.C1(FE_OFN18465_n_11563),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
 	.Y(n_12076), 
 	.VPWR(vccd1), 
@@ -70622,10 +67387,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325180 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
-	.A2(FE_COEN4289_FE_OFN18396_n_15865),
-	.B1(FE_OFN1117_n_15871),
+	.A2(n_15865),
+	.B1(n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
-	.C1(FE_OFN18384_n_11514),
+	.C1(n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
 	.Y(n_12075), 
 	.VPWR(vccd1), 
@@ -70634,10 +67399,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325181 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
-	.A2(FE_PSN4414_FE_COEN4289_FE_OFN18396_n_15865),
-	.B1(FE_OFN1117_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
-	.C1(FE_OFN18384_n_11514),
+	.C1(FE_OFN18342_n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
 	.Y(n_12074), 
 	.VPWR(vccd1), 
@@ -70646,10 +67411,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325182 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
-	.A2(FE_OFN18433_n_15870),
-	.B1(FE_OFN1050_n_11415),
+	.A2(n_15870),
+	.B1(n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
-	.C1(FE_PSN4182_n_11480),
+	.C1(n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
 	.Y(n_12073), 
 	.VPWR(vccd1), 
@@ -70658,10 +67423,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325183 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
-	.A2(FE_OFN18283_n_15869),
-	.B1(FE_OFN18565_n),
+	.A2(FE_OFN18348_n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
-	.C1(FE_PSN4627_FE_OFN1053_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
 	.Y(n_12072), 
 	.VPWR(vccd1), 
@@ -70671,9 +67436,9 @@
    sky130_fd_sc_hd__a222oi_1 g325184 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
 	.A2(n_11418),
-	.B1(FE_OFN18472_n_11421),
+	.B1(FE_OFN18396_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
-	.C1(FE_PSBN19894_n_16000),
+	.C1(n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
 	.Y(n_12071), 
 	.VPWR(vccd1), 
@@ -70682,10 +67447,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325185 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
-	.A2(FE_PSN4153_FE_OFN18511_n_11423),
-	.B1(FE_OFN18490_n_11428),
+	.A2(FE_OFN18518_n_11423),
+	.B1(FE_OFN18484_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
-	.C1(FE_OFN18493_n_11476),
+	.C1(FE_OFN18475_n_11476),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
 	.Y(n_12070), 
 	.VPWR(vccd1), 
@@ -70694,10 +67459,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325186 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
-	.A2(FE_PSN4404_FE_COEN4289_FE_OFN18396_n_15865),
-	.B1(FE_OFN1117_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18434_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
-	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C1(n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
 	.Y(n_12069), 
 	.VPWR(vccd1), 
@@ -70706,10 +67471,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325187 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
-	.A2(n_11423),
-	.B1(n_11428),
+	.A2(FE_OFN18517_n_11423),
+	.B1(FE_OFN18485_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
-	.C1(n_11476),
+	.C1(FE_OFN18476_n_11476),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
 	.Y(n_12068), 
 	.VPWR(vccd1), 
@@ -70718,10 +67483,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325188 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
-	.A2(FE_OFN18603_n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.A2(n_15870),
+	.B1(n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
 	.Y(n_12067), 
 	.VPWR(vccd1), 
@@ -70730,10 +67495,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325189 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
-	.A2(FE_OFN18283_n_15869),
-	.B1(FE_OFN18565_n),
+	.A2(n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
-	.C1(FE_PSN4627_FE_OFN1053_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
 	.Y(n_12066), 
 	.VPWR(vccd1), 
@@ -70742,10 +67507,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325190 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
-	.A2(FE_PSN4487_n_15872),
-	.B1(FE_OFN18563_n_15867),
+	.A2(FE_OFN18527_n),
+	.B1(FE_OFN18569_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
-	.C1(FE_OFN18506_n_16001),
+	.C1(FE_OFN18556_n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
 	.Y(n_12065), 
 	.VPWR(vccd1), 
@@ -70754,10 +67519,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325191 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
-	.A2(n_15869),
-	.B1(FE_PSBN19833_n_15866),
+	.A2(FE_OFN18565_n_15869),
+	.B1(n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
-	.C1(n_11471),
+	.C1(FE_OFN18307_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
 	.Y(n_12064), 
 	.VPWR(vccd1), 
@@ -70766,10 +67531,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325192 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
-	.A2(FE_OFN18397_n_15865),
-	.B1(FE_OFN18407_n_15871),
+	.A2(n_15865),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
-	.C1(FE_PSN4775_FE_OFN18385_n_11514),
+	.C1(FE_OFN18342_n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
 	.Y(n_12063), 
 	.VPWR(vccd1), 
@@ -70778,10 +67543,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325193 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
-	.A2(FE_OFN18584_n_15872),
-	.B1(FE_OFN18562_n_15867),
+	.A2(FE_OFN18527_n),
+	.B1(FE_OFN18569_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
-	.C1(FE_OFN18611_n_11472),
+	.C1(FE_OFN18356_n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
 	.Y(n_12062), 
 	.VPWR(vccd1), 
@@ -70790,10 +67555,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325194 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
-	.A2(FE_PSN4413_FE_OFN18584_n_15872),
-	.B1(FE_OFN18562_n_15867),
+	.A2(n_15872),
+	.B1(n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
-	.C1(FE_PSN4431_FE_OFN18505_n_16001),
+	.C1(n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
 	.Y(n_12061), 
 	.VPWR(vccd1), 
@@ -70802,10 +67567,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325195 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
-	.A2(FE_OFN18603_n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.A2(n_15870),
+	.B1(n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
 	.Y(n_12060), 
 	.VPWR(vccd1), 
@@ -70814,10 +67579,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325196 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
-	.A2(FE_OFN18603_n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.A2(FE_OFN18501_n_15870),
+	.B1(FE_OFN18568_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18438_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
 	.Y(n_12059), 
 	.VPWR(vccd1), 
@@ -70826,10 +67591,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325197 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
-	.A2(FE_OFN18511_n_11423),
-	.B1(FE_PSBN19837_n_11428),
+	.A2(n_11423),
+	.B1(n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
-	.C1(FE_OFN18641_n_11563),
+	.C1(n_11563),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
 	.Y(n_12058), 
 	.VPWR(vccd1), 
@@ -70838,10 +67603,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325198 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
-	.A2(FE_OFN18550_n_11418),
-	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
-	.C1(FE_OFN18456_n_16000),
+	.C1(FE_OFN18498_n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
 	.Y(n_12057), 
 	.VPWR(vccd1), 
@@ -70850,10 +67615,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325199 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
-	.A2(n_11418),
-	.B1(FE_OFN18473_n_11421),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
-	.C1(FE_PSN4348_n_16000),
+	.C1(FE_OFN18497_n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
 	.Y(n_12056), 
 	.VPWR(vccd1), 
@@ -70862,10 +67627,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325200 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
-	.A2(n_11423),
-	.B1(n_11428),
+	.A2(FE_OFN18518_n_11423),
+	.B1(FE_OFN18484_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
-	.C1(n_11563),
+	.C1(FE_OFN18464_n_11563),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
 	.Y(n_12055), 
 	.VPWR(vccd1), 
@@ -70874,10 +67639,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325203 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
-	.A2(FE_OFN1051_n_11418),
-	.B1(n_11422),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
-	.C1(FE_PSBN19868_n_16000),
+	.C1(FE_OFN18497_n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
 	.Y(n_12052), 
 	.VPWR(vccd1), 
@@ -70886,10 +67651,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325204 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
-	.A2(FE_OFN1116_n_15870),
-	.B1(FE_OFN1050_n_11415),
+	.A2(FE_OFN18500_n_15870),
+	.B1(FE_OFN18480_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18437_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
 	.Y(n_12051), 
 	.VPWR(vccd1), 
@@ -70898,10 +67663,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325205 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
-	.A2(FE_OFN18603_n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.A2(FE_OFN18500_n_15870),
+	.B1(FE_OFN18480_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18437_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
 	.Y(n_12050), 
 	.VPWR(vccd1), 
@@ -70922,10 +67687,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325207 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
-	.A2(FE_OFN18397_n_15865),
-	.B1(FE_OFN18407_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
-	.C1(FE_OFN18385_n_11514),
+	.C1(FE_OFN18615_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
 	.Y(n_12048), 
 	.VPWR(vccd1), 
@@ -70934,10 +67699,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325208 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
-	.A2(FE_PSN4478_FE_OFN18397_n_15865),
-	.B1(FE_PSN4462_FE_OFN18407_n_15871),
+	.A2(FE_OFN18346_n_15865),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
-	.C1(FE_OFN19537_n_11514),
+	.C1(FE_OFN18615_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
 	.Y(n_12047), 
 	.VPWR(vccd1), 
@@ -70947,9 +67712,9 @@
    sky130_fd_sc_hd__a222oi_1 g325209 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
 	.A2(n_11418),
-	.B1(FE_OFN18472_n_11421),
+	.B1(FE_OFN18397_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
-	.C1(FE_PSBN19894_n_16000),
+	.C1(n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
 	.Y(n_12046), 
 	.VPWR(vccd1), 
@@ -70958,10 +67723,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325210 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
-	.A2(FE_PSN4159_FE_OFN18575_n_15869),
-	.B1(FE_OFN18546_n_15866),
+	.A2(FE_OFN18348_n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
-	.C1(FE_COEN4688_FE_OFN18466_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
 	.Y(n_12045), 
 	.VPWR(vccd1), 
@@ -70970,10 +67735,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325211 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
-	.A2(FE_OFN1051_n_11418),
-	.B1(n_11422),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
-	.C1(FE_OFN18592_n_11561),
+	.C1(FE_OFN19030_n_11561),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
 	.Y(n_12044), 
 	.VPWR(vccd1), 
@@ -70983,7 +67748,7 @@
    sky130_fd_sc_hd__a222oi_1 g325213 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
 	.A2(n_15869),
-	.B1(FE_PSBN19833_n_15866),
+	.B1(n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
 	.C1(n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
@@ -70994,10 +67759,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325214 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
-	.A2(FE_OFN18585_n_15872),
-	.B1(FE_OFN18563_n_15867),
+	.A2(FE_OFN18527_n),
+	.B1(FE_OFN18569_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
-	.C1(n_11472),
+	.C1(FE_OFN18356_n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
 	.Y(n_12041), 
 	.VPWR(vccd1), 
@@ -71006,10 +67771,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325215 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
-	.A2(FE_PSBN19835_n_15865),
+	.A2(n_15865),
 	.B1(n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
-	.C1(FE_OFN18385_n_11514),
+	.C1(n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
 	.Y(n_12040), 
 	.VPWR(vccd1), 
@@ -71018,10 +67783,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325216 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
-	.A2(FE_OFN1115_n_15869),
-	.B1(FE_PSBN19830_n_15866),
+	.A2(FE_OFN18565_n_15869),
+	.B1(n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
-	.C1(FE_OFN1053_n_11471),
+	.C1(FE_OFN18307_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
 	.Y(n_12039), 
 	.VPWR(vccd1), 
@@ -71030,10 +67795,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325218 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
-	.A2(FE_OFN18510_n_11423),
-	.B1(FE_OFN18490_n_11428),
+	.A2(n_11423),
+	.B1(n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
-	.C1(FE_OFN18493_n_11476),
+	.C1(n_11476),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
 	.Y(n_12037), 
 	.VPWR(vccd1), 
@@ -71043,9 +67808,9 @@
    sky130_fd_sc_hd__a222oi_1 g325219 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
 	.A2(n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.B1(n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
-	.C1(FE_PSN4444_n_11480),
+	.C1(n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
 	.Y(n_12036), 
 	.VPWR(vccd1), 
@@ -71055,9 +67820,9 @@
    sky130_fd_sc_hd__a222oi_1 g325220 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
 	.A2(n_11418),
-	.B1(FE_OFN18472_n_11421),
+	.B1(FE_OFN18397_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
-	.C1(FE_PSBN19894_n_16000),
+	.C1(n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
 	.Y(n_12035), 
 	.VPWR(vccd1), 
@@ -71066,10 +67831,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325221 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
-	.A2(FE_PSN4480_FE_OFN18584_n_15872),
-	.B1(FE_PSN4447_FE_OFN18562_n_15867),
+	.A2(FE_OFN18369_n_15872),
+	.B1(FE_OFN18538_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
-	.C1(FE_PSN4811_FE_OFN18505_n_16001),
+	.C1(FE_OFN18556_n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
 	.Y(n_12034), 
 	.VPWR(vccd1), 
@@ -71078,8 +67843,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325222 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
-	.A2(FE_PSN4487_n_15872),
-	.B1(FE_OFN18563_n_15867),
+	.A2(FE_OFN18369_n_15872),
+	.B1(FE_OFN18538_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
 	.C1(n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
@@ -71090,10 +67855,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325223 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
-	.A2(FE_PSBN19835_n_15865),
-	.B1(FE_PSN4791_n_15871),
+	.A2(n_15865),
+	.B1(n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
-	.C1(FE_PSN4775_FE_OFN18385_n_11514),
+	.C1(n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
 	.Y(n_12032), 
 	.VPWR(vccd1), 
@@ -71102,10 +67867,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325224 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
-	.A2(FE_PSN4411_FE_COEN4289_FE_OFN18396_n_15865),
-	.B1(FE_OFN1117_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
-	.C1(FE_OFN18384_n_11514),
+	.C1(FE_OFN18615_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
 	.Y(n_12031), 
 	.VPWR(vccd1), 
@@ -71114,10 +67879,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325225 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
-	.A2(FE_OFN18433_n_15870),
-	.B1(FE_OFN1050_n_11415),
+	.A2(n_15870),
+	.B1(n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
-	.C1(FE_PSN4182_n_11480),
+	.C1(n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
 	.Y(n_12030), 
 	.VPWR(vccd1), 
@@ -71126,10 +67891,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325226 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
-	.A2(FE_OFN18574_n_15869),
-	.B1(FE_PSBN19832_n_15866),
+	.A2(FE_OFN18348_n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
-	.C1(FE_PSN4815_FE_OFN1053_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
 	.Y(n_12029), 
 	.VPWR(vccd1), 
@@ -71138,10 +67903,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325228 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
-	.A2(FE_PSN4404_FE_COEN4289_FE_OFN18396_n_15865),
-	.B1(FE_OFN1117_n_15871),
+	.A2(n_15865),
+	.B1(FE_OFN18434_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
-	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C1(n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
 	.Y(n_12027), 
 	.VPWR(vccd1), 
@@ -71150,10 +67915,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325230 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
-	.A2(FE_OFN18283_n_15869),
-	.B1(FE_OFN18565_n),
+	.A2(n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
-	.C1(FE_PSN4627_FE_OFN1053_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
 	.Y(n_12025), 
 	.VPWR(vccd1), 
@@ -71174,10 +67939,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325233 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
-	.A2(FE_PSN4458_n_15872),
-	.B1(FE_PSN4408_n_15867),
+	.A2(FE_OFN18369_n_15872),
+	.B1(FE_OFN18538_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
-	.C1(FE_PSN4177_n_16001),
+	.C1(FE_OFN18556_n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
 	.Y(n_12022), 
 	.VPWR(vccd1), 
@@ -71186,10 +67951,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325234 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
-	.A2(FE_PSN4413_FE_OFN18584_n_15872),
-	.B1(FE_OFN18562_n_15867),
+	.A2(n_15872),
+	.B1(n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
-	.C1(FE_PSN4431_FE_OFN18505_n_16001),
+	.C1(n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
 	.Y(n_12021), 
 	.VPWR(vccd1), 
@@ -71198,10 +67963,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325235 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
-	.A2(FE_OFN18510_n_11423),
-	.B1(FE_OFN18490_n_11428),
+	.A2(n_11423),
+	.B1(FE_OFN18484_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
-	.C1(FE_OFN18437_n_11563),
+	.C1(FE_OFN18464_n_11563),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
 	.Y(n_12020), 
 	.VPWR(vccd1), 
@@ -71222,34 +67987,22 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325237 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
-	.A2(n_11418),
-	.B1(n_11422),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
-	.C1(FE_OFN18592_n_11561),
+	.C1(FE_OFN19030_n_11561),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
 	.Y(n_12018), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g325238 (
-	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
-	.A2(n_11423),
-	.B1(n_11428),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
-	.C1(n_11476),
-	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
-	.Y(n_12017), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325239 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
-	.A2(n_11423),
-	.B1(n_11428),
+	.A2(FE_OFN18518_n_11423),
+	.B1(FE_OFN18484_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
-	.C1(n_11563),
+	.C1(FE_OFN18464_n_11563),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
 	.Y(n_12016), 
 	.VPWR(vccd1), 
@@ -71258,10 +68011,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325243 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
-	.A2(n_15870),
-	.B1(FE_OFN1050_n_11415),
+	.A2(FE_OFN18500_n_15870),
+	.B1(FE_OFN18480_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
-	.C1(FE_PSN4182_n_11480),
+	.C1(FE_OFN18437_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
 	.Y(n_12012), 
 	.VPWR(vccd1), 
@@ -71270,10 +68023,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325244 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
-	.A2(FE_PSN4153_FE_OFN18511_n_11423),
-	.B1(FE_PSN4397_FE_OFN18491_n_11428),
+	.A2(FE_OFN18518_n_11423),
+	.B1(FE_OFN18484_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
-	.C1(FE_OFN18641_n_11563),
+	.C1(FE_OFN18464_n_11563),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
 	.Y(n_12011), 
 	.VPWR(vccd1), 
@@ -71282,10 +68035,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325245 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
-	.A2(FE_OFN18603_n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.A2(FE_OFN18500_n_15870),
+	.B1(FE_OFN18480_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18437_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
 	.Y(n_12010), 
 	.VPWR(vccd1), 
@@ -71294,10 +68047,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325246 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
-	.A2(FE_OFN18396_n_15865),
-	.B1(FE_PSN4421_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18434_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
-	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C1(FE_OFN18342_n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
 	.Y(n_12009), 
 	.VPWR(vccd1), 
@@ -71306,10 +68059,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325247 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
-	.A2(FE_OFN18550_n_11418),
-	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
-	.C1(FE_OFN18592_n_11561),
+	.C1(FE_OFN19030_n_11561),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
 	.Y(n_12008), 
 	.VPWR(vccd1), 
@@ -71318,10 +68071,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325248 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
-	.A2(FE_OFN18574_n_15869),
-	.B1(FE_PSN4392_FE_OFN1113_n_15866),
+	.A2(FE_OFN18348_n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
-	.C1(FE_PSN4405_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
 	.Y(n_12007), 
 	.VPWR(vccd1), 
@@ -71330,10 +68083,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325250 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
-	.A2(FE_OFN18584_n_15872),
-	.B1(FE_OFN18562_n_15867),
+	.A2(FE_OFN18369_n_15872),
+	.B1(FE_OFN18538_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
-	.C1(FE_OFN18505_n_16001),
+	.C1(FE_OFN18556_n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
 	.Y(n_12005), 
 	.VPWR(vccd1), 
@@ -71342,10 +68095,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325253 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
-	.A2(FE_OFN18550_n_11418),
-	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.A2(FE_OFN18621_n),
+	.B1(FE_OFN18396_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
-	.C1(FE_OFN18592_n_11561),
+	.C1(FE_OFN18445_n_11561),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
 	.Y(n_12002), 
 	.VPWR(vccd1), 
@@ -71354,10 +68107,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325254 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
-	.A2(FE_OFN18510_n_11423),
-	.B1(FE_OFN18490_n_11428),
+	.A2(n_11423),
+	.B1(n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
-	.C1(FE_OFN18493_n_11476),
+	.C1(n_11476),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
 	.Y(n_12001), 
 	.VPWR(vccd1), 
@@ -71366,10 +68119,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325255 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
-	.A2(FE_OFN18433_n_15870),
-	.B1(FE_OFN1050_n_11415),
+	.A2(n_15870),
+	.B1(n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
-	.C1(FE_PSN4182_n_11480),
+	.C1(n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
 	.Y(n_12000), 
 	.VPWR(vccd1), 
@@ -71378,10 +68131,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325256 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
-	.A2(FE_PSN4478_FE_OFN18397_n_15865),
-	.B1(FE_OFN18407_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
-	.C1(FE_OFN19537_n_11514),
+	.C1(FE_OFN18615_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
 	.Y(n_11999), 
 	.VPWR(vccd1), 
@@ -71390,10 +68143,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325257 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
-	.A2(FE_OFN1051_n_11418),
-	.B1(FE_PSN4638_n_11422),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
-	.C1(FE_PSBN19868_n_16000),
+	.C1(n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
 	.Y(n_11998), 
 	.VPWR(vccd1), 
@@ -71402,10 +68155,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325258 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
-	.A2(FE_OFN1115_n_15869),
-	.B1(FE_OFN18546_n_15866),
+	.A2(FE_OFN18348_n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
-	.C1(FE_COEN4688_FE_OFN18466_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
 	.Y(n_11997), 
 	.VPWR(vccd1), 
@@ -71414,10 +68167,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325259 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
-	.A2(FE_OFN18574_n_15869),
-	.B1(FE_PSBN19830_n_15866),
+	.A2(n_15869),
+	.B1(n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
-	.C1(FE_OFN18526_n_11553),
+	.C1(FE_OFN18352_n_11553),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
 	.Y(n_11996), 
 	.VPWR(vccd1), 
@@ -71426,10 +68179,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325260 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
-	.A2(FE_PSN4404_FE_COEN4289_FE_OFN18396_n_15865),
-	.B1(FE_OFN1117_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18434_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
-	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C1(FE_OFN18342_n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
 	.Y(n_11995), 
 	.VPWR(vccd1), 
@@ -71438,10 +68191,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325261 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
-	.A2(FE_OFN18584_n_15872),
-	.B1(FE_OFN18562_n_15867),
+	.A2(n_15872),
+	.B1(n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
-	.C1(FE_OFN18611_n_11472),
+	.C1(n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
 	.Y(n_11994), 
 	.VPWR(vccd1), 
@@ -71450,10 +68203,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325262 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
-	.A2(FE_PSN4362_n_15872),
-	.B1(FE_OFN18563_n_15867),
+	.A2(FE_OFN18369_n_15872),
+	.B1(FE_OFN18538_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
-	.C1(n_11472),
+	.C1(FE_OFN18356_n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
 	.Y(n_11993), 
 	.VPWR(vccd1), 
@@ -71462,10 +68215,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325263 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
-	.A2(FE_OFN18603_n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.A2(FE_OFN18501_n_15870),
+	.B1(FE_OFN18568_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18438_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
 	.Y(n_11992), 
 	.VPWR(vccd1), 
@@ -71474,10 +68227,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325264 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
-	.A2(FE_OFN1051_n_11418),
-	.B1(n_11422),
+	.A2(FE_OFN18621_n),
+	.B1(FE_OFN18396_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
-	.C1(FE_PSBN19868_n_16000),
+	.C1(FE_OFN18498_n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
 	.Y(n_11991), 
 	.VPWR(vccd1), 
@@ -71486,10 +68239,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325265 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
-	.A2(FE_PSN4414_FE_COEN4289_FE_OFN18396_n_15865),
-	.B1(FE_OFN1117_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18434_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
-	.C1(FE_OFN18384_n_11514),
+	.C1(FE_OFN18342_n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
 	.Y(n_11990), 
 	.VPWR(vccd1), 
@@ -71498,10 +68251,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325266 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
-	.A2(FE_OFN18603_n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.A2(FE_OFN18501_n_15870),
+	.B1(FE_OFN18568_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18438_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
 	.Y(n_11989), 
 	.VPWR(vccd1), 
@@ -71510,10 +68263,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325267 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
-	.A2(FE_OFN18433_n_15870),
-	.B1(FE_OFN1050_n_11415),
+	.A2(FE_OFN18500_n_15870),
+	.B1(FE_OFN18480_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
-	.C1(FE_PSN4182_n_11480),
+	.C1(FE_OFN18437_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
 	.Y(n_11988), 
 	.VPWR(vccd1), 
@@ -71522,10 +68275,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325268 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
-	.A2(FE_OFN1115_n_15869),
-	.B1(FE_PSBN19830_n_15866),
+	.A2(FE_OFN18565_n_15869),
+	.B1(n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
-	.C1(FE_PSN4648_FE_OFN18526_n_11553),
+	.C1(FE_OFN19055_n_11553),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
 	.Y(n_11987), 
 	.VPWR(vccd1), 
@@ -71534,10 +68287,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325269 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
-	.A2(FE_PSN4478_FE_OFN18397_n_15865),
-	.B1(FE_PSN4462_FE_OFN18407_n_15871),
+	.A2(FE_OFN18346_n_15865),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
-	.C1(FE_OFN19537_n_11514),
+	.C1(FE_OFN18615_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
 	.Y(n_11986), 
 	.VPWR(vccd1), 
@@ -71546,10 +68299,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325270 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
-	.A2(FE_OFN18584_n_15872),
-	.B1(FE_OFN18562_n_15867),
+	.A2(n_15872),
+	.B1(n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
-	.C1(FE_OFN18505_n_16001),
+	.C1(n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
 	.Y(n_11985), 
 	.VPWR(vccd1), 
@@ -71558,10 +68311,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325271 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
-	.A2(FE_PSN4478_FE_OFN18397_n_15865),
-	.B1(FE_OFN18407_n_15871),
+	.A2(FE_OFN18346_n_15865),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
-	.C1(FE_OFN19537_n_11514),
+	.C1(FE_OFN18615_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
 	.Y(n_11984), 
 	.VPWR(vccd1), 
@@ -71570,10 +68323,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325272 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
-	.A2(FE_PSN4480_FE_OFN18584_n_15872),
-	.B1(FE_PSN4447_FE_OFN18562_n_15867),
+	.A2(FE_OFN18527_n),
+	.B1(FE_OFN18569_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
-	.C1(FE_PSN4811_FE_OFN18505_n_16001),
+	.C1(FE_OFN18556_n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
 	.Y(n_11983), 
 	.VPWR(vccd1), 
@@ -71582,10 +68335,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325273 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
-	.A2(FE_PSN4159_FE_OFN18575_n_15869),
-	.B1(FE_OFN18546_n_15866),
+	.A2(FE_OFN18565_n_15869),
+	.B1(n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
-	.C1(FE_COEN4688_FE_OFN18466_n_11471),
+	.C1(FE_OFN18307_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
 	.Y(n_11982), 
 	.VPWR(vccd1), 
@@ -71594,10 +68347,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325274 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
-	.A2(FE_OFN1051_n_11418),
-	.B1(FE_PSN4638_n_11422),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
-	.C1(FE_PSBN19868_n_16000),
+	.C1(FE_OFN18497_n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
 	.Y(n_11981), 
 	.VPWR(vccd1), 
@@ -71606,10 +68359,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325275 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
-	.A2(FE_OFN18603_n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.A2(FE_OFN18501_n_15870),
+	.B1(FE_OFN18568_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18438_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
 	.Y(n_11980), 
 	.VPWR(vccd1), 
@@ -71618,8 +68371,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325276 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
-	.A2(FE_COEN4700_FE_OFN18585_n_15872),
-	.B1(FE_PSN4447_FE_OFN18562_n_15867),
+	.A2(FE_OFN18369_n_15872),
+	.B1(FE_OFN18538_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
 	.C1(n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
@@ -71630,10 +68383,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325277 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
-	.A2(FE_OFN18511_n_11423),
-	.B1(FE_PSBN19837_n_11428),
+	.A2(FE_OFN18518_n_11423),
+	.B1(FE_OFN18484_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
-	.C1(FE_OFN18494_n_11476),
+	.C1(FE_OFN18475_n_11476),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
 	.Y(n_11978), 
 	.VPWR(vccd1), 
@@ -71642,10 +68395,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325278 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
-	.A2(FE_OFN18283_n_15869),
-	.B1(FE_OFN18565_n),
+	.A2(FE_OFN18348_n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
-	.C1(FE_OFN1053_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
 	.Y(n_11977), 
 	.VPWR(vccd1), 
@@ -71654,10 +68407,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325279 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
-	.A2(FE_COEN4289_FE_OFN18396_n_15865),
-	.B1(FE_OFN1117_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18434_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
-	.C1(FE_PSN4427_FE_OFN18385_n_11514),
+	.C1(FE_OFN18342_n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
 	.Y(n_11976), 
 	.VPWR(vccd1), 
@@ -71666,10 +68419,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325280 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
-	.A2(FE_OFN18550_n_11418),
-	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.A2(FE_OFN18621_n),
+	.B1(FE_OFN18396_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
-	.C1(FE_OFN18456_n_16000),
+	.C1(FE_OFN18498_n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
 	.Y(n_11975), 
 	.VPWR(vccd1), 
@@ -71678,10 +68431,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325281 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
-	.A2(FE_OFN18574_n_15869),
-	.B1(FE_PSBN19832_n_15866),
+	.A2(FE_OFN18348_n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
-	.C1(FE_PSN4815_FE_OFN1053_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
 	.Y(n_11974), 
 	.VPWR(vccd1), 
@@ -71690,10 +68443,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325282 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
-	.A2(FE_OFN18397_n_15865),
-	.B1(FE_OFN18407_n_15871),
+	.A2(FE_OFN18346_n_15865),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
-	.C1(FE_OFN18385_n_11514),
+	.C1(FE_OFN18615_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
 	.Y(n_11973), 
 	.VPWR(vccd1), 
@@ -71702,10 +68455,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325283 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
-	.A2(FE_PSN4159_FE_OFN18575_n_15869),
-	.B1(FE_OFN18546_n_15866),
+	.A2(FE_OFN18565_n_15869),
+	.B1(n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
-	.C1(FE_COEN4688_FE_OFN18466_n_11471),
+	.C1(FE_OFN18307_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
 	.Y(n_11972), 
 	.VPWR(vccd1), 
@@ -71714,8 +68467,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325284 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
-	.A2(FE_OFN18585_n_15872),
-	.B1(FE_OFN18563_n_15867),
+	.A2(FE_OFN18369_n_15872),
+	.B1(FE_OFN18538_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
 	.C1(n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
@@ -71726,10 +68479,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325285 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
-	.A2(FE_OFN18584_n_15872),
-	.B1(FE_OFN18562_n_15867),
+	.A2(FE_OFN18369_n_15872),
+	.B1(FE_OFN18538_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
-	.C1(FE_OFN18505_n_16001),
+	.C1(FE_OFN18556_n_16001),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
 	.Y(n_11970), 
 	.VPWR(vccd1), 
@@ -71738,10 +68491,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325286 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
-	.A2(FE_OFN18550_n_11418),
-	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.A2(n_11418),
+	.B1(FE_OFN18396_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
-	.C1(FE_OFN18456_n_16000),
+	.C1(FE_OFN18498_n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
 	.Y(n_11969), 
 	.VPWR(vccd1), 
@@ -71750,10 +68503,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325287 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
-	.A2(FE_OFN18511_n_11423),
-	.B1(FE_PSBN19837_n_11428),
+	.A2(FE_OFN18518_n_11423),
+	.B1(FE_OFN18484_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
-	.C1(FE_OFN18641_n_11563),
+	.C1(FE_OFN18464_n_11563),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
 	.Y(n_11968), 
 	.VPWR(vccd1), 
@@ -71762,10 +68515,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325288 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
-	.A2(FE_OFN18603_n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.A2(FE_OFN18501_n_15870),
+	.B1(FE_OFN18568_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18438_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
 	.Y(n_11967), 
 	.VPWR(vccd1), 
@@ -71774,10 +68527,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325289 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
-	.A2(FE_OFN18550_n_11418),
-	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.A2(FE_OFN18621_n),
+	.B1(FE_OFN18396_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
-	.C1(FE_OFN18456_n_16000),
+	.C1(FE_OFN18498_n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
 	.Y(n_11966), 
 	.VPWR(vccd1), 
@@ -71786,10 +68539,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325290 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
-	.A2(FE_OFN18397_n_15865),
-	.B1(FE_OFN18407_n_15871),
+	.A2(FE_OFN18346_n_15865),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
-	.C1(FE_OFN19537_n_11514),
+	.C1(FE_OFN18615_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
 	.Y(n_11965), 
 	.VPWR(vccd1), 
@@ -71798,10 +68551,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325291 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
-	.A2(FE_OFN18575_n_15869),
-	.B1(FE_OFN18546_n_15866),
+	.A2(FE_OFN18565_n_15869),
+	.B1(n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
-	.C1(FE_OFN18466_n_11471),
+	.C1(FE_OFN18307_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
 	.Y(n_11964), 
 	.VPWR(vccd1), 
@@ -71810,10 +68563,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325292 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
-	.A2(FE_OFN18511_n_11423),
-	.B1(FE_PSBN19837_n_11428),
+	.A2(FE_OFN18518_n_11423),
+	.B1(FE_OFN18484_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
-	.C1(FE_OFN18494_n_11476),
+	.C1(FE_OFN18475_n_11476),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
 	.Y(n_11963), 
 	.VPWR(vccd1), 
@@ -71822,8 +68575,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325293 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
-	.A2(FE_OFN18585_n_15872),
-	.B1(FE_OFN18563_n_15867),
+	.A2(FE_OFN18369_n_15872),
+	.B1(FE_OFN18538_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
 	.C1(n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
@@ -71834,10 +68587,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325294 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
-	.A2(FE_OFN18603_n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.A2(FE_OFN18501_n_15870),
+	.B1(FE_OFN18568_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18438_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
 	.Y(n_11961), 
 	.VPWR(vccd1), 
@@ -71846,10 +68599,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325295 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
-	.A2(FE_OFN1051_n_11418),
-	.B1(n_11422),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
-	.C1(FE_PSBN19868_n_16000),
+	.C1(FE_OFN18498_n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
 	.Y(n_11960), 
 	.VPWR(vccd1), 
@@ -71858,10 +68611,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325296 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
-	.A2(FE_OFN1051_n_11418),
-	.B1(n_11422),
+	.A2(n_11418),
+	.B1(FE_OFN18396_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
-	.C1(FE_PSBN19868_n_16000),
+	.C1(n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
 	.Y(n_11959), 
 	.VPWR(vccd1), 
@@ -71870,10 +68623,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325297 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
-	.A2(FE_PSN4478_FE_OFN18397_n_15865),
-	.B1(FE_OFN18407_n_15871),
+	.A2(FE_OFN18346_n_15865),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
-	.C1(FE_OFN19537_n_11514),
+	.C1(FE_OFN18615_n),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
 	.Y(n_11958), 
 	.VPWR(vccd1), 
@@ -71882,10 +68635,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325298 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
-	.A2(FE_OFN18603_n_15870),
-	.B1(FE_OFN18335_n_11415),
+	.A2(FE_OFN18500_n_15870),
+	.B1(FE_OFN18480_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18437_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
 	.Y(n_11957), 
 	.VPWR(vccd1), 
@@ -71894,10 +68647,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325299 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
-	.A2(FE_OFN18575_n_15869),
-	.B1(FE_OFN18546_n_15866),
+	.A2(FE_OFN18565_n_15869),
+	.B1(n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
-	.C1(FE_OFN18466_n_11471),
+	.C1(FE_OFN18307_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
 	.Y(n_11956), 
 	.VPWR(vccd1), 
@@ -71906,10 +68659,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325300 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
-	.A2(FE_OFN18510_n_11423),
-	.B1(FE_OFN18490_n_11428),
+	.A2(FE_OFN18518_n_11423),
+	.B1(FE_OFN18484_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
-	.C1(FE_OFN18493_n_11476),
+	.C1(FE_OFN18475_n_11476),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
 	.Y(n_11955), 
 	.VPWR(vccd1), 
@@ -71918,10 +68671,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325301 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
-	.A2(FE_PSN4478_FE_OFN18397_n_15865),
-	.B1(FE_PSN4462_FE_OFN18407_n_15871),
+	.A2(FE_OFN18532_n),
+	.B1(FE_OFN18435_n_15871),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
-	.C1(FE_OFN19537_n_11514),
+	.C1(FE_OFN18342_n_11514),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
 	.Y(n_11954), 
 	.VPWR(vccd1), 
@@ -71930,10 +68683,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325302 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
-	.A2(FE_OFN1115_n_15869),
-	.B1(FE_PSBN19830_n_15866),
+	.A2(FE_OFN18348_n_15869),
+	.B1(FE_OFN18562_n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
-	.C1(FE_OFN1053_n_11471),
+	.C1(FE_OFN18308_n_11471),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
 	.Y(n_11953), 
 	.VPWR(vccd1), 
@@ -71942,10 +68695,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325303 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
-	.A2(FE_COEN4700_FE_OFN18585_n_15872),
-	.B1(FE_PSN4447_FE_OFN18562_n_15867),
+	.A2(FE_OFN18527_n),
+	.B1(FE_OFN18569_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
-	.C1(n_11472),
+	.C1(FE_OFN18356_n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
 	.Y(n_11952), 
 	.VPWR(vccd1), 
@@ -71954,8 +68707,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325304 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
-	.A2(FE_OFN18585_n_15872),
-	.B1(FE_OFN18563_n_15867),
+	.A2(FE_OFN18369_n_15872),
+	.B1(FE_OFN18538_n_15867),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
 	.C1(n_11472),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
@@ -71966,10 +68719,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325305 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
-	.A2(FE_OFN18433_n_15870),
-	.B1(FE_OFN1050_n_11415),
+	.A2(FE_OFN18501_n_15870),
+	.B1(n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
-	.C1(FE_PSN4182_n_11480),
+	.C1(FE_OFN18438_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
 	.Y(n_11950), 
 	.VPWR(vccd1), 
@@ -71978,10 +68731,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325306 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
-	.A2(FE_PSN4153_FE_OFN18511_n_11423),
-	.B1(FE_PSN4397_FE_OFN18491_n_11428),
+	.A2(FE_OFN18517_n_11423),
+	.B1(FE_OFN18485_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
-	.C1(FE_OFN18437_n_11563),
+	.C1(FE_OFN18465_n_11563),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
 	.Y(n_11949), 
 	.VPWR(vccd1), 
@@ -71990,10 +68743,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325307 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
-	.A2(FE_OFN18510_n_11423),
-	.B1(FE_OFN18490_n_11428),
+	.A2(FE_OFN18517_n_11423),
+	.B1(FE_OFN18485_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
-	.C1(FE_OFN18493_n_11476),
+	.C1(FE_OFN18476_n_11476),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
 	.Y(n_11948), 
 	.VPWR(vccd1), 
@@ -72002,10 +68755,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325308 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
-	.A2(FE_OFN1116_n_15870),
-	.B1(FE_OFN1050_n_11415),
+	.A2(FE_OFN18500_n_15870),
+	.B1(FE_OFN18480_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
-	.C1(FE_OFN1059_n_11480),
+	.C1(FE_OFN18437_n_11480),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
 	.Y(n_11947), 
 	.VPWR(vccd1), 
@@ -72014,10 +68767,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g325309 (
 	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
-	.A2(FE_OFN18550_n_11418),
-	.B1(FE_COEN4314_FE_OFN18473_n_11421),
+	.A2(FE_OFN18537_n_11418),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
-	.C1(FE_OFN18456_n_16000),
+	.C1(FE_OFN18497_n_16000),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
 	.Y(n_11946), 
 	.VPWR(vccd1), 
@@ -72036,9 +68789,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g325311 (
-	.A1(FE_OFN18642_n_11516),
+	.A1(FE_OFN18365_n_11516),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
-	.B1(FE_OFN18561_n_11517),
+	.B1(FE_OFN18503_n_11517),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
 	.X(n_11944), 
 	.VPWR(vccd1), 
@@ -72046,7 +68799,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325314 (
-	.A1(FE_OFN18563_n_15867),
+	.A1(FE_OFN18538_n_15867),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
 	.B1(n_11472),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
@@ -72056,17 +68809,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g325315 (
-	.A1(FE_OFN18272_n_15882),
+	.A1(FE_OFN19058_FE_RN_146_0),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
-	.B1(FE_OFN18625_n_11552),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
 	.X(n_11940), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g325316 (
-	.A1(FE_OFN18563_n_15867),
+   sky130_fd_sc_hd__a22oi_1 g325316 (
+	.A1(n_15867),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
 	.B1(n_11472),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
@@ -72076,19 +68829,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325317 (
-	.A1(FE_PSN4477_FE_COEN4317_n_11475),
+	.A1(n_11475),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
-	.B1(FE_PSN4174_n_11555),
+	.B1(n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
 	.Y(n_11938), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g325319 (
-	.A1(FE_OFN18506_n_16001),
+   sky130_fd_sc_hd__a22oi_1 g325319 (
+	.A1(FE_OFN18556_n_16001),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
-	.B1(FE_OFN18625_n_11552),
+	.B1(n_11552),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
 	.Y(n_11936), 
 	.VPWR(vccd1), 
@@ -72106,7 +68859,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325322 (
-	.A1(FE_PSN4412_FE_OFN18472_n_11421),
+	.A1(FE_OFN18397_n_11421),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
 	.B1(n_11561),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
@@ -72126,9 +68879,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325324 (
-	.A1(FE_OFN1118_n_15887),
+	.A1(n_15887),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
-	.B1(FE_PSN4174_n_11555),
+	.B1(n_11555),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
 	.Y(n_11931), 
 	.VPWR(vccd1), 
@@ -72136,7 +68889,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g325330 (
-	.A1(FE_OFN18472_n_11421),
+	.A1(FE_OFN18397_n_11421),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
 	.B1(n_11561),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
@@ -72148,14 +68901,14 @@
    sky130_fd_sc_hd__a22o_1 g325331 (
 	.A1(n_11418),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
-	.B1(FE_PSBN19894_n_16000),
+	.B1(n_16000),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
 	.X(n_11924), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g325336 (
+   sky130_fd_sc_hd__a22oi_1 g325336 (
 	.A1(n_11476),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
 	.B1(n_11561),
@@ -72167,8 +68920,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325337 (
 	.A1(n_11557),
-	.A2(FE_PSN4857_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_1_0),
-	.B1(FE_PSN4355_n_11478),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.B1(n_11478),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
 	.Y(n_11918), 
 	.VPWR(vccd1), 
@@ -72185,7 +68938,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_4 g325346 (
+   sky130_fd_sc_hd__a22o_1 g325346 (
 	.A1(n_15867),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
 	.B1(n_11552),
@@ -72206,9 +68959,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325348 (
-	.A1(FE_OFN18536_n_15862),
+	.A1(FE_OFN18599_n),
 	.A2(u_soc_u_top_u_core_pc_id[28]),
-	.B1(FE_OFN18426_n_16003),
+	.B1(FE_OFN18506_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[28]),
 	.Y(n_11907), 
 	.VPWR(vccd1), 
@@ -72216,9 +68969,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325349 (
-	.A1(FE_OFN18536_n_15862),
+	.A1(FE_OFN18599_n),
 	.A2(u_soc_u_top_u_core_pc_id[27]),
-	.B1(FE_OFN18426_n_16003),
+	.B1(FE_OFN18506_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[27]),
 	.Y(n_11906), 
 	.VPWR(vccd1), 
@@ -72226,9 +68979,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325350 (
-	.A1(FE_OFN18536_n_15862),
+	.A1(FE_OFN18599_n),
 	.A2(u_soc_u_top_u_core_pc_id[26]),
-	.B1(FE_OFN18426_n_16003),
+	.B1(FE_OFN18506_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[26]),
 	.Y(n_11905), 
 	.VPWR(vccd1), 
@@ -72246,9 +68999,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325352 (
-	.A1(FE_OFN18536_n_15862),
+	.A1(FE_OFN18599_n),
 	.A2(u_soc_u_top_u_core_pc_id[25]),
-	.B1(FE_OFN18426_n_16003),
+	.B1(FE_OFN18506_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[25]),
 	.Y(n_11903), 
 	.VPWR(vccd1), 
@@ -72256,9 +69009,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325353 (
-	.A1(FE_OFN18536_n_15862),
+	.A1(FE_OFN18599_n),
 	.A2(u_soc_u_top_u_core_pc_id[24]),
-	.B1(FE_OFN18426_n_16003),
+	.B1(FE_OFN18506_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[24]),
 	.Y(n_11902), 
 	.VPWR(vccd1), 
@@ -72266,9 +69019,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325354 (
-	.A1(FE_OFN18536_n_15862),
+	.A1(FE_OFN18599_n),
 	.A2(u_soc_u_top_u_core_pc_id[22]),
-	.B1(FE_OFN18426_n_16003),
+	.B1(FE_OFN18506_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[22]),
 	.Y(n_11901), 
 	.VPWR(vccd1), 
@@ -72276,9 +69029,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325355 (
-	.A1(FE_OFN18536_n_15862),
+	.A1(FE_OFN18599_n),
 	.A2(u_soc_u_top_u_core_pc_id[21]),
-	.B1(FE_OFN18426_n_16003),
+	.B1(FE_OFN18506_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[21]),
 	.Y(n_11900), 
 	.VPWR(vccd1), 
@@ -72286,9 +69039,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325356 (
-	.A1(FE_OFN18536_n_15862),
+	.A1(FE_OFN18626_n_15862),
 	.A2(u_soc_u_top_u_core_pc_id[18]),
-	.B1(FE_OFN18426_n_16003),
+	.B1(FE_OFN18505_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[18]),
 	.Y(n_11899), 
 	.VPWR(vccd1), 
@@ -72296,9 +69049,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325357 (
-	.A1(FE_OFN18536_n_15862),
+	.A1(FE_OFN18599_n),
 	.A2(u_soc_u_top_u_core_pc_id[17]),
-	.B1(FE_OFN18426_n_16003),
+	.B1(FE_OFN18506_n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[17]),
 	.Y(n_11898), 
 	.VPWR(vccd1), 
@@ -72308,7 +69061,7 @@
    sky130_fd_sc_hd__a22oi_1 g325358 (
 	.A1(n_15862),
 	.A2(u_soc_u_top_u_core_pc_id[9]),
-	.B1(FE_PSN4453_n_16003),
+	.B1(n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[9]),
 	.Y(n_11897), 
 	.VPWR(vccd1), 
@@ -72318,7 +69071,7 @@
    sky130_fd_sc_hd__a22oi_1 g325359 (
 	.A1(n_15862),
 	.A2(u_soc_u_top_u_core_pc_id[10]),
-	.B1(FE_PSN4453_n_16003),
+	.B1(n_16003),
 	.B2(u_soc_u_top_u_core_lsu_addr_last[10]),
 	.Y(n_11896), 
 	.VPWR(vccd1), 
@@ -72326,9 +69079,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325360 (
-	.A1(FE_PSBN19830_n_15866),
+	.A1(n_15866),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
-	.B1(FE_OFN1053_n_11471),
+	.B1(n_11471),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
 	.Y(n_11895), 
 	.VPWR(vccd1), 
@@ -72336,7 +69089,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325362 (
-	.A(FE_OFN18506_n_16001),
+	.A(FE_OFN18556_n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
 	.Y(n_11893), 
 	.VPWR(vccd1), 
@@ -72344,7 +69097,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325363 (
-	.A(FE_OFN18615_n),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
 	.Y(n_11892), 
 	.VPWR(vccd1), 
@@ -72352,7 +69105,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325365 (
-	.A(FE_OFN1066_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
 	.Y(n_11890), 
 	.VPWR(vccd1), 
@@ -72360,7 +69113,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325366 (
-	.A(FE_OFN18611_n_11472),
+	.A(FE_OFN18356_n_11472),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
 	.Y(n_11889), 
 	.VPWR(vccd1), 
@@ -72368,7 +69121,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325367 (
-	.A(FE_OFN18505_n_16001),
+	.A(FE_OFN18556_n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
 	.Y(n_11888), 
 	.VPWR(vccd1), 
@@ -72376,7 +69129,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325368 (
-	.A(FE_OFN1063_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
 	.Y(n_11887), 
 	.VPWR(vccd1), 
@@ -72384,7 +69137,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325369 (
-	.A(FE_PSN4410_n_11476),
+	.A(FE_OFN18476_n_11476),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
 	.Y(n_11886), 
 	.VPWR(vccd1), 
@@ -72392,7 +69145,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325370 (
-	.A(FE_PSN4842_FE_OFN18526_n_11553),
+	.A(FE_OFN18352_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
 	.Y(n_11885), 
 	.VPWR(vccd1), 
@@ -72400,7 +69153,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325371 (
-	.A(n_11472),
+	.A(FE_OFN18356_n_11472),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
 	.Y(n_11884), 
 	.VPWR(vccd1), 
@@ -72416,7 +69169,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325373 (
-	.A(FE_OFN1066_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
 	.Y(n_11882), 
 	.VPWR(vccd1), 
@@ -72424,7 +69177,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325374 (
-	.A(FE_OFN1063_n_11518),
+	.A(n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
 	.Y(n_11881), 
 	.VPWR(vccd1), 
@@ -72432,7 +69185,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325375 (
-	.A(FE_OFN18505_n_16001),
+	.A(FE_OFN18556_n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
 	.Y(n_11880), 
 	.VPWR(vccd1), 
@@ -72440,7 +69193,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325376 (
-	.A(FE_PSN4818_FE_OFN18526_n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
 	.Y(n_11879), 
 	.VPWR(vccd1), 
@@ -72448,7 +69201,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325377 (
-	.A(FE_OFN1066_n_11554),
+	.A(n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
 	.Y(n_11878), 
 	.VPWR(vccd1), 
@@ -72456,7 +69209,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325378 (
-	.A(FE_OFN18526_n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
 	.Y(n_11877), 
 	.VPWR(vccd1), 
@@ -72464,7 +69217,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325379 (
-	.A(FE_OFN18611_n_11472),
+	.A(FE_OFN18356_n_11472),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
 	.Y(n_11876), 
 	.VPWR(vccd1), 
@@ -72472,7 +69225,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325380 (
-	.A(FE_OFN18456_n_16000),
+	.A(FE_OFN18498_n_16000),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
 	.Y(n_11875), 
 	.VPWR(vccd1), 
@@ -72480,7 +69233,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325381 (
-	.A(FE_PSN4177_n_16001),
+	.A(FE_OFN18556_n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
 	.Y(n_11874), 
 	.VPWR(vccd1), 
@@ -72488,7 +69241,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325382 (
-	.A(FE_OFN18551_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
 	.Y(n_11873), 
 	.VPWR(vccd1), 
@@ -72496,7 +69249,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325383 (
-	.A(FE_OFN18437_n_11563),
+	.A(FE_OFN18464_n_11563),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
 	.Y(n_11872), 
 	.VPWR(vccd1), 
@@ -72504,7 +69257,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325384 (
-	.A(FE_OFN18437_n_11563),
+	.A(FE_OFN18465_n_11563),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
 	.Y(n_11871), 
 	.VPWR(vccd1), 
@@ -72512,7 +69265,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325386 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN19030_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
 	.Y(n_11869), 
 	.VPWR(vccd1), 
@@ -72520,7 +69273,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325387 (
-	.A(FE_PSBN19868_n_16000),
+	.A(FE_OFN18498_n_16000),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
 	.Y(n_11868), 
 	.VPWR(vccd1), 
@@ -72528,7 +69281,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325388 (
-	.A(FE_OFN18615_n),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
 	.Y(n_11867), 
 	.VPWR(vccd1), 
@@ -72536,7 +69289,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325389 (
-	.A(FE_PSN4415_FE_OFN18506_n_16001),
+	.A(FE_OFN18556_n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
 	.Y(n_11866), 
 	.VPWR(vccd1), 
@@ -72544,7 +69297,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325390 (
-	.A(FE_OFN1063_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
 	.Y(n_11865), 
 	.VPWR(vccd1), 
@@ -72552,7 +69305,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325391 (
-	.A(n_11476),
+	.A(FE_OFN18475_n_11476),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
 	.Y(n_11864), 
 	.VPWR(vccd1), 
@@ -72560,7 +69313,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325392 (
-	.A(FE_PSN4818_FE_OFN18526_n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
 	.Y(n_11863), 
 	.VPWR(vccd1), 
@@ -72568,7 +69321,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325393 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN18445_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
 	.Y(n_11862), 
 	.VPWR(vccd1), 
@@ -72576,7 +69329,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325394 (
-	.A(FE_OFN1066_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
 	.Y(n_11861), 
 	.VPWR(vccd1), 
@@ -72584,7 +69337,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325395 (
-	.A(FE_OFN18551_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
 	.Y(n_11860), 
 	.VPWR(vccd1), 
@@ -72592,7 +69345,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325396 (
-	.A(FE_OFN1066_n_11554),
+	.A(n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
 	.Y(n_11859), 
 	.VPWR(vccd1), 
@@ -72600,7 +69353,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325397 (
-	.A(FE_OFN18526_n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
 	.Y(n_11858), 
 	.VPWR(vccd1), 
@@ -72608,7 +69361,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325398 (
-	.A(FE_PSN4368_n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
 	.Y(n_11857), 
 	.VPWR(vccd1), 
@@ -72616,7 +69369,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325399 (
-	.A(FE_PSN4368_n_11553),
+	.A(FE_OFN18352_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
 	.Y(n_11856), 
 	.VPWR(vccd1), 
@@ -72624,7 +69377,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325400 (
-	.A(n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
 	.Y(n_11855), 
 	.VPWR(vccd1), 
@@ -72632,7 +69385,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325401 (
-	.A(FE_OFN18437_n_11563),
+	.A(FE_OFN18465_n_11563),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
 	.Y(n_11854), 
 	.VPWR(vccd1), 
@@ -72640,7 +69393,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325402 (
-	.A(FE_PSN4838_FE_PSBN19868_n_16000),
+	.A(FE_OFN18498_n_16000),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
 	.Y(n_11853), 
 	.VPWR(vccd1), 
@@ -72648,7 +69401,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325403 (
-	.A(FE_OFN18611_n_11472),
+	.A(FE_OFN18356_n_11472),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
 	.Y(n_11852), 
 	.VPWR(vccd1), 
@@ -72656,7 +69409,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325404 (
-	.A(FE_OFN18631_n),
+	.A(FE_OFN19030_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
 	.Y(n_11851), 
 	.VPWR(vccd1), 
@@ -72664,7 +69417,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325405 (
-	.A(FE_OFN18592_n_11561),
+	.A(n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
 	.Y(n_11850), 
 	.VPWR(vccd1), 
@@ -72672,7 +69425,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325407 (
-	.A(FE_OFN18493_n_11476),
+	.A(n_11476),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
 	.Y(n_11848), 
 	.VPWR(vccd1), 
@@ -72680,7 +69433,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325408 (
-	.A(FE_OFN18493_n_11476),
+	.A(n_11476),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
 	.Y(n_11847), 
 	.VPWR(vccd1), 
@@ -72688,7 +69441,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325409 (
-	.A(FE_OFN1063_n_11518),
+	.A(n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
 	.Y(n_11846), 
 	.VPWR(vccd1), 
@@ -72696,7 +69449,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325410 (
-	.A(FE_PSN4805_FE_PSBN19868_n_16000),
+	.A(FE_OFN18497_n_16000),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
 	.Y(n_11845), 
 	.VPWR(vccd1), 
@@ -72704,7 +69457,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325411 (
-	.A(FE_OFN18611_n_11472),
+	.A(n_11472),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
 	.Y(n_11844), 
 	.VPWR(vccd1), 
@@ -72720,7 +69473,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325413 (
-	.A(FE_OFN18493_n_11476),
+	.A(FE_OFN18475_n_11476),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
 	.Y(n_11842), 
 	.VPWR(vccd1), 
@@ -72728,7 +69481,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325414 (
-	.A(FE_OFN1066_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
 	.Y(n_11841), 
 	.VPWR(vccd1), 
@@ -72736,7 +69489,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325416 (
-	.A(FE_OFN1063_n_11518),
+	.A(n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
 	.Y(n_11839), 
 	.VPWR(vccd1), 
@@ -72744,7 +69497,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325417 (
-	.A(n_11563),
+	.A(FE_OFN18465_n_11563),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
 	.Y(n_11838), 
 	.VPWR(vccd1), 
@@ -72752,7 +69505,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325418 (
-	.A(FE_OFN18526_n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
 	.Y(n_11837), 
 	.VPWR(vccd1), 
@@ -72760,7 +69513,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325419 (
-	.A(FE_OFN18437_n_11563),
+	.A(FE_OFN18464_n_11563),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
 	.Y(n_11836), 
 	.VPWR(vccd1), 
@@ -72768,7 +69521,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325420 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN18445_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
 	.Y(n_11835), 
 	.VPWR(vccd1), 
@@ -72776,7 +69529,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325421 (
-	.A(FE_OFN1066_n_11554),
+	.A(n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
 	.Y(n_11834), 
 	.VPWR(vccd1), 
@@ -72784,7 +69537,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325422 (
-	.A(FE_OFN18551_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
 	.Y(n_11833), 
 	.VPWR(vccd1), 
@@ -72792,7 +69545,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325423 (
-	.A(FE_OFN18505_n_16001),
+	.A(FE_OFN18556_n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
 	.Y(n_11832), 
 	.VPWR(vccd1), 
@@ -72800,7 +69553,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325424 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN18445_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
 	.Y(n_11831), 
 	.VPWR(vccd1), 
@@ -72808,7 +69561,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325425 (
-	.A(FE_OFN18551_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
 	.Y(n_11830), 
 	.VPWR(vccd1), 
@@ -72816,7 +69569,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325426 (
-	.A(FE_OFN18551_n_11518),
+	.A(n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
 	.Y(n_11829), 
 	.VPWR(vccd1), 
@@ -72824,7 +69577,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325427 (
-	.A(FE_OFN18494_n_11476),
+	.A(n_11476),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
 	.Y(n_11828), 
 	.VPWR(vccd1), 
@@ -72832,7 +69585,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325428 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN19030_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
 	.Y(n_11827), 
 	.VPWR(vccd1), 
@@ -72840,7 +69593,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325429 (
-	.A(FE_OFN18631_n),
+	.A(FE_OFN19030_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
 	.Y(n_11826), 
 	.VPWR(vccd1), 
@@ -72848,7 +69601,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325430 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN19030_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
 	.Y(n_11825), 
 	.VPWR(vccd1), 
@@ -72856,15 +69609,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325431 (
-	.A(FE_PSN4410_n_11476),
+	.A(FE_OFN18475_n_11476),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
 	.Y(n_11824), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g325433 (
-	.A(FE_PSN4463_n_11553),
+   sky130_fd_sc_hd__nand2_1 g325433 (
+	.A(FE_OFN18352_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
 	.Y(n_11822), 
 	.VPWR(vccd1), 
@@ -72872,7 +69625,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325435 (
-	.A(FE_OFN1066_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
 	.Y(n_11820), 
 	.VPWR(vccd1), 
@@ -72880,7 +69633,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325436 (
-	.A(FE_OFN18432_n_11554),
+	.A(n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
 	.Y(n_11819), 
 	.VPWR(vccd1), 
@@ -72888,7 +69641,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325437 (
-	.A(FE_OFN18494_n_11476),
+	.A(FE_OFN18475_n_11476),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
 	.Y(n_11818), 
 	.VPWR(vccd1), 
@@ -72896,7 +69649,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325438 (
-	.A(FE_OFN1063_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
 	.Y(n_11817), 
 	.VPWR(vccd1), 
@@ -72904,7 +69657,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325439 (
-	.A(FE_OFN18432_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
 	.Y(n_11816), 
 	.VPWR(vccd1), 
@@ -72912,7 +69665,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325440 (
-	.A(FE_OFN18432_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
 	.Y(n_11815), 
 	.VPWR(vccd1), 
@@ -72928,7 +69681,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325442 (
-	.A(FE_OFN18615_n),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
 	.Y(n_11813), 
 	.VPWR(vccd1), 
@@ -72936,7 +69689,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325444 (
-	.A(FE_PSN4368_n_11553),
+	.A(FE_OFN18352_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
 	.Y(n_11811), 
 	.VPWR(vccd1), 
@@ -72944,7 +69697,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325445 (
-	.A(FE_PSN4648_FE_OFN18526_n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
 	.Y(n_11810), 
 	.VPWR(vccd1), 
@@ -72960,7 +69713,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325448 (
-	.A(FE_OFN18437_n_11563),
+	.A(n_11563),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
 	.Y(n_11807), 
 	.VPWR(vccd1), 
@@ -72968,7 +69721,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325450 (
-	.A(FE_OFN18592_n_11561),
+	.A(n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
 	.Y(n_11805), 
 	.VPWR(vccd1), 
@@ -72976,7 +69729,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325451 (
-	.A(FE_OFN18611_n_11472),
+	.A(n_11472),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
 	.Y(n_11804), 
 	.VPWR(vccd1), 
@@ -72984,7 +69737,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325453 (
-	.A(FE_PSN4648_FE_OFN18526_n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
 	.Y(n_11802), 
 	.VPWR(vccd1), 
@@ -72992,7 +69745,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325454 (
-	.A(FE_OFN1063_n_11518),
+	.A(n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
 	.Y(n_11801), 
 	.VPWR(vccd1), 
@@ -73000,7 +69753,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325455 (
-	.A(FE_OFN18526_n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
 	.Y(n_11800), 
 	.VPWR(vccd1), 
@@ -73008,7 +69761,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325456 (
-	.A(FE_OFN18526_n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
 	.Y(n_11799), 
 	.VPWR(vccd1), 
@@ -73016,7 +69769,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325457 (
-	.A(FE_PSN4650_FE_OFN1053_n_11471),
+	.A(n_11471),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
 	.Y(n_11798), 
 	.VPWR(vccd1), 
@@ -73032,7 +69785,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325459 (
-	.A(FE_OFN18611_n_11472),
+	.A(n_11472),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
 	.Y(n_11796), 
 	.VPWR(vccd1), 
@@ -73040,7 +69793,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325460 (
-	.A(FE_OFN18432_n_11554),
+	.A(n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
 	.Y(n_11795), 
 	.VPWR(vccd1), 
@@ -73056,7 +69809,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325467 (
-	.A(FE_PSBN19868_n_16000),
+	.A(FE_OFN18497_n_16000),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
 	.Y(n_11788), 
 	.VPWR(vccd1), 
@@ -73072,7 +69825,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325469 (
-	.A(FE_PSN4771_n_11476),
+	.A(FE_OFN18475_n_11476),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
 	.Y(n_11786), 
 	.VPWR(vccd1), 
@@ -73080,7 +69833,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325470 (
-	.A(FE_PSN4388_n_11557),
+	.A(FE_OFN18458_n_11557),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
 	.Y(n_11785), 
 	.VPWR(vccd1), 
@@ -73088,7 +69841,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325472 (
-	.A(FE_OFN18551_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
 	.Y(n_11783), 
 	.VPWR(vccd1), 
@@ -73104,7 +69857,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325475 (
-	.A(n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
 	.Y(n_11780), 
 	.VPWR(vccd1), 
@@ -73112,7 +69865,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325478 (
-	.A(FE_OFN1066_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
 	.Y(n_11777), 
 	.VPWR(vccd1), 
@@ -73128,7 +69881,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325483 (
-	.A(FE_OFN18456_n_16000),
+	.A(FE_OFN18498_n_16000),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
 	.Y(n_11772), 
 	.VPWR(vccd1), 
@@ -73136,7 +69889,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325497 (
-	.A(FE_OFN18551_n_11518),
+	.A(n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
 	.Y(n_11744), 
 	.VPWR(vccd1), 
@@ -73144,7 +69897,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325498 (
-	.A(FE_OFN18611_n_11472),
+	.A(FE_OFN18356_n_11472),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
 	.Y(n_11743), 
 	.VPWR(vccd1), 
@@ -73152,7 +69905,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325499 (
-	.A(FE_OFN18432_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
 	.Y(n_11742), 
 	.VPWR(vccd1), 
@@ -73160,7 +69913,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325501 (
-	.A(FE_OFN18437_n_11563),
+	.A(n_11563),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
 	.Y(n_11740), 
 	.VPWR(vccd1), 
@@ -73168,7 +69921,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325502 (
-	.A(FE_OFN1063_n_11518),
+	.A(n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
 	.Y(n_11739), 
 	.VPWR(vccd1), 
@@ -73176,7 +69929,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325503 (
-	.A(n_11472),
+	.A(FE_OFN18356_n_11472),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
 	.Y(n_11738), 
 	.VPWR(vccd1), 
@@ -73184,7 +69937,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325504 (
-	.A(FE_OFN18432_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
 	.Y(n_11737), 
 	.VPWR(vccd1), 
@@ -73192,7 +69945,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325505 (
-	.A(FE_OFN18631_n),
+	.A(FE_OFN19030_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
 	.Y(n_11736), 
 	.VPWR(vccd1), 
@@ -73200,7 +69953,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325506 (
-	.A(FE_OFN18290_n_11557),
+	.A(FE_OFN18458_n_11557),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
 	.Y(n_11735), 
 	.VPWR(vccd1), 
@@ -73208,7 +69961,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325507 (
-	.A(FE_OFN18615_n),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
 	.Y(n_11734), 
 	.VPWR(vccd1), 
@@ -73216,7 +69969,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325508 (
-	.A(FE_OFN18505_n_16001),
+	.A(n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
 	.Y(n_11733), 
 	.VPWR(vccd1), 
@@ -73224,7 +69977,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325509 (
-	.A(FE_PSN4415_FE_OFN18506_n_16001),
+	.A(FE_OFN18556_n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
 	.Y(n_11732), 
 	.VPWR(vccd1), 
@@ -73232,7 +69985,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325510 (
-	.A(FE_OFN1066_n_11554),
+	.A(n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
 	.Y(n_11731), 
 	.VPWR(vccd1), 
@@ -73240,7 +69993,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325511 (
-	.A(FE_PSBN19873_n_11550),
+	.A(n_11550),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
 	.Y(n_11730), 
 	.VPWR(vccd1), 
@@ -73248,7 +70001,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325513 (
-	.A(FE_OFN1066_n_11554),
+	.A(n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
 	.Y(n_11728), 
 	.VPWR(vccd1), 
@@ -73256,7 +70009,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325514 (
-	.A(FE_OFN18551_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
 	.Y(n_11727), 
 	.VPWR(vccd1), 
@@ -73264,7 +70017,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325515 (
-	.A(FE_OFN1063_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
 	.Y(n_11726), 
 	.VPWR(vccd1), 
@@ -73272,7 +70025,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325516 (
-	.A(FE_OFN1053_n_11471),
+	.A(FE_OFN18307_n_11471),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
 	.Y(n_11725), 
 	.VPWR(vccd1), 
@@ -73280,7 +70033,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325517 (
-	.A(FE_OFN18432_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
 	.Y(n_11724), 
 	.VPWR(vccd1), 
@@ -73288,7 +70041,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325518 (
-	.A(FE_OFN18432_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
 	.Y(n_11723), 
 	.VPWR(vccd1), 
@@ -73296,7 +70049,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325519 (
-	.A(FE_OFN18493_n_11476),
+	.A(FE_OFN18476_n_11476),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
 	.Y(n_11722), 
 	.VPWR(vccd1), 
@@ -73304,7 +70057,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325520 (
-	.A(FE_OFN18611_n_11472),
+	.A(FE_OFN18356_n_11472),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
 	.Y(n_11721), 
 	.VPWR(vccd1), 
@@ -73312,7 +70065,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325521 (
-	.A(FE_OFN18615_n),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
 	.Y(n_11720), 
 	.VPWR(vccd1), 
@@ -73320,7 +70073,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325522 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN19030_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
 	.Y(n_11719), 
 	.VPWR(vccd1), 
@@ -73328,7 +70081,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325524 (
-	.A(FE_PSN4394_FE_OFN18506_n_16001),
+	.A(FE_OFN18556_n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
 	.Y(n_11717), 
 	.VPWR(vccd1), 
@@ -73336,7 +70089,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325525 (
-	.A(FE_OFN18551_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
 	.Y(n_11716), 
 	.VPWR(vccd1), 
@@ -73344,7 +70097,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325526 (
-	.A(FE_OFN18526_n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
 	.Y(n_11715), 
 	.VPWR(vccd1), 
@@ -73360,7 +70113,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325528 (
-	.A(FE_OFN18641_n_11563),
+	.A(FE_OFN18464_n_11563),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
 	.Y(n_11713), 
 	.VPWR(vccd1), 
@@ -73368,7 +70121,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325529 (
-	.A(FE_OFN1066_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
 	.Y(n_11712), 
 	.VPWR(vccd1), 
@@ -73376,7 +70129,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325530 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN18445_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
 	.Y(n_11711), 
 	.VPWR(vccd1), 
@@ -73384,7 +70137,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325531 (
-	.A(FE_OFN18551_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
 	.Y(n_11710), 
 	.VPWR(vccd1), 
@@ -73392,7 +70145,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325532 (
-	.A(FE_PSN4842_FE_OFN18526_n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
 	.Y(n_11709), 
 	.VPWR(vccd1), 
@@ -73400,7 +70153,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325533 (
-	.A(FE_OFN18432_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
 	.Y(n_11708), 
 	.VPWR(vccd1), 
@@ -73408,7 +70161,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325535 (
-	.A(FE_OFN18615_n),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
 	.Y(n_11706), 
 	.VPWR(vccd1), 
@@ -73416,7 +70169,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325536 (
-	.A(FE_OFN18432_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
 	.Y(n_11705), 
 	.VPWR(vccd1), 
@@ -73424,7 +70177,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325537 (
-	.A(FE_OFN18506_n_16001),
+	.A(FE_OFN18556_n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
 	.Y(n_11704), 
 	.VPWR(vccd1), 
@@ -73432,7 +70185,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325538 (
-	.A(FE_OFN18611_n_11472),
+	.A(FE_OFN18628_n_11472),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
 	.Y(n_11703), 
 	.VPWR(vccd1), 
@@ -73440,7 +70193,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325539 (
-	.A(FE_OFN18611_n_11472),
+	.A(n_11472),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
 	.Y(n_11702), 
 	.VPWR(vccd1), 
@@ -73448,7 +70201,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325540 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN18445_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
 	.Y(n_11701), 
 	.VPWR(vccd1), 
@@ -73456,7 +70209,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325541 (
-	.A(FE_OFN18494_n_11476),
+	.A(FE_OFN18475_n_11476),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
 	.Y(n_11700), 
 	.VPWR(vccd1), 
@@ -73464,7 +70217,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325542 (
-	.A(FE_PSBN19897_n_16001),
+	.A(n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
 	.Y(n_11699), 
 	.VPWR(vccd1), 
@@ -73472,7 +70225,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325543 (
-	.A(FE_OFN18551_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
 	.Y(n_11698), 
 	.VPWR(vccd1), 
@@ -73480,7 +70233,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325544 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN18445_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
 	.Y(n_11697), 
 	.VPWR(vccd1), 
@@ -73488,7 +70241,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325545 (
-	.A(FE_OFN18432_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
 	.Y(n_11696), 
 	.VPWR(vccd1), 
@@ -73496,7 +70249,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325546 (
-	.A(FE_OFN18615_n),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
 	.Y(n_11695), 
 	.VPWR(vccd1), 
@@ -73504,7 +70257,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325547 (
-	.A(FE_OFN18641_n_11563),
+	.A(FE_OFN18464_n_11563),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
 	.Y(n_11694), 
 	.VPWR(vccd1), 
@@ -73512,7 +70265,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325549 (
-	.A(FE_OFN18506_n_16001),
+	.A(FE_OFN18556_n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
 	.Y(n_11692), 
 	.VPWR(vccd1), 
@@ -73520,7 +70273,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325550 (
-	.A(FE_OFN18551_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
 	.Y(n_11691), 
 	.VPWR(vccd1), 
@@ -73528,7 +70281,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325551 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN19030_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
 	.Y(n_11690), 
 	.VPWR(vccd1), 
@@ -73536,7 +70289,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325552 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN18445_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
 	.Y(n_11689), 
 	.VPWR(vccd1), 
@@ -73544,7 +70297,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325553 (
-	.A(FE_OFN18432_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
 	.Y(n_11688), 
 	.VPWR(vccd1), 
@@ -73552,7 +70305,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325554 (
-	.A(FE_OFN18551_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
 	.Y(n_11687), 
 	.VPWR(vccd1), 
@@ -73560,7 +70313,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325555 (
-	.A(FE_OFN18615_n),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
 	.Y(n_11686), 
 	.VPWR(vccd1), 
@@ -73568,7 +70321,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325556 (
-	.A(FE_OFN18437_n_11563),
+	.A(FE_OFN18464_n_11563),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
 	.Y(n_11685), 
 	.VPWR(vccd1), 
@@ -73576,7 +70329,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325557 (
-	.A(FE_OFN18432_n_11554),
+	.A(FE_OFN18570_n_11554),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
 	.Y(n_11684), 
 	.VPWR(vccd1), 
@@ -73584,7 +70337,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325559 (
-	.A(FE_PSN4394_FE_OFN18506_n_16001),
+	.A(FE_OFN18556_n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
 	.Y(n_11682), 
 	.VPWR(vccd1), 
@@ -73592,7 +70345,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325560 (
-	.A(FE_OFN18506_n_16001),
+	.A(FE_OFN18556_n_16001),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
 	.Y(n_11681), 
 	.VPWR(vccd1), 
@@ -73600,7 +70353,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325561 (
-	.A(FE_OFN1063_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
 	.Y(n_11680), 
 	.VPWR(vccd1), 
@@ -73608,7 +70361,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325562 (
-	.A(FE_OFN18494_n_11476),
+	.A(FE_OFN18476_n_11476),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
 	.Y(n_11679), 
 	.VPWR(vccd1), 
@@ -73616,7 +70369,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325563 (
-	.A(FE_OFN18437_n_11563),
+	.A(FE_OFN18465_n_11563),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
 	.Y(n_11678), 
 	.VPWR(vccd1), 
@@ -73624,7 +70377,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325564 (
-	.A(FE_OFN1063_n_11518),
+	.A(FE_OFN18217_n_11518),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
 	.Y(n_11677), 
 	.VPWR(vccd1), 
@@ -73632,7 +70385,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325565 (
-	.A(FE_PSN4368_n_11553),
+	.A(FE_OFN19055_n_11553),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
 	.Y(n_11676), 
 	.VPWR(vccd1), 
@@ -73648,7 +70401,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325567 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN18445_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
 	.Y(n_11674), 
 	.VPWR(vccd1), 
@@ -73656,7 +70409,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325568 (
-	.A(FE_OFN18592_n_11561),
+	.A(FE_OFN19030_n_11561),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
 	.Y(n_11673), 
 	.VPWR(vccd1), 
@@ -73674,9 +70427,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g325570 (
-	.A1(FE_OFN1051_n_11418),
+	.A1(FE_OFN18537_n_11418),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
-	.B1(n_11422),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
 	.X(n_11671), 
 	.VPWR(vccd1), 
@@ -73701,9 +70454,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g325573 (
-	.A1(FE_OFN18603_n_15870),
+	.A1(FE_OFN18500_n_15870),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
-	.B1(FE_OFN18335_n_11415),
+	.B1(FE_OFN18480_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
 	.X(n_11668), 
 	.VPWR(vccd1), 
@@ -73711,9 +70464,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g325574 (
-	.A1(FE_PSN4153_FE_OFN18511_n_11423),
+	.A1(FE_OFN18517_n_11423),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
-	.B1(FE_PSN4397_FE_OFN18491_n_11428),
+	.B1(FE_OFN18485_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
 	.X(n_11667), 
 	.VPWR(vccd1), 
@@ -73721,9 +70474,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g325579 (
-	.A1(FE_PSN4153_FE_OFN18511_n_11423),
+	.A1(FE_OFN18517_n_11423),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
-	.B1(FE_PSN4397_FE_OFN18491_n_11428),
+	.B1(FE_OFN18485_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
 	.X(n_11662), 
 	.VPWR(vccd1), 
@@ -73749,9 +70502,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g325587 (
-	.A1(n_15869),
+	.A1(FE_OFN18565_n_15869),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
-	.B1(FE_PSBN19833_n_15866),
+	.B1(n_15866),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
 	.X(n_11655), 
 	.VPWR(vccd1), 
@@ -73759,9 +70512,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g325588 (
-	.A1(FE_OFN1051_n_11418),
+	.A1(FE_OFN18537_n_11418),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
-	.B1(n_11422),
+	.B1(FE_PSN3942_FE_OFN18398_n_11421),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
 	.X(n_11654), 
 	.VPWR(vccd1), 
@@ -73799,9 +70552,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g325594 (
-	.A1(FE_OFN18511_n_11423),
+	.A1(FE_OFN18517_n_11423),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
-	.B1(FE_PSBN19837_n_11428),
+	.B1(FE_OFN18485_n_11428),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
 	.X(n_11648), 
 	.VPWR(vccd1), 
@@ -73819,9 +70572,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g325596 (
-	.A1(FE_OFN1116_n_15870),
+	.A1(FE_OFN18500_n_15870),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
-	.B1(FE_OFN1050_n_11415),
+	.B1(FE_OFN18480_n_11415),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
 	.X(n_11646), 
 	.VPWR(vccd1), 
@@ -73847,14 +70600,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g325605 (
-	.A(FE_PSN4804_n_11632),
+	.A(n_11632),
 	.B(n_11276),
 	.X(n_11763), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g325606 (
+   sky130_fd_sc_hd__and2_2 g325606 (
 	.A(n_11629),
 	.B(n_11284),
 	.X(n_11762), 
@@ -73870,7 +70623,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g325611 (
+   sky130_fd_sc_hd__and2_2 g325611 (
 	.A(n_11636),
 	.B(n_11291),
 	.X(n_11756), 
@@ -73886,18 +70639,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g325615 (
-	.A(n_11635),
-	.B(n_11272),
-	.X(n_11751), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g325617 (
-	.A(FE_PSN4840_n_11631),
+   sky130_fd_sc_hd__nand3_1 g325617 (
+	.A(n_11631),
 	.B(n_11154),
-	.C(FE_OFN18277_n_13339),
+	.C(FE_OFN18146_n_13339),
 	.Y(n_11748), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -73905,14 +70650,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_4 g325619 (
 	.A(n_11593),
-	.B(FE_OFN1581_n_11054),
+	.B(n_11054),
 	.Y(n_11746), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325666 (
-	.A1(FE_OFN18636_n_11393),
+	.A1(FE_OFN18460_n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
 	.B1(n_11375),
 	.Y(n_11581), 
@@ -73921,15 +70666,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325667 (
-	.A(FE_OFN18425_n_15868),
+	.A(n_15868),
 	.B(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
 	.Y(n_11580), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g325668 (
-	.A(FE_OFN18296_n_11421),
+   sky130_fd_sc_hd__nor2b_1 g325668 (
+	.A(n_11422),
 	.B_N(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
 	.Y(n_11579), 
 	.VPWR(vccd1), 
@@ -73937,7 +70682,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g325673 (
-	.A(FE_COEN4680_n_11447),
+	.A(n_11447),
 	.B_N(n_11140),
 	.Y(n_11574), 
 	.VPWR(vccd1), 
@@ -73945,7 +70690,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325674 (
-	.A1(FE_OFN18524_n_11393),
+	.A1(FE_OFN18460_n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
 	.B1(n_11372),
 	.Y(n_11573), 
@@ -73954,7 +70699,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325675 (
-	.A1(FE_OFN18524_n_11393),
+	.A1(FE_OFN18460_n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
 	.B1(n_11377),
 	.Y(n_11572), 
@@ -73963,7 +70708,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325676 (
-	.A1(FE_OFN18524_n_11393),
+	.A1(FE_OFN18460_n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
 	.B1(n_11378),
 	.Y(n_11571), 
@@ -73972,7 +70717,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325677 (
-	.A1(FE_OFN18636_n_11393),
+	.A1(FE_OFN18460_n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
 	.B1(n_11374),
 	.Y(n_11570), 
@@ -73981,7 +70726,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325678 (
-	.A1(n_11393),
+	.A1(FE_OFN18460_n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
 	.B1(n_11363),
 	.Y(n_11569), 
@@ -73990,7 +70735,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325679 (
-	.A1(FE_OFN18524_n_11393),
+	.A1(FE_OFN18460_n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
 	.B1(n_11364),
 	.Y(n_11568), 
@@ -73999,7 +70744,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325680 (
-	.A1(FE_OFN18524_n_11393),
+	.A1(FE_OFN18460_n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
 	.B1(n_11376),
 	.Y(n_11567), 
@@ -74008,7 +70753,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325681 (
-	.A1(FE_OFN18524_n_11393),
+	.A1(FE_OFN18460_n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
 	.B1(n_11384),
 	.Y(n_11566), 
@@ -74018,7 +70763,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325682 (
 	.A(n_15863),
-	.B(FE_PSN4167_n_11087),
+	.B(n_11087),
 	.Y(n_11637), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -74032,9 +70777,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g325684 (
+   sky130_fd_sc_hd__nand2_1 g325684 (
 	.A(n_15864),
-	.B(FE_PSN4820_n_11121),
+	.B(n_11121),
 	.Y(n_11635), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -74042,7 +70787,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325685 (
 	.A(n_15864),
-	.B(FE_COEN4315_n_15877),
+	.B(n_15877),
 	.Y(n_11633), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -74056,17 +70801,17 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g325687 (
+   sky130_fd_sc_hd__nand2_1 g325687 (
 	.A(n_15863),
-	.B(FE_PSN4820_n_11121),
+	.B(n_11121),
 	.Y(n_11631), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g325688 (
+   sky130_fd_sc_hd__nand2_1 g325688 (
 	.A(n_15863),
-	.B(FE_COEN4315_n_15877),
+	.B(n_15877),
 	.Y(n_11629), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -74082,15 +70827,15 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g325690 (
+   sky130_fd_sc_hd__nand2_1 g325690 (
 	.A(n_15864),
-	.B(FE_PSN4167_n_11087),
+	.B(n_11087),
 	.Y(n_11628), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_2 g325692 (
+   sky130_fd_sc_hd__nand2b_1 g325692 (
 	.A_N(u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]),
 	.B(n_11458),
 	.Y(n_11626), 
@@ -74098,7 +70843,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g325693 (
+   sky130_fd_sc_hd__nand2_2 g325693 (
 	.A(n_11431),
 	.B(n_11411),
 	.Y(n_11593), 
@@ -74108,7 +70853,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a211o_1 g325756 (
 	.A1(n_11357),
-	.A2(n_11032),
+	.A2(FE_OFN18301_n_11032),
 	.B1(n_11161),
 	.C1(n_11167),
 	.X(n_11470), 
@@ -74117,7 +70862,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325757 (
-	.A1(FE_OFN18524_n_11393),
+	.A1(FE_OFN18460_n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
 	.B1(n_11389),
 	.Y(n_11469), 
@@ -74126,7 +70871,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325758 (
-	.A1(FE_OFN18524_n_11393),
+	.A1(FE_OFN18460_n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
 	.B1(n_11385),
 	.Y(n_11468), 
@@ -74145,7 +70890,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325760 (
-	.A1(FE_OFN18636_n_11393),
+	.A1(FE_OFN18460_n_11393),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
 	.B1(n_11388),
 	.Y(n_11466), 
@@ -74184,7 +70929,7 @@
    sky130_fd_sc_hd__and3_4 g325764 (
 	.A(n_11463),
 	.B(n_11217),
-	.C(FE_OFN18277_n_13339),
+	.C(FE_OFN18146_n_13339),
 	.X(n_11564), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -74198,22 +70943,14 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g325767 (
-	.A(FE_PSN4779_n_11452),
+   sky130_fd_sc_hd__and2_2 g325767 (
+	.A(n_11452),
 	.B(n_11253),
 	.X(n_11561), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g325769 (
-	.A(n_11461),
-	.B(n_11247),
-	.X(n_11559), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g325770 (
 	.A(n_11459),
 	.B(n_11251),
@@ -74225,7 +70962,7 @@
    sky130_fd_sc_hd__and3_4 g325771 (
 	.A(n_11460),
 	.B(n_11221),
-	.C(FE_OFN18277_n_13339),
+	.C(FE_OFN18146_n_13339),
 	.X(n_11557), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -74239,14 +70976,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g325773 (
-	.A(n_11459),
-	.B(n_11244),
-	.X(n_11555), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g325774 (
 	.A(n_11435),
 	.B(n_11256),
@@ -74255,18 +70984,19 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g325775 (
-	.A(FE_PSN4364_n_11450),
+   sky130_fd_sc_hd__and2_1 g325775 (
+	.A(n_11450),
 	.B(n_11290),
 	.X(n_11553), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g325776 (
-	.A(n_11461),
-	.B(n_11248),
-	.X(n_11552), 
+   sky130_fd_sc_hd__and3_4 g325778 (
+	.A(n_11460),
+	.B(FE_OFN18422_n_11156),
+	.C(n_11111),
+	.X(n_11550), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -74274,21 +71004,13 @@
    sky130_fd_sc_hd__and3_4 g325779 (
 	.A(n_11435),
 	.B(n_11221),
-	.C(n_11092),
+	.C(FE_OFN18205_n_11093),
 	.X(n_11518), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g325780 (
-	.A(n_11457),
-	.B(n_11241),
-	.X(n_11517), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g325781 (
+   sky130_fd_sc_hd__and2_4 g325781 (
 	.A(n_11434),
 	.B(n_11274),
 	.X(n_11516), 
@@ -74304,6 +71026,14 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g325783 (
+	.A(n_11456),
+	.B(n_11289),
+	.X(n_11514), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g325784 (
 	.A(n_16002),
 	.B(n_11273),
@@ -74337,7 +71067,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g325790 (
-	.A(FE_PSN4770_n_11464),
+	.A(n_11464),
 	.B(n_11262),
 	.X(n_11476), 
 	.VPWR(vccd1), 
@@ -74376,14 +71106,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g325795 (
-	.A(n_11452),
-	.B(n_11242),
-	.X(n_11471), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325801 (
 	.A(n_11393),
 	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
@@ -74393,7 +71115,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325802 (
-	.A(FE_OFN18636_n_11393),
+	.A(FE_OFN18460_n_11393),
 	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
 	.Y(n_11441), 
 	.VPWR(vccd1), 
@@ -74402,7 +71124,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325803 (
 	.A(u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel),
-	.B(FE_PSN4433_n_11355),
+	.B(n_11355),
 	.Y(n_11440), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -74411,7 +71133,7 @@
    sky130_fd_sc_hd__nand3_1 g325804 (
 	.A(n_11315),
 	.B(n_11096),
-	.C(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.C(FE_OFN18403_u_soc_u_top_u_core_rf_waddr_wb_2),
 	.Y(n_11439), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -74425,16 +71147,16 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g325806 (
+   sky130_fd_sc_hd__nand2_1 g325806 (
 	.A(n_15874),
-	.B(FE_PSN4808_FE_COEN4315_n_15877),
+	.B(n_15877),
 	.Y(n_11464), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g325807 (
-	.A(FE_PSN4104_n_11294),
+	.A(FE_OCPN18895_n_11294),
 	.B(n_11121),
 	.C(n_11094),
 	.D(n_652),
@@ -74444,9 +71166,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g325808 (
-	.A(FE_PSN4104_n_11294),
-	.B(FE_COEN4315_n_15877),
-	.C(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.A(n_11294),
+	.B(n_15877),
+	.C(FE_OFN18406_u_soc_u_top_u_core_rf_waddr_wb_1),
 	.D(n_11098),
 	.Y(n_11462), 
 	.VPWR(vccd1), 
@@ -74454,35 +71176,35 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g325809 (
-	.A(FE_PSN4104_n_11294),
-	.B(FE_COEN4315_n_15877),
+	.A(FE_OCPN18895_n_11294),
+	.B(n_15877),
 	.C(n_11094),
-	.D(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.D(FE_OFN18406_u_soc_u_top_u_core_rf_waddr_wb_1),
 	.Y(n_11461), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g325810 (
+   sky130_fd_sc_hd__nand2_1 g325810 (
 	.A(n_15874),
-	.B(FE_COEN4686_n_11121),
+	.B(n_11121),
 	.Y(n_11460), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g325811 (
-	.A(FE_PSN4120_n_11294),
+	.A(FE_OCPN18895_n_11294),
 	.B(n_11086),
-	.C(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.C(FE_OFN18193_u_soc_u_top_u_core_rf_waddr_wb_1),
 	.D(n_11098),
 	.Y(n_11459), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g325812 (
-	.A(FE_PSN4433_n_11355),
+   sky130_fd_sc_hd__nor2_1 g325812 (
+	.A(n_11355),
 	.B(u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]),
 	.Y(n_11458), 
 	.VPWR(vccd1), 
@@ -74490,39 +71212,39 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g325813 (
-	.A(FE_PSN4104_n_11294),
-	.B(FE_PSN4167_n_11087),
+	.A(FE_OCPN18895_n_11294),
+	.B(n_11087),
 	.C(n_11094),
-	.D(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.D(FE_OFN18406_u_soc_u_top_u_core_rf_waddr_wb_1),
 	.Y(n_11457), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g325814 (
-	.A(FE_PSN4263_n_11294),
+	.A(FE_OCPN18895_n_11294),
 	.B(n_11121),
 	.C(n_11094),
-	.D(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.D(FE_OFN18193_u_soc_u_top_u_core_rf_waddr_wb_1),
 	.Y(n_11456), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_4 g325815 (
-	.A(FE_PSN4120_n_11294),
+   sky130_fd_sc_hd__nand4_2 g325815 (
+	.A(FE_OCPN18895_n_11294),
 	.B(n_11121),
-	.C(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.C(FE_OFN18193_u_soc_u_top_u_core_rf_waddr_wb_1),
 	.D(n_11098),
 	.Y(n_11455), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g325817 (
-	.A(FE_PSN4345_n_11294),
-	.B(FE_PSN4167_n_11087),
-	.C(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+   sky130_fd_sc_hd__nand4_1 g325817 (
+	.A(FE_OCPN18895_n_11294),
+	.B(n_11087),
+	.C(FE_OFN18406_u_soc_u_top_u_core_rf_waddr_wb_1),
 	.D(n_11098),
 	.Y(n_11452), 
 	.VPWR(vccd1), 
@@ -74530,18 +71252,18 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g325818 (
-	.A(FE_PSN4104_n_11294),
+	.A(n_11294),
 	.B(n_11086),
 	.C(n_11094),
-	.D(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.D(FE_OFN18193_u_soc_u_top_u_core_rf_waddr_wb_1),
 	.Y(n_11451), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g325819 (
+   sky130_fd_sc_hd__nand2_1 g325819 (
 	.A(n_15874),
-	.B(FE_PSN4167_n_11087),
+	.B(n_11087),
 	.Y(n_11450), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -74549,21 +71271,21 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325822 (
 	.A(u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
-	.B(FE_PSN4433_n_11355),
+	.B(n_11355),
 	.Y(n_11447), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325823 (
-	.A(FE_OFN18451_n_11355),
+	.A(FE_OFN18144_n_11355),
 	.B(u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]),
 	.Y(n_11446), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g325834 (
+   sky130_fd_sc_hd__a21oi_1 g325834 (
 	.A1(n_15886),
 	.A2(n_15879),
 	.B1(n_15873),
@@ -74586,13 +71308,13 @@
 	.A1(n_11226),
 	.A2(n_13457),
 	.A3(u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125),
-	.B1(FE_OFN1130_u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst),
+	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
 	.Y(n_11412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g325837 (
+   sky130_fd_sc_hd__a21oi_1 g325837 (
 	.A1(n_11304),
 	.A2(n_11105),
 	.B1(n_11173),
@@ -74603,14 +71325,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325838 (
 	.A(n_15874),
-	.B(FE_COEN4692_n_11086),
+	.B(n_11086),
 	.Y(n_11435), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g325839 (
-	.A(FE_PSN4104_n_11294),
+	.A(FE_OCPN18895_n_11294),
 	.B(n_11086),
 	.C(n_11094),
 	.D(n_652),
@@ -74620,8 +71342,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g325840 (
-	.A(FE_PSN4104_n_11294),
-	.B(FE_PSN4167_n_11087),
+	.A(FE_OCPN18895_n_11294),
+	.B(n_11087),
 	.C(n_11094),
 	.D(n_652),
 	.Y(n_11433), 
@@ -74671,7 +71393,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g325854 (
-	.A(FE_PSN4787_n_11400),
+	.A(n_11400),
 	.B(n_11234),
 	.X(n_11418), 
 	.VPWR(vccd1), 
@@ -74687,9 +71409,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21o_1 g325860 (
-	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.B1(FE_OFN18356_n_11313),
+	.B1(n_11313),
 	.X(n_11392), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -74704,7 +71426,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325862 (
-	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
 	.B1(n_11313),
 	.Y(n_11390), 
@@ -74713,25 +71435,25 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325863 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11389), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325864 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11388), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g325866 (
-	.A(n_11294),
+	.A(FE_OCPN18895_n_11294),
 	.B(n_15876),
 	.C(n_11094),
 	.Y(n_11386), 
@@ -74740,34 +71462,34 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325867 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11385), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325868 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11384), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325869 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11383), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g325870 (
-	.A(FE_PSN4124_n_11294),
+	.A(FE_OCPN18895_n_11294),
 	.B(n_15880),
 	.C(n_11098),
 	.Y(n_11382), 
@@ -74776,178 +71498,178 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325871 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11381), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325872 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11380), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325873 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11379), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325874 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11378), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325875 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11377), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325876 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11376), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325877 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.B1(FE_OFN18356_n_11313),
+	.B1(FE_OFN19051_n),
 	.Y(n_11375), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325878 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.B1(FE_OFN18356_n_11313),
+	.B1(FE_OFN19051_n),
 	.Y(n_11374), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325879 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11373), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325880 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11372), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325881 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11371), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325882 (
-	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.B1(FE_OFN18356_n_11313),
+	.B1(FE_OFN19051_n),
 	.Y(n_11370), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21o_1 g325883 (
-	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.B1(FE_OFN18356_n_11313),
+	.B1(n_11313),
 	.X(n_11369), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325884 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11368), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325885 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11367), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325886 (
-	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.B1(FE_OFN18356_n_11313),
+	.B1(FE_OFN19051_n),
 	.Y(n_11366), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325887 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11365), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325888 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11364), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325889 (
-	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.B1(FE_OFN18356_n_11313),
+	.B1(FE_OFN19051_n),
 	.Y(n_11363), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325890 (
-	.A1(FE_OFN18388_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
 	.B1(n_11313),
 	.Y(n_11362), 
@@ -74956,15 +71678,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325891 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.Y(n_11361), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 g325892 (
+   sky130_fd_sc_hd__a21oi_2 g325892 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
 	.B1(n_11313),
@@ -74973,16 +71695,16 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g325893 (
+   sky130_fd_sc_hd__nand2_1 g325893 (
 	.A(n_15875),
-	.B(FE_COEN4315_n_15877),
+	.B(n_15877),
 	.Y(n_11401), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g325894 (
-	.A(FE_PSN4104_n_11294),
+	.A(n_11294),
 	.B(n_11224),
 	.C(n_11087),
 	.Y(n_11400), 
@@ -74990,8 +71712,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_2 g325895 (
-	.A(FE_PSN4104_n_11294),
+   sky130_fd_sc_hd__nand3_1 g325895 (
+	.A(n_11294),
 	.B(n_11224),
 	.C(n_11086),
 	.Y(n_11399), 
@@ -75007,16 +71729,16 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g325897 (
+   sky130_fd_sc_hd__nand2_1 g325897 (
 	.A(n_15875),
-	.B(FE_PSN4167_n_11087),
+	.B(n_11087),
 	.Y(n_11397), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g325898 (
-	.A(FE_PSN4104_n_11294),
+	.A(n_11294),
 	.B(n_11224),
 	.C(n_15877),
 	.Y(n_11396), 
@@ -75024,9 +71746,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g325899 (
+   sky130_fd_sc_hd__nand2_1 g325899 (
 	.A(n_15875),
-	.B(FE_COEN4686_n_11121),
+	.B(n_11121),
 	.Y(n_11395), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75042,18 +71764,18 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325905 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
-	.A2(FE_OFN18243_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [12]),
-	.B2(FE_OFN18613_n),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21o_1 g325906 (
-	.A1(FE_OFN776_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
+	.A1(FE_OFN907_u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow_multdiv_i_op_b_shift_q_0),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.B1(FE_OFN19551_n),
+	.B1(FE_OFN19051_n),
 	.X(n_11352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75061,9 +71783,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325907 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
-	.A2(FE_OFN18470_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
-	.B2(FE_OFN18377_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75104,9 +71826,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325911 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.A2(FE_OFN18470_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [28]),
-	.B2(FE_OFN18377_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75116,7 +71838,7 @@
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
 	.A2(n_11259),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
-	.B2(FE_COEN4681_n_16005),
+	.B2(n_16005),
 	.Y(n_11346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75124,7 +71846,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g325913 (
 	.A1(n_11165),
-	.A2(FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19),
+	.A2(FE_OFN845_u_soc_u_top_u_core_instr_rdata_id_19),
 	.A3(u_soc_u_top_u_core_rf_waddr_wb[4]),
 	.B1(n_11088),
 	.B2(n_11086),
@@ -75159,7 +71881,7 @@
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
 	.A2(n_11259),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
-	.B2(FE_COEN4681_n_16005),
+	.B2(n_16005),
 	.Y(n_11342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75167,9 +71889,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325917 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
-	.A2(FE_OFN18243_n_11259),
+	.A2(FE_OFN18298_n_11259),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [11]),
-	.B2(FE_OFN18613_n),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75177,15 +71899,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325918 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.A2(FE_OFN18470_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
-	.B2(FE_OFN18377_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o22ai_2 g325919 (
+   sky130_fd_sc_hd__o22ai_1 g325919 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
 	.A2(n_11259),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [0]),
@@ -75197,9 +71919,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325920 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
-	.A2(FE_OFN18243_n_11259),
+	.A2(FE_OFN18298_n_11259),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
-	.B2(FE_OFN18613_n),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75207,9 +71929,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325921 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
-	.A2(n_11259),
+	.A2(FE_OFN18298_n_11259),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [6]),
-	.B2(FE_OFN18613_n),
+	.B2(n_16005),
 	.Y(n_11337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75217,9 +71939,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325922 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
-	.A2(FE_OFN18470_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
-	.B2(FE_OFN18377_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75227,9 +71949,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325923 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.A2(FE_OFN18470_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [21]),
-	.B2(FE_OFN18377_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75237,9 +71959,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325924 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
-	.A2(FE_OFN18243_n_11259),
+	.A2(FE_OFN18298_n_11259),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
-	.B2(FE_OFN18613_n),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75247,18 +71969,18 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325925 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
-	.A2(FE_OFN18470_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
-	.B2(FE_OFN18377_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g325926 (
-	.A1(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.A1(FE_OFN18300_u_soc_u_top_u_core_instr_rdata_id_16),
 	.A2(n_11112),
-	.B1(FE_PSN4825_n_11035),
+	.B1(FE_OFN18402_u_soc_u_top_u_core_rf_waddr_wb_2),
 	.C1(n_11125),
 	.D1(n_11315),
 	.Y(n_11332), 
@@ -75268,9 +71990,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325927 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.A2(FE_OFN18470_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [20]),
-	.B2(FE_OFN18377_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75278,9 +72000,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325928 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
-	.A2(FE_OFN18470_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [24]),
-	.B2(FE_OFN18377_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75288,9 +72010,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325929 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
-	.A2(FE_OFN18243_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [15]),
-	.B2(FE_OFN18613_n),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75298,9 +72020,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325930 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
-	.A2(FE_OFN18470_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [18]),
-	.B2(FE_OFN18377_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75308,9 +72030,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325931 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.A2(FE_OFN18470_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [16]),
-	.B2(FE_OFN18377_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75328,9 +72050,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325933 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
-	.A2(FE_OFN18470_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
-	.B2(FE_OFN18377_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11325), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75338,18 +72060,18 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325934 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
-	.A2(FE_OFN18469_n_11259),
+	.A2(FE_OFN18298_n_11259),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [10]),
-	.B2(FE_OFN18613_n),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11324), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g325935 (
-	.A1(n_11258),
+	.A1(FE_OFN18309_n_11258),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
-	.B1(FE_PSN4428_n_11176),
+	.B1(n_11176),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [32]),
 	.Y(n_11323), 
 	.VPWR(vccd1), 
@@ -75358,9 +72080,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325936 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
-	.A2(FE_OFN18470_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
-	.B2(FE_OFN18377_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11322), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75368,9 +72090,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325937 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
-	.A2(FE_OFN18470_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [26]),
-	.B2(FE_OFN18377_n_16005),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11321), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75378,9 +72100,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325938 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
-	.A2(FE_OFN18243_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [29]),
-	.B2(FE_OFN18613_n),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11320), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75388,9 +72110,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325939 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
-	.A2(FE_OFN18243_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [14]),
-	.B2(FE_OFN18613_n),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11319), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75398,9 +72120,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325940 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.A2(FE_OFN18243_n_11259),
+	.A2(FE_OFN18298_n_11259),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
-	.B2(FE_OFN18613_n),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11318), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75408,9 +72130,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g325941 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
-	.A2(FE_OFN18243_n_11259),
+	.A2(n_11258),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [13]),
-	.B2(FE_OFN18613_n),
+	.B2(FE_OFN18297_n_16005),
 	.Y(n_11317), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75420,7 +72142,7 @@
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
 	.A2(n_11259),
 	.B1(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
-	.B2(FE_COEN4681_n_16005),
+	.B2(n_16005),
 	.Y(n_11316), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75437,9 +72159,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g325944 (
 	.A1(n_621),
-	.A2(FE_PSN4455_n_11223),
+	.A2(n_11223),
 	.B1(n_13341),
-	.C1(FE_COEN4565_n_11122),
+	.C1(n_11122),
 	.D1(n_11227),
 	.Y(n_13460), 
 	.VPWR(vccd1), 
@@ -75448,7 +72170,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g325945 (
 	.A1(n_11257),
-	.A2(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.A2(FE_OFN849_u_soc_u_top_u_core_instr_rdata_id_15),
 	.B1(n_11141),
 	.Y(n_11357), 
 	.VPWR(vccd1), 
@@ -75464,7 +72186,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 g325947 (
+   sky130_fd_sc_hd__o21ai_1 g325947 (
 	.A1(n_11031),
 	.A2(n_13880),
 	.B1(n_11310),
@@ -75473,8 +72195,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__clkinv_2 g325948 (
-	.A(FE_PSN4450_n_11314),
+   sky130_fd_sc_hd__clkinv_1 g325948 (
+	.A(n_11314),
 	.Y(n_11315), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75497,7 +72219,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g325953 (
-	.A(FE_PSN4124_n_11294),
+	.A(FE_OCPN18895_n_11294),
 	.B(n_11043),
 	.Y(n_11314), 
 	.VPWR(vccd1), 
@@ -75515,7 +72237,7 @@
    sky130_fd_sc_hd__nand3_1 g325957 (
 	.A(n_11172),
 	.B(n_11166),
-	.C(u_soc_u_top_u_core_alu_operator_ex[0]),
+	.C(FE_OFN18156_u_soc_u_top_u_core_alu_operator_ex_0),
 	.Y(n_11304), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75532,20 +72254,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_1 g325959 (
-	.A1(FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4),
-	.A2(n_13459),
-	.B1(n_13872),
-	.C1(n_13477),
-	.D1(n_11223),
-	.Y(n_11302), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_2 g325960 (
-	.A1(n_11214),
-	.A2(FE_OFN18277_n_13339),
+	.A1(FE_OFN18085_n_11213),
+	.A2(FE_OFN18146_n_13339),
 	.B1(n_11228),
 	.Y(n_11307), 
 	.VPWR(vccd1), 
@@ -75568,7 +72279,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325967 (
-	.A(FE_COEN4274_n_11089),
+	.A(n_11089),
 	.B(n_11157),
 	.Y(n_11291), 
 	.VPWR(vccd1), 
@@ -75577,14 +72288,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g325968 (
 	.A_N(\u_soc_u_top_u_core_imd_val_q_ex[0] [0]),
-	.B(FE_PSN4428_n_11176),
+	.B(n_11176),
 	.Y(n_13839), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325969 (
-	.A(FE_COEN4279_n_11090),
+	.A(n_11090),
 	.B(n_11156),
 	.Y(n_11290), 
 	.VPWR(vccd1), 
@@ -75600,7 +72311,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325972 (
-	.A(FE_COEN4312_n_11091),
+	.A(n_11091),
 	.B(n_11209),
 	.Y(n_11287), 
 	.VPWR(vccd1), 
@@ -75616,7 +72327,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325975 (
-	.A(FE_COEN4312_n_11091),
+	.A(n_11091),
 	.B(n_11157),
 	.Y(n_11284), 
 	.VPWR(vccd1), 
@@ -75632,7 +72343,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325977 (
-	.A(FE_COEN4312_n_11091),
+	.A(n_11091),
 	.B(n_11159),
 	.Y(n_11282), 
 	.VPWR(vccd1), 
@@ -75648,7 +72359,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325979 (
-	.A(FE_COEN4279_n_11090),
+	.A(n_11090),
 	.B(n_11212),
 	.Y(n_11280), 
 	.VPWR(vccd1), 
@@ -75656,7 +72367,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325980 (
-	.A(FE_COEN4274_n_11089),
+	.A(n_11089),
 	.B(n_11209),
 	.Y(n_11279), 
 	.VPWR(vccd1), 
@@ -75672,7 +72383,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325982 (
-	.A(FE_OFN18606_n_13339),
+	.A(n_13339),
 	.B(n_11216),
 	.Y(n_11277), 
 	.VPWR(vccd1), 
@@ -75688,15 +72399,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325984 (
-	.A(FE_PSN4166_n_13338),
-	.B(FE_OFN18207_n_11218),
+	.A(n_13338),
+	.B(n_11218),
 	.Y(n_11275), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325985 (
-	.A(FE_COEN4274_n_11089),
+	.A(n_11089),
 	.B(n_11212),
 	.Y(n_11274), 
 	.VPWR(vccd1), 
@@ -75705,14 +72416,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325986 (
 	.A(n_11114),
-	.B(FE_OFN18207_n_11218),
+	.B(n_11218),
 	.Y(n_11273), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325987 (
-	.A(FE_OFN18606_n_13339),
+	.A(n_13339),
 	.B(n_11219),
 	.Y(n_11272), 
 	.VPWR(vccd1), 
@@ -75720,7 +72431,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325988 (
-	.A(FE_PSN4166_n_13338),
+	.A(n_13338),
 	.B(n_11219),
 	.Y(n_11271), 
 	.VPWR(vccd1), 
@@ -75736,7 +72447,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325991 (
-	.A(FE_COEN4279_n_11090),
+	.A(n_11090),
 	.B(n_11209),
 	.Y(n_11268), 
 	.VPWR(vccd1), 
@@ -75752,7 +72463,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g325994 (
-	.A(FE_PSN4166_n_13338),
+	.A(n_13338),
 	.B(n_11216),
 	.Y(n_11265), 
 	.VPWR(vccd1), 
@@ -75799,9 +72510,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g326002 (
-	.A(n_16004),
-	.B(n_11130),
+   sky130_fd_sc_hd__nand2_8 g326002 (
+	.A(FE_OFN18429_n_16004),
+	.B(FE_OFN18436_n_11130),
 	.Y(n_11294), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -75818,7 +72529,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326005 (
-	.A(FE_COEN4274_n_11089),
+	.A(n_11089),
 	.B(n_11156),
 	.Y(n_11256), 
 	.VPWR(vccd1), 
@@ -75834,7 +72545,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326007 (
-	.A(FE_OFN18606_n_13339),
+	.A(n_13339),
 	.B(n_11210),
 	.Y(n_11254), 
 	.VPWR(vccd1), 
@@ -75842,7 +72553,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326008 (
-	.A(FE_PSN4166_n_13338),
+	.A(n_13338),
 	.B(n_11158),
 	.Y(n_11253), 
 	.VPWR(vccd1), 
@@ -75850,7 +72561,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326009 (
-	.A(FE_OFN18606_n_13339),
+	.A(n_13339),
 	.B(n_11158),
 	.Y(n_11252), 
 	.VPWR(vccd1), 
@@ -75874,7 +72585,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326012 (
-	.A(FE_COEN4312_n_11091),
+	.A(n_11091),
 	.B(n_11160),
 	.Y(n_11249), 
 	.VPWR(vccd1), 
@@ -75882,7 +72593,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326013 (
-	.A(FE_COEN4312_n_11091),
+	.A(n_11091),
 	.B(n_11215),
 	.Y(n_11248), 
 	.VPWR(vccd1), 
@@ -75906,7 +72617,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326016 (
-	.A(FE_COEN4279_n_11090),
+	.A(n_11090),
 	.B(n_11160),
 	.Y(n_11245), 
 	.VPWR(vccd1), 
@@ -75914,7 +72625,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326017 (
-	.A(FE_COEN4274_n_11089),
+	.A(n_11089),
 	.B(n_11159),
 	.Y(n_11244), 
 	.VPWR(vccd1), 
@@ -75922,7 +72633,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326018 (
-	.A(FE_COEN4274_n_11089),
+	.A(n_11089),
 	.B(n_11160),
 	.Y(n_11243), 
 	.VPWR(vccd1), 
@@ -75930,7 +72641,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326019 (
-	.A(FE_COEN4279_n_11090),
+	.A(n_11090),
 	.B(n_11159),
 	.Y(n_11242), 
 	.VPWR(vccd1), 
@@ -75938,7 +72649,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326020 (
-	.A(FE_COEN4279_n_11090),
+	.A(n_11090),
 	.B(n_11215),
 	.Y(n_11241), 
 	.VPWR(vccd1), 
@@ -75946,7 +72657,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326021 (
-	.A(FE_COEN4274_n_11089),
+	.A(n_11089),
 	.B(n_11222),
 	.Y(n_11240), 
 	.VPWR(vccd1), 
@@ -75954,7 +72665,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326022 (
-	.A(FE_COEN4279_n_11090),
+	.A(n_11090),
 	.B(n_11222),
 	.Y(n_11239), 
 	.VPWR(vccd1), 
@@ -75962,7 +72673,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326023 (
-	.A(FE_COEN4274_n_11089),
+	.A(n_11089),
 	.B(n_11215),
 	.Y(n_11238), 
 	.VPWR(vccd1), 
@@ -75970,7 +72681,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326024 (
-	.A(FE_PSN4166_n_13338),
+	.A(n_13338),
 	.B(n_11210),
 	.Y(n_11237), 
 	.VPWR(vccd1), 
@@ -75994,7 +72705,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326027 (
-	.A(FE_PSN4166_n_13338),
+	.A(n_13338),
 	.B(n_11213),
 	.Y(n_11234), 
 	.VPWR(vccd1), 
@@ -76010,7 +72721,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326029 (
-	.A(FE_COEN4279_n_11090),
+	.A(n_11090),
 	.B(n_11157),
 	.Y(n_11232), 
 	.VPWR(vccd1), 
@@ -76037,16 +72748,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g326032 (
 	.A1(n_13873),
-	.A2(FE_PSN4484_n_11123),
+	.A2(n_11123),
 	.B1(n_11105),
 	.Y(n_11229), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 g326033 (
-	.A1(FE_COEN4294_n_11033),
-	.A2(u_soc_u_top_u_core_instr_rdata_id[24]),
+   sky130_fd_sc_hd__o21ai_2 g326033 (
+	.A1(n_11033),
+	.A2(FE_OFN18285_u_soc_u_top_u_core_instr_rdata_id_24),
 	.B1(n_11148),
 	.Y(n_11228), 
 	.VPWR(vccd1), 
@@ -76072,19 +72783,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g326036 (
-	.A1(n_11101),
-	.A2(n_11035),
-	.B1(n_11099),
-	.B2(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
-	.Y(n_11225), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 g326038 (
-	.A1(u_soc_u_top_u_core_instr_rdata_id[24]),
-	.A2(FE_COEN4294_n_11033),
+   sky130_fd_sc_hd__a21oi_1 g326038 (
+	.A1(FE_OFN18285_u_soc_u_top_u_core_instr_rdata_id_24),
+	.A2(n_11033),
 	.B1(n_11146),
 	.Y(n_11261), 
 	.VPWR(vccd1), 
@@ -76099,22 +72800,15 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_4 g326040 (
+   sky130_fd_sc_hd__a21o_1 g326040 (
 	.A1(n_13345),
 	.A2(n_13366),
-	.B1(FE_OFN18250_n_183),
+	.B1(u_soc_u_top_u_core_multdiv_operator_ex[1]),
 	.X(n_11259), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g326041 (
-	.A(n_11220),
-	.Y(n_11221), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g326076 (
 	.A(n_11138),
 	.B(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
@@ -76123,8 +72817,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g326077 (
-	.A(FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4),
+   sky130_fd_sc_hd__nor2_1 g326077 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[4]),
 	.B(n_13873),
 	.Y(n_11173), 
 	.VPWR(vccd1), 
@@ -76132,8 +72826,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g326078 (
-	.A_N(n_11130),
-	.B(FE_PSN4439_n_11094),
+	.A_N(FE_OFN18436_n_11130),
+	.B(n_11094),
 	.Y(n_13371), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76179,8 +72873,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g326084 (
-	.A(u_soc_u_top_u_core_rf_waddr_wb[1]),
+   sky130_fd_sc_hd__nor2_1 g326084 (
+	.A(FE_OFN18406_u_soc_u_top_u_core_rf_waddr_wb_1),
 	.B(n_13467),
 	.Y(n_11224), 
 	.VPWR(vccd1), 
@@ -76195,9 +72889,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g326086 (
+   sky130_fd_sc_hd__nand2_1 g326086 (
 	.A(n_11123),
-	.B(FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1),
+	.B(FE_OFN18192_u_soc_u_top_u_core_alu_operator_ex_1),
 	.Y(n_11166), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76219,7 +72913,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g326089 (
+   sky130_fd_sc_hd__nand2_1 g326089 (
 	.A(n_13459),
 	.B(u_soc_u_top_u_core_alu_operator_ex[3]),
 	.Y(n_11223), 
@@ -76237,7 +72931,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g326091 (
 	.A(n_11125),
-	.B(n_11032),
+	.B(FE_OFN18301_n_11032),
 	.Y(n_11222), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76251,9 +72945,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g326093 (
+   sky130_fd_sc_hd__nand2_2 g326093 (
 	.A(n_11135),
-	.B(u_soc_u_top_u_core_instr_rdata_id[22]),
+	.B(FE_PSN3973_u_soc_u_top_u_core_instr_rdata_id_22),
 	.Y(n_11219), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76267,9 +72961,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g326095 (
+   sky130_fd_sc_hd__nand2_2 g326095 (
 	.A(n_11101),
-	.B(u_soc_u_top_u_core_instr_rdata_id[21]),
+	.B(FE_OFN843_u_soc_u_top_u_core_instr_rdata_id_21),
 	.Y(n_11216), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76277,7 +72971,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g326097 (
 	.A(n_11133),
-	.B(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.B(FE_OFN18300_u_soc_u_top_u_core_instr_rdata_id_16),
 	.Y(n_11215), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76293,7 +72987,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g326099 (
 	.A(n_11133),
-	.B(n_11032),
+	.B(FE_OFN18301_n_11032),
 	.Y(n_11212), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76301,15 +72995,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 g326100 (
 	.A(n_15876),
-	.B(u_soc_u_top_u_core_instr_rdata_id[21]),
+	.B(FE_OFN843_u_soc_u_top_u_core_instr_rdata_id_21),
 	.Y(n_11210), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g326101 (
+   sky130_fd_sc_hd__nand2_2 g326101 (
 	.A(n_11125),
-	.B(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.B(FE_OFN18300_u_soc_u_top_u_core_instr_rdata_id_16),
 	.Y(n_11209), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76323,7 +73017,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g326103 (
+   sky130_fd_sc_hd__nor2_2 g326103 (
 	.A(n_556),
 	.B(n_11054),
 	.Y(n_11176), 
@@ -76331,9 +73025,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g326104 (
+   sky130_fd_sc_hd__nand2_1 g326104 (
 	.A(n_13452),
-	.B(FE_OFN18250_n_183),
+	.B(u_soc_u_top_u_core_multdiv_operator_ex[1]),
 	.Y(n_11175), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76357,7 +73051,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__xnor2_1 g326111 (
-	.A(u_soc_u_top_u_core_rf_waddr_wb[3]),
+	.A(FE_OFN18335_u_soc_u_top_u_core_rf_waddr_wb_3),
 	.B(u_soc_u_top_u_core_instr_rdata_id[23]),
 	.Y(n_11148), 
 	.VPWR(vccd1), 
@@ -76366,9 +73060,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g326112 (
 	.A1(n_11033),
-	.A2(u_soc_u_top_u_core_instr_rdata_id[19]),
+	.A2(FE_OFN845_u_soc_u_top_u_core_instr_rdata_id_19),
 	.B1(u_soc_u_top_u_core_rf_waddr_wb[4]),
-	.B2(n_11034),
+	.B2(FE_OFN18311_n_11034),
 	.Y(n_11147), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76376,8 +73070,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g326113 (
 	.A1(n_11018),
-	.A2(u_soc_u_top_u_core_rf_waddr_wb[1]),
-	.B1(u_soc_u_top_u_core_instr_rdata_id[21]),
+	.A2(FE_OFN18405_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.B1(FE_OFN843_u_soc_u_top_u_core_instr_rdata_id_21),
 	.B2(n_652),
 	.Y(n_11146), 
 	.VPWR(vccd1), 
@@ -76452,9 +73146,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g326121 (
-	.A1(u_soc_u_top_u_core_rf_waddr_wb[3]),
+	.A1(FE_OFN18335_u_soc_u_top_u_core_rf_waddr_wb_3),
 	.A2(n_11038),
-	.B1(FE_OFN18295_u_soc_u_top_u_core_rf_waddr_wb_3),
+	.B1(n_11019),
 	.B2(u_soc_u_top_u_core_instr_rdata_id[18]),
 	.Y(n_11164), 
 	.VPWR(vccd1), 
@@ -76529,9 +73223,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g326130 (
 	.A1(n_652),
-	.A2(n_11032),
-	.B1(u_soc_u_top_u_core_rf_waddr_wb[1]),
-	.B2(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.A2(FE_OFN18301_n_11032),
+	.B1(FE_OFN18405_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.B2(FE_OFN18300_u_soc_u_top_u_core_instr_rdata_id_16),
 	.Y(n_11161), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76539,7 +73233,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g326131 (
 	.A(n_11096),
-	.B(n_11032),
+	.B(FE_OFN18301_n_11032),
 	.Y(n_11160), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76547,7 +73241,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 g326132 (
 	.A(n_15879),
-	.B(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.B(FE_OFN18300_u_soc_u_top_u_core_instr_rdata_id_16),
 	.Y(n_11159), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76555,7 +73249,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 g326133 (
 	.A(n_15880),
-	.B(u_soc_u_top_u_core_instr_rdata_id[21]),
+	.B(FE_OFN843_u_soc_u_top_u_core_instr_rdata_id_21),
 	.Y(n_11158), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76563,15 +73257,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g326134 (
 	.A(n_11096),
-	.B(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.B(FE_OFN18300_u_soc_u_top_u_core_instr_rdata_id_16),
 	.Y(n_11157), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g326135 (
+   sky130_fd_sc_hd__nand2_1 g326135 (
 	.A(n_15879),
-	.B(n_11032),
+	.B(FE_OFN18301_n_11032),
 	.Y(n_11156), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76601,6 +73295,13 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g326140 (
+	.A(n_11137),
+	.Y(n_13342), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g326147 (
 	.A(n_13467),
 	.Y(n_11124), 
@@ -76608,6 +73309,13 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g326148 (
+	.A(n_11123),
+	.Y(n_11122), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g326157 (
 	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
 	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
@@ -76633,8 +73341,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g326162 (
-	.A(u_soc_u_top_u_core_alu_operator_ex[5]),
-	.B(FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4),
+	.A(FE_OFN18400_u_soc_u_top_u_core_alu_operator_ex_5),
+	.B(u_soc_u_top_u_core_alu_operator_ex[4]),
 	.Y(n_13872), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76665,8 +73373,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_4 g326166 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[21]),
-	.B(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20),
+	.A(FE_OFN843_u_soc_u_top_u_core_instr_rdata_id_21),
+	.B(FE_OFN18441_u_soc_u_top_u_core_instr_rdata_id_20),
 	.Y(n_11135), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76680,7 +73388,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g326168 (
+   sky130_fd_sc_hd__nor2_2 g326168 (
 	.A(n_11040),
 	.B(n_11036),
 	.Y(n_11133), 
@@ -76704,7 +73412,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g326171 (
+   sky130_fd_sc_hd__nand2_1 g326171 (
 	.A(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
 	.B(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
 	.Y(n_11130), 
@@ -76729,54 +73437,54 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_2 g326175 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.A(FE_OFN849_u_soc_u_top_u_core_instr_rdata_id_15),
 	.B(u_soc_u_top_u_core_instr_rdata_id[17]),
 	.Y(n_11125), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g326176 (
-	.A(n_11035),
+   sky130_fd_sc_hd__nand2_4 g326176 (
+	.A(FE_OFN18402_u_soc_u_top_u_core_rf_waddr_wb_2),
 	.B(n_11043),
 	.Y(n_13467), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g326177 (
-	.A(FE_PSN4347_u_soc_u_top_u_core_alu_operator_ex_0),
-	.B(FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1),
+   sky130_fd_sc_hd__nand2_1 g326177 (
+	.A(FE_OFN18156_u_soc_u_top_u_core_alu_operator_ex_0),
+	.B(FE_OFN18192_u_soc_u_top_u_core_alu_operator_ex_1),
 	.Y(n_13873), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g326178 (
+   sky130_fd_sc_hd__nand2_1 g326178 (
 	.A(n_11045),
-	.B(FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4),
+	.B(u_soc_u_top_u_core_alu_operator_ex[4]),
 	.Y(n_11123), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g326179 (
-	.A(FE_OFN18295_u_soc_u_top_u_core_rf_waddr_wb_3),
+   sky130_fd_sc_hd__and2_2 g326179 (
+	.A(n_11019),
 	.B(n_11033),
 	.X(n_11121), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g326181 (
+   sky130_fd_sc_hd__nand2_4 g326181 (
 	.A(u_soc_u_top_u_core_instr_rdata_id[23]),
-	.B(u_soc_u_top_u_core_instr_rdata_id[24]),
+	.B(FE_OFN18285_u_soc_u_top_u_core_instr_rdata_id_24),
 	.Y(n_11114), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g326182 (
+   sky130_fd_sc_hd__nand2_2 g326182 (
 	.A(n_11037),
 	.B(n_11021),
 	.Y(n_13339), 
@@ -76784,8 +73492,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g326183 (
-	.A(n_11034),
+   sky130_fd_sc_hd__nand2_4 g326183 (
+	.A(FE_OFN18311_n_11034),
 	.B(n_11038),
 	.Y(n_11112), 
 	.VPWR(vccd1), 
@@ -76806,36 +73514,22 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g326188 (
-	.A(n_11098),
-	.Y(n_13468), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g326191 (
-	.A(n_11094),
-	.Y(n_13352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g326193 (
-	.A(FE_COEN4274_n_11089),
+	.A(n_11089),
 	.Y(n_11088), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g326194 (
-	.A(FE_PSN4167_n_11087),
+	.A(n_11087),
 	.Y(n_13370), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g326195 (
-	.A(FE_COEN4692_n_11086),
+	.A(n_11086),
 	.Y(n_13351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76857,7 +73551,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g326232 (
+   sky130_fd_sc_hd__nor2_1 g326232 (
 	.A(u_soc_u_top_u_core_alu_operator_ex[3]),
 	.B(u_soc_u_top_u_core_alu_operator_ex[2]),
 	.Y(n_11105), 
@@ -76866,7 +73560,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326233 (
-	.A(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
+	.A(FE_OFN18156_u_soc_u_top_u_core_alu_operator_ex_0),
 	.B(n_11041),
 	.Y(n_13455), 
 	.VPWR(vccd1), 
@@ -76874,7 +73568,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g326234 (
-	.A(FE_OFN18249_n_183),
+	.A(n_556),
 	.B(n_132),
 	.Y(n_13334), 
 	.VPWR(vccd1), 
@@ -76891,7 +73585,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g326236 (
 	.A(n_11041),
-	.B(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
+	.B(FE_OFN18156_u_soc_u_top_u_core_alu_operator_ex_0),
 	.Y(n_13343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76899,15 +73593,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g326237 (
 	.A(n_11043),
-	.B(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.B(FE_OFN18403_u_soc_u_top_u_core_rf_waddr_wb_2),
 	.Y(n_13469), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g326239 (
+   sky130_fd_sc_hd__nor2_1 g326239 (
 	.A(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.B(FE_OFN18250_n_183),
+	.B(u_soc_u_top_u_core_multdiv_operator_ex[1]),
 	.Y(n_11102), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -76922,15 +73616,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326241 (
-	.A(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20),
-	.B(u_soc_u_top_u_core_instr_rdata_id[22]),
+	.A(FE_OFN18441_u_soc_u_top_u_core_instr_rdata_id_20),
+	.B(FE_PSN3973_u_soc_u_top_u_core_instr_rdata_id_22),
 	.Y(n_11101), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326242 (
-	.A(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20),
+	.A(FE_OFN18441_u_soc_u_top_u_core_instr_rdata_id_20),
 	.B(n_11020),
 	.Y(n_11099), 
 	.VPWR(vccd1), 
@@ -76938,14 +73632,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g326243 (
-	.A(n_11035),
-	.B(FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0),
+	.A(FE_OFN18402_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.B(u_soc_u_top_u_core_rf_waddr_wb[0]),
 	.X(n_11098), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g326244 (
+   sky130_fd_sc_hd__nand2_2 g326244 (
 	.A(n_11039),
 	.B(n_11041),
 	.Y(n_13459), 
@@ -76954,7 +73648,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g326245 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.A(FE_OFN849_u_soc_u_top_u_core_instr_rdata_id_15),
 	.B(n_11036),
 	.Y(n_11096), 
 	.VPWR(vccd1), 
@@ -76962,14 +73656,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g326247 (
-	.A(FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0),
-	.B(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.A(u_soc_u_top_u_core_rf_waddr_wb[0]),
+	.B(FE_OFN18403_u_soc_u_top_u_core_rf_waddr_wb_2),
 	.X(n_11094), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g326248 (
+   sky130_fd_sc_hd__nand2_4 g326248 (
 	.A(u_soc_u_top_u_core_instr_rdata_id[23]),
 	.B(n_11021),
 	.Y(n_11093), 
@@ -76978,39 +73672,39 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g326249 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[19]),
+	.A(FE_OFN845_u_soc_u_top_u_core_instr_rdata_id_19),
 	.B(u_soc_u_top_u_core_instr_rdata_id[18]),
 	.Y(n_11091), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g326250 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[19]),
+   sky130_fd_sc_hd__nand2_2 g326250 (
+	.A(FE_OFN845_u_soc_u_top_u_core_instr_rdata_id_19),
 	.B(n_11038),
 	.Y(n_11090), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g326251 (
+   sky130_fd_sc_hd__nand2_2 g326251 (
 	.A(u_soc_u_top_u_core_instr_rdata_id[18]),
-	.B(n_11034),
+	.B(FE_OFN18311_n_11034),
 	.Y(n_11089), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g326252 (
-	.A(FE_OFN18295_u_soc_u_top_u_core_rf_waddr_wb_3),
+   sky130_fd_sc_hd__and2_2 g326252 (
+	.A(n_11019),
 	.B(u_soc_u_top_u_core_rf_waddr_wb[4]),
 	.X(n_11087), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g326253 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[24]),
+   sky130_fd_sc_hd__nand2_4 g326253 (
+	.A(FE_OFN18285_u_soc_u_top_u_core_instr_rdata_id_24),
 	.B(n_11037),
 	.Y(n_13338), 
 	.VPWR(vccd1), 
@@ -77019,7 +73713,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g326254 (
 	.A(n_11033),
-	.B(u_soc_u_top_u_core_rf_waddr_wb[3]),
+	.B(FE_OFN18335_u_soc_u_top_u_core_rf_waddr_wb_3),
 	.X(n_11086), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -77033,9 +73727,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g326261 (
-	.A(FE_COEN4273_u_soc_u_top_u_core_alu_operator_ex_4),
-	.Y(n_11044), 
+   sky130_fd_sc_hd__inv_2 g326262 (
+	.A(u_soc_u_top_u_core_rf_waddr_wb[0]),
+	.Y(n_11043), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -77047,8 +73741,15 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g326279 (
+	.A(u_soc_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_720), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g326281 (
-	.A(FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2),
+	.A(u_soc_u_top_u_core_alu_operator_ex[2]),
 	.Y(n_621), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -77067,7 +73768,7 @@
    sky130_fd_sc_hd__nand4_1 g277657 (
 	.A(n_11004),
 	.B(n_11000),
-	.C(n_10999),
+	.C(FE_OFN1239_n_10999),
 	.D(n_11002),
 	.Y(n_11006), 
 	.VPWR(vccd1), 
@@ -77421,7 +74122,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g326300 (
+   sky130_fd_sc_hd__or2_0 g326300 (
 	.A(u_soc_intr_u_rx),
 	.B(u_soc_intr_u_tx),
 	.X(u_soc_n_289), 
@@ -77430,7 +74131,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[0]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10828),
 	.Q(u_soc_baud_reg[0]),
 	.RESET_B(n_470), 
@@ -77439,7 +74140,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[1]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10573),
 	.Q(u_soc_baud_reg[1]),
 	.RESET_B(n_470), 
@@ -77448,7 +74149,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[2]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10557),
 	.Q(u_soc_baud_reg[2]),
 	.RESET_B(n_470), 
@@ -77457,7 +74158,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[3]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10548),
 	.Q(u_soc_baud_reg[3]),
 	.RESET_B(n_470), 
@@ -77466,7 +74167,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[4]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10574),
 	.Q(u_soc_baud_reg[4]),
 	.RESET_B(n_470), 
@@ -77475,7 +74176,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[5]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10549),
 	.Q(u_soc_baud_reg[5]),
 	.RESET_B(n_470), 
@@ -77484,7 +74185,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[6]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10687),
 	.Q(u_soc_baud_reg[6]),
 	.RESET_B(n_470), 
@@ -77493,7 +74194,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[7]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10518),
 	.Q(u_soc_baud_reg[7]),
 	.RESET_B(n_470), 
@@ -77502,7 +74203,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[8]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10550),
 	.Q(u_soc_baud_reg[8]),
 	.RESET_B(n_470), 
@@ -77511,7 +74212,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[9]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10352),
 	.Q(u_soc_baud_reg[9]),
 	.RESET_B(n_470), 
@@ -77520,7 +74221,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[10]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10556),
 	.Q(u_soc_baud_reg[10]),
 	.RESET_B(n_470), 
@@ -77529,7 +74230,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[11]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10521),
 	.Q(u_soc_baud_reg[11]),
 	.RESET_B(n_470), 
@@ -77538,7 +74239,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[12]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10520),
 	.Q(u_soc_baud_reg[12]),
 	.RESET_B(n_470), 
@@ -77547,7 +74248,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[13]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10349),
 	.Q(u_soc_baud_reg[13]),
 	.RESET_B(n_470), 
@@ -77556,7 +74257,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[14]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10348),
 	.Q(u_soc_baud_reg[14]),
 	.RESET_B(n_470), 
@@ -77565,7 +74266,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_baud_reg_reg[15]  (
-	.CLK(CTS_77),
+	.CLK(CTS_5),
 	.D(n_10347),
 	.Q(u_soc_baud_reg[15]),
 	.RESET_B(n_470), 
@@ -77574,440 +74275,440 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[0]  (
-	.CLK_N(CTS_52),
-	.D(n_10533),
+	.CLK_N(CTS_97),
+	.D(FE_OFN1794_n_10533),
 	.Q(u_soc_data_addr[0]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[1]  (
-	.CLK_N(CTS_52),
-	.D(n_10539),
+	.CLK_N(CTS_97),
+	.D(FE_OFN1800_n_10539),
 	.Q(u_soc_data_addr[1]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[2]  (
-	.CLK_N(CTS_52),
-	.D(n_10538),
+	.CLK_N(CTS_97),
+	.D(FE_OFN1799_n_10538),
 	.Q(u_soc_data_addr[2]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[3]  (
-	.CLK_N(CTS_52),
-	.D(n_10536),
+	.CLK_N(CTS_86),
+	.D(FE_OFN1797_n_10536),
 	.Q(u_soc_data_addr[3]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[4]  (
-	.CLK_N(CTS_52),
-	.D(n_10537),
+	.CLK_N(CTS_97),
+	.D(FE_OFN1798_n_10537),
 	.Q(u_soc_data_addr[4]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[5]  (
-	.CLK_N(CTS_52),
-	.D(n_10535),
+	.CLK_N(CTS_86),
+	.D(FE_OFN1796_n_10535),
 	.Q(u_soc_data_addr[5]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[6]  (
-	.CLK_N(CTS_52),
-	.D(n_10534),
+	.CLK_N(CTS_86),
+	.D(FE_OFN1795_n_10534),
 	.Q(u_soc_data_addr[6]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[7]  (
-	.CLK_N(CTS_52),
-	.D(n_10531),
+	.CLK_N(CTS_97),
+	.D(FE_OFN18294_n_10531),
 	.Q(u_soc_data_addr[7]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[8]  (
-	.CLK_N(CTS_31),
-	.D(n_10532),
+	.CLK_N(CTS_86),
+	.D(FE_OFN1793_n_10532),
 	.Q(u_soc_data_addr[8]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_addr_o_reg[9]  (
-	.CLK_N(CTS_31),
-	.D(n_10530),
+	.CLK_N(CTS_86),
+	.D(FE_OFN18291_n_10530),
 	.Q(u_soc_data_addr[9]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfbbn_1 u_soc_dccm_adapter_csb_reg (
-	.CLK_N(CTS_31),
+	.CLK_N(CTS_86),
 	.D(u_soc_dccm_adapter_data_csbD),
 	.Q_N(u_soc_data_csb),
 	.RESET_B(n_17933),
-	.SET_B(FE_OFN75_io_out_37), 
+	.SET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[0]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10682),
 	.Q(u_soc_data_wdata[0]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[1]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_101),
 	.D(n_10681),
 	.Q(u_soc_data_wdata[1]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[2]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10680),
 	.Q(u_soc_data_wdata[2]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[3]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_101),
 	.D(n_10679),
 	.Q(u_soc_data_wdata[3]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[4]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_101),
 	.D(n_10683),
 	.Q(u_soc_data_wdata[4]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[5]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_101),
 	.D(n_10686),
 	.Q(u_soc_data_wdata[5]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[6]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10685),
 	.Q(u_soc_data_wdata[6]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[7]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_101),
 	.D(n_10684),
 	.Q(u_soc_data_wdata[7]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[8]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10662),
 	.Q(u_soc_data_wdata[8]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[9]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10661),
 	.Q(u_soc_data_wdata[9]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[10]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10660),
 	.Q(u_soc_data_wdata[10]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[11]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10655),
 	.Q(u_soc_data_wdata[11]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[12]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10659),
 	.Q(u_soc_data_wdata[12]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[13]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10658),
 	.Q(u_soc_data_wdata[13]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[14]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10657),
 	.Q(u_soc_data_wdata[14]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[15]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10656),
 	.Q(u_soc_data_wdata[15]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[16]  (
-	.CLK_N(CTS_52),
-	.D(FE_COEN4864_n_10678),
+	.CLK_N(CTS_100),
+	.D(n_10678),
 	.Q(u_soc_data_wdata[16]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[17]  (
-	.CLK_N(CTS_52),
-	.D(FE_COEN4867_n_10677),
+	.CLK_N(CTS_101),
+	.D(n_10677),
 	.Q(u_soc_data_wdata[17]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[18]  (
-	.CLK_N(CTS_52),
-	.D(FE_COEN4866_n_10676),
+	.CLK_N(CTS_101),
+	.D(n_10676),
 	.Q(u_soc_data_wdata[18]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[19]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_101),
 	.D(n_10675),
 	.Q(u_soc_data_wdata[19]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[20]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_101),
 	.D(n_10674),
 	.Q(u_soc_data_wdata[20]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[21]  (
-	.CLK_N(CTS_52),
-	.D(FE_COEN4869_n_10673),
+	.CLK_N(CTS_101),
+	.D(n_10673),
 	.Q(u_soc_data_wdata[21]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[22]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10672),
 	.Q(u_soc_data_wdata[22]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[23]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_91),
 	.D(n_10671),
 	.Q(u_soc_data_wdata[23]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[24]  (
-	.CLK_N(CTS_52),
-	.D(FE_COEN4568_n_10670),
+	.CLK_N(CTS_100),
+	.D(n_10670),
 	.Q(u_soc_data_wdata[24]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[25]  (
-	.CLK_N(CTS_52),
-	.D(FE_COEN4569_n_10669),
+	.CLK_N(CTS_100),
+	.D(n_10669),
 	.Q(u_soc_data_wdata[25]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[26]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10668),
 	.Q(u_soc_data_wdata[26]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[27]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10667),
 	.Q(u_soc_data_wdata[27]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[28]  (
-	.CLK_N(CTS_52),
-	.D(FE_COEN4561_n_10666),
+	.CLK_N(CTS_100),
+	.D(n_10666),
 	.Q(u_soc_data_wdata[28]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[29]  (
-	.CLK_N(CTS_52),
-	.D(FE_COEN4871_n_10665),
+	.CLK_N(CTS_100),
+	.D(n_10665),
 	.Q(u_soc_data_wdata[29]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[30]  (
-	.CLK_N(CTS_52),
-	.D(FE_COEN4563_n_10664),
+	.CLK_N(CTS_100),
+	.D(n_10664),
 	.Q(u_soc_data_wdata[30]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN56_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wdata_o_reg[31]  (
-	.CLK_N(CTS_52),
-	.D(FE_COEN4564_n_10663),
+	.CLK_N(CTS_100),
+	.D(n_10663),
 	.Q(u_soc_data_wdata[31]),
-	.RESET_B(FE_OFN34_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 u_soc_dccm_adapter_we_o_reg (
-	.CLK_N(CTS_31),
-	.D(FE_OFN18232_u_soc_dccm_adapter_data_weD),
+	.CLK_N(CTS_86),
+	.D(n_10544),
 	.Q(u_soc_data_we),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[0]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10555),
 	.Q(u_soc_data_wmask[0]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[1]  (
-	.CLK_N(CTS_52),
+	.CLK_N(CTS_100),
 	.D(n_10547),
 	.Q(u_soc_data_wmask[1]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[2]  (
-	.CLK_N(CTS_31),
+	.CLK_N(CTS_100),
 	.D(n_10554),
 	.Q(u_soc_data_wmask[2]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_dccm_adapter_wmask_o_reg[3]  (
-	.CLK_N(CTS_52),
-	.D(n_10523),
+	.CLK_N(CTS_100),
+	.D(FE_OFN18457_n_10523),
 	.Q(u_soc_data_wmask[3]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[0]  (
-	.CLK_N(CTS_23),
+	.CLK_N(FE_USKN4012_CTS_15),
 	.D(n_10400),
 	.Q(u_soc_instr_addr[0]),
 	.RESET_B(n_17934), 
@@ -78016,70 +74717,70 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[1]  (
-	.CLK_N(CTS_23),
+	.CLK_N(CTS_15),
 	.D(n_10399),
 	.Q(u_soc_instr_addr[1]),
-	.RESET_B(n_17935), 
+	.RESET_B(FE_PDN3695_n_17935), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[2]  (
-	.CLK_N(CTS_23),
+	.CLK_N(CTS_15),
 	.D(n_10398),
 	.Q(u_soc_instr_addr[2]),
-	.RESET_B(n_17936), 
+	.RESET_B(FE_PDN3696_n_17936), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[3]  (
-	.CLK_N(CTS_23),
+	.CLK_N(CTS_15),
 	.D(n_10397),
 	.Q(u_soc_instr_addr[3]),
-	.RESET_B(FE_PDN4048_n_17937), 
+	.RESET_B(n_17937), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[4]  (
-	.CLK_N(CTS_23),
+	.CLK_N(FE_USKN4011_CTS_15),
 	.D(n_10396),
 	.Q(u_soc_instr_addr[4]),
-	.RESET_B(FE_PDN3948_n_17938), 
+	.RESET_B(FE_PDN3697_n_17938), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[5]  (
-	.CLK_N(CTS_23),
+	.CLK_N(CTS_15),
 	.D(n_10395),
 	.Q(u_soc_instr_addr[5]),
-	.RESET_B(FE_PDN3953_n_17939), 
+	.RESET_B(FE_PDN3699_n_17939), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[6]  (
-	.CLK_N(FE_USKN4851_CTS_23),
+	.CLK_N(CTS_15),
 	.D(n_10551),
 	.Q(u_soc_instr_addr[6]),
-	.RESET_B(FE_PDN3937_n_17940), 
+	.RESET_B(FE_PDN3692_n_17940), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[7]  (
-	.CLK_N(CTS_23),
+	.CLK_N(FE_USKN4002_CTS_15),
 	.D(n_10558),
 	.Q(u_soc_instr_addr[7]),
-	.RESET_B(FE_PDN3941_n_17941), 
+	.RESET_B(FE_PDN19204_n_17941), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[8]  (
-	.CLK_N(CTS_23),
+	.CLK_N(CTS_15),
 	.D(n_10559),
 	.Q(u_soc_instr_addr[8]),
 	.RESET_B(n_17942), 
@@ -78088,16 +74789,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_addrD_reg[9]  (
-	.CLK_N(CTS_23),
+	.CLK_N(FE_USKN4009_CTS_15),
 	.D(n_10561),
 	.Q(u_soc_instr_addr[9]),
-	.RESET_B(n_17943), 
+	.RESET_B(FE_PDN4089_n_17943), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 u_soc_iccm_adapter_instr_csbD_reg (
-	.CLK_N(CTS_20),
+	.CLK_N(FE_USKN4007_CTS_15),
 	.D(n_10340),
 	.Q(u_soc_iccm_adapter_instr_csbD),
 	.RESET_B(n_17944), 
@@ -78106,7 +74807,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[0]  (
-	.CLK_N(CTS_20),
+	.CLK_N(CTS_3),
 	.D(n_8906),
 	.Q(u_soc_instr_wdata[0]),
 	.RESET_B(n_17945), 
@@ -78115,7 +74816,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[1]  (
-	.CLK_N(CTS_21),
+	.CLK_N(CTS_81),
 	.D(n_8889),
 	.Q(u_soc_instr_wdata[1]),
 	.RESET_B(n_17956), 
@@ -78124,7 +74825,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[2]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8909),
 	.Q(u_soc_instr_wdata[2]),
 	.RESET_B(n_17967), 
@@ -78133,7 +74834,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[3]  (
-	.CLK_N(CTS_18),
+	.CLK_N(CTS_2),
 	.D(n_8888),
 	.Q(u_soc_instr_wdata[3]),
 	.RESET_B(n_17970), 
@@ -78142,8 +74843,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[4]  (
-	.CLK_N(CTS_18),
-	.D(FE_OFN1027_n_8894),
+	.CLK_N(CTS_2),
+	.D(n_8894),
 	.Q(u_soc_instr_wdata[4]),
 	.RESET_B(n_17971), 
 	.VPWR(vccd1), 
@@ -78151,8 +74852,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[5]  (
-	.CLK_N(CTS_18),
-	.D(FE_OFN1026_n_8886),
+	.CLK_N(CTS_2),
+	.D(n_8886),
 	.Q(u_soc_instr_wdata[5]),
 	.RESET_B(n_17972), 
 	.VPWR(vccd1), 
@@ -78160,8 +74861,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[6]  (
-	.CLK_N(CTS_18),
-	.D(FE_OFN1030_n_8905),
+	.CLK_N(CTS_2),
+	.D(n_8905),
 	.Q(u_soc_instr_wdata[6]),
 	.RESET_B(n_17973), 
 	.VPWR(vccd1), 
@@ -78169,17 +74870,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[7]  (
-	.CLK_N(CTS_18),
-	.D(FE_OFN1028_n_8899),
+	.CLK_N(CTS_2),
+	.D(n_8899),
 	.Q(u_soc_instr_wdata[7]),
-	.RESET_B(n_17974), 
+	.RESET_B(FE_PDN3797_n_17974), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[8]  (
-	.CLK_N(CTS_18),
-	.D(FE_OFN1029_n_8904),
+	.CLK_N(CTS_2),
+	.D(n_8904),
 	.Q(u_soc_instr_wdata[8]),
 	.RESET_B(n_17975), 
 	.VPWR(vccd1), 
@@ -78187,16 +74888,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[9]  (
-	.CLK_N(CTS_18),
-	.D(FE_OFN1031_n_8910),
+	.CLK_N(CTS_2),
+	.D(n_8910),
 	.Q(u_soc_instr_wdata[9]),
-	.RESET_B(n_17976), 
+	.RESET_B(FE_PDN3803_n_17976), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[10]  (
-	.CLK_N(CTS_20),
+	.CLK_N(CTS_3),
 	.D(n_8831),
 	.Q(u_soc_instr_wdata[10]),
 	.RESET_B(n_17946), 
@@ -78205,7 +74906,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[11]  (
-	.CLK_N(CTS_20),
+	.CLK_N(CTS_3),
 	.D(n_8834),
 	.Q(u_soc_instr_wdata[11]),
 	.RESET_B(n_17947), 
@@ -78214,7 +74915,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[12]  (
-	.CLK_N(CTS_20),
+	.CLK_N(CTS_3),
 	.D(n_8903),
 	.Q(u_soc_instr_wdata[12]),
 	.RESET_B(n_17948), 
@@ -78223,7 +74924,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[13]  (
-	.CLK_N(CTS_20),
+	.CLK_N(CTS_3),
 	.D(n_8828),
 	.Q(u_soc_instr_wdata[13]),
 	.RESET_B(n_17949), 
@@ -78232,7 +74933,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[14]  (
-	.CLK_N(CTS_20),
+	.CLK_N(CTS_3),
 	.D(n_8825),
 	.Q(u_soc_instr_wdata[14]),
 	.RESET_B(n_17950), 
@@ -78241,7 +74942,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[15]  (
-	.CLK_N(CTS_21),
+	.CLK_N(CTS_81),
 	.D(n_8826),
 	.Q(u_soc_instr_wdata[15]),
 	.RESET_B(n_17951), 
@@ -78250,16 +74951,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[16]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8902),
 	.Q(u_soc_instr_wdata[16]),
-	.RESET_B(n_17952), 
+	.RESET_B(FE_PDN3806_n_17952), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[17]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8829),
 	.Q(u_soc_instr_wdata[17]),
 	.RESET_B(n_17953), 
@@ -78268,7 +74969,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[18]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8901),
 	.Q(u_soc_instr_wdata[18]),
 	.RESET_B(n_17954), 
@@ -78277,7 +74978,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[19]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8891),
 	.Q(u_soc_instr_wdata[19]),
 	.RESET_B(n_17955), 
@@ -78286,7 +74987,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[20]  (
-	.CLK_N(CTS_21),
+	.CLK_N(CTS_84),
 	.D(n_8900),
 	.Q(u_soc_instr_wdata[20]),
 	.RESET_B(n_17957), 
@@ -78295,7 +74996,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[21]  (
-	.CLK_N(CTS_21),
+	.CLK_N(CTS_84),
 	.D(n_8887),
 	.Q(u_soc_instr_wdata[21]),
 	.RESET_B(n_17958), 
@@ -78304,7 +75005,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[22]  (
-	.CLK_N(CTS_21),
+	.CLK_N(CTS_84),
 	.D(n_8890),
 	.Q(u_soc_instr_wdata[22]),
 	.RESET_B(n_17959), 
@@ -78313,7 +75014,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[23]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8907),
 	.Q(u_soc_instr_wdata[23]),
 	.RESET_B(n_17960), 
@@ -78322,7 +75023,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[24]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8898),
 	.Q(u_soc_instr_wdata[24]),
 	.RESET_B(n_17961), 
@@ -78331,16 +75032,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[25]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8908),
 	.Q(u_soc_instr_wdata[25]),
-	.RESET_B(n_17962), 
+	.RESET_B(FE_PDN3818_n_17962), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[26]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8833),
 	.Q(u_soc_instr_wdata[26]),
 	.RESET_B(n_17963), 
@@ -78349,7 +75050,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[27]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8897),
 	.Q(u_soc_instr_wdata[27]),
 	.RESET_B(n_17964), 
@@ -78358,7 +75059,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[28]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8892),
 	.Q(u_soc_instr_wdata[28]),
 	.RESET_B(n_17965), 
@@ -78367,7 +75068,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[29]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8896),
 	.Q(u_soc_instr_wdata[29]),
 	.RESET_B(n_17966), 
@@ -78376,7 +75077,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[30]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8893),
 	.Q(u_soc_instr_wdata[30]),
 	.RESET_B(n_17968), 
@@ -78385,7 +75086,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wdataD_reg[31]  (
-	.CLK_N(CTS_17),
+	.CLK_N(CTS_84),
 	.D(n_8895),
 	.Q(u_soc_instr_wdata[31]),
 	.RESET_B(n_17969), 
@@ -78394,7 +75095,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 u_soc_iccm_adapter_instr_weD_reg (
-	.CLK_N(CTS_18),
+	.CLK_N(CTS_6),
 	.D(n_8944),
 	.Q(u_soc_iccm_adapter_instr_weD),
 	.RESET_B(n_17977), 
@@ -78403,8 +75104,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_iccm_adapter_instr_wmaskD_reg[0]  (
-	.CLK_N(FE_USKN4489_CTS_18),
-	.D(FE_PSBN19824_n_10150),
+	.CLK_N(FE_USKN4003_CTS_15),
+	.D(n_10150),
 	.Q(u_soc_instr_wmask[0]),
 	.RESET_B(n_17978), 
 	.VPWR(vccd1), 
@@ -78412,19 +75113,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 u_soc_u_top_u_core_core_busy_q_reg (
-	.CLK(CTS_23),
+	.CLK(CTS_66),
 	.D(n_10143),
 	.Q(u_soc_u_top_u_core_core_busy_q),
-	.RESET_B(FE_OFN89_io_out_37), 
+	.RESET_B(FE_OFN131_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 u_soc_u_top_u_core_fetch_enable_q_reg (
-	.CLK(CTS_22),
+	.CLK(CTS_84),
 	.D(n_17987),
 	.Q(u_soc_u_top_u_core_fetch_enable_q),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -78454,7 +75155,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[3]  (
-	.D(FE_OFN1635_n_10943),
+	.D(n_10943),
 	.GATE_N(n_10950),
 	.Q(u_soc_u_uart_u_uart_core_rx_val[3]), 
 	.VPWR(vccd1), 
@@ -78462,7 +75163,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[4]  (
-	.D(FE_OFN1636_n_10944),
+	.D(n_10944),
 	.GATE_N(n_10950),
 	.Q(u_soc_u_uart_u_uart_core_rx_val[4]), 
 	.VPWR(vccd1), 
@@ -78622,7 +75323,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dlxtn_1 \u_soc_u_uart_u_uart_core_read_fifo_rdata_o_reg[24]  (
-	.D(FE_OFN19502_n_10931),
+	.D(FE_OFN1805_n_10931),
 	.GATE_N(n_10950),
 	.Q(u_soc_u_uart_u_uart_core_rx_val[24]), 
 	.VPWR(vccd1), 
@@ -78770,8 +75471,8 @@
    sky130_fd_sc_hd__nand4_1 g339743 (
 	.A(n_10964),
 	.B(u_soc_u_top_u_core_instr_rdata_id[30]),
-	.C(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
-	.D(FE_OFN18414_n),
+	.C(FE_OFN837_u_soc_u_top_u_core_instr_rdata_id_27),
+	.D(FE_OFN18142_u_soc_u_top_u_core_instr_rdata_id_25),
 	.Y(n_10965), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -78787,9 +75488,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor4_1 g339745 (
-	.A(FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22),
+	.A(FE_PSN3973_u_soc_u_top_u_core_instr_rdata_id_22),
 	.B(n_8991),
-	.C(FE_PSN4166_n_13338),
+	.C(n_13338),
 	.D(n_10960),
 	.Y(n_10964), 
 	.VPWR(vccd1), 
@@ -78818,8 +75519,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3b_1 g339748 (
 	.A_N(n_13379),
-	.B(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
-	.C(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.B(FE_OFN18472_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.C(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10),
 	.Y(n_10961), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -78834,12 +75535,12 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_2 g339750 (
+   sky130_fd_sc_hd__o2111ai_1 g339750 (
 	.A1(u_soc_u_top_u_core_csr_op[0]),
 	.A2(u_soc_u_top_u_core_csr_op[1]),
 	.B1(u_soc_u_top_u_core_csr_access),
 	.C1(u_soc_u_top_u_core_ready_wb),
-	.D1(FE_OFN1105_n_13380),
+	.D1(n_475),
 	.Y(n_13379), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -78847,7 +75548,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g339751 (
 	.A(u_soc_u_top_u_core_ready_wb),
-	.B(FE_OFN19534_n_13380),
+	.B(n_13380),
 	.Y(u_soc_u_top_u_core_id_stage_i_stall_wb), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -78912,7 +75613,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g339760 (
-	.A(FE_OFN1580_n_10953),
+	.A(n_10953),
 	.B(n_13453),
 	.Y(n_10954), 
 	.VPWR(vccd1), 
@@ -78988,15 +75689,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__mux2_4 g339769 (
-	.A0(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [1]),
-	.A1(n_13609),
-	.S(u_soc_u_top_u_core_pc_if[1]),
-	.X(u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__mux2_4 g339770 (
 	.A0(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [0]),
 	.A1(n_13608),
@@ -79008,9 +75700,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339810 (
 	.A1(n_10811),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10813),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1233_n_8999),
 	.C1(n_10899),
 	.X(n_10949), 
 	.VPWR(vccd1), 
@@ -79034,7 +75726,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g339813 (
-	.A1(FE_OFN1049_n_9003),
+	.A1(n_9003),
 	.A2(n_10816),
 	.B1(n_9001),
 	.B2(n_10819),
@@ -79047,7 +75739,7 @@
    sky130_fd_sc_hd__o221ai_1 g339814 (
 	.A1(n_9001),
 	.A2(n_10817),
-	.B1(FE_OFN1049_n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.B2(n_10818),
 	.C1(n_10885),
 	.Y(n_10945), 
@@ -79058,7 +75750,7 @@
    sky130_fd_sc_hd__o221ai_1 g339815 (
 	.A1(n_9001),
 	.A2(n_10775),
-	.B1(FE_OFN1047_n_9000),
+	.B1(n_9000),
 	.B2(n_10777),
 	.C1(n_10882),
 	.Y(n_10944), 
@@ -79069,7 +75761,7 @@
    sky130_fd_sc_hd__o221ai_1 g339816 (
 	.A1(n_9001),
 	.A2(n_10783),
-	.B1(FE_OFN1047_n_9000),
+	.B1(n_9000),
 	.B2(n_10785),
 	.C1(n_10883),
 	.Y(n_10943), 
@@ -79080,7 +75772,7 @@
    sky130_fd_sc_hd__o221ai_1 g339817 (
 	.A1(n_9001),
 	.A2(n_10764),
-	.B1(FE_OFN1049_n_9003),
+	.B1(n_9003),
 	.B2(n_10765),
 	.C1(n_10881),
 	.Y(n_10942), 
@@ -79091,8 +75783,8 @@
    sky130_fd_sc_hd__o221ai_1 g339818 (
 	.A1(n_9001),
 	.A2(n_10761),
-	.B1(n_9003),
-	.B2(FE_OFN1632_n_10762),
+	.B1(FE_OFN1237_n_9003),
+	.B2(n_10762),
 	.C1(n_10880),
 	.Y(n_10941), 
 	.VPWR(vccd1), 
@@ -79102,7 +75794,7 @@
    sky130_fd_sc_hd__o221ai_1 g339819 (
 	.A1(n_9001),
 	.A2(n_10758),
-	.B1(FE_OFN1047_n_9000),
+	.B1(FE_OFN1235_n_9000),
 	.B2(n_10759),
 	.C1(n_10879),
 	.Y(n_10940), 
@@ -79113,8 +75805,8 @@
    sky130_fd_sc_hd__o221ai_1 g339820 (
 	.A1(n_9001),
 	.A2(n_10755),
-	.B1(FE_OFN1049_n_9003),
-	.B2(FE_OFN1631_n_10756),
+	.B1(FE_OFN1237_n_9003),
+	.B2(n_10756),
 	.C1(n_10878),
 	.Y(n_10939), 
 	.VPWR(vccd1), 
@@ -79122,8 +75814,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g339821 (
-	.A1(FE_OFN1047_n_9000),
-	.A2(FE_OFN1629_n_10748),
+	.A1(FE_OFN1235_n_9000),
+	.A2(n_10748),
 	.B1(n_9001),
 	.B2(n_10749),
 	.C1(n_10877),
@@ -79135,8 +75827,8 @@
    sky130_fd_sc_hd__o221ai_1 g339822 (
 	.A1(n_9001),
 	.A2(n_10745),
-	.B1(FE_OFN1049_n_9003),
-	.B2(FE_OFN1628_n_10746),
+	.B1(FE_OFN1237_n_9003),
+	.B2(n_10746),
 	.C1(n_10876),
 	.Y(n_10937), 
 	.VPWR(vccd1), 
@@ -79146,7 +75838,7 @@
    sky130_fd_sc_hd__o221ai_1 g339823 (
 	.A1(n_9001),
 	.A2(n_10738),
-	.B1(FE_OFN1047_n_9000),
+	.B1(FE_OFN1235_n_9000),
 	.B2(n_10739),
 	.C1(n_10875),
 	.Y(n_10936), 
@@ -79157,8 +75849,8 @@
    sky130_fd_sc_hd__o221ai_1 g339824 (
 	.A1(n_9001),
 	.A2(n_10735),
-	.B1(n_9003),
-	.B2(FE_OFN1626_n_10736),
+	.B1(FE_OFN1237_n_9003),
+	.B2(FE_OFN19014_n_10736),
 	.C1(n_10874),
 	.Y(n_10935), 
 	.VPWR(vccd1), 
@@ -79168,7 +75860,7 @@
    sky130_fd_sc_hd__o221ai_1 g339825 (
 	.A1(n_9001),
 	.A2(n_10724),
-	.B1(n_9000),
+	.B1(FE_OFN1235_n_9000),
 	.B2(n_10725),
 	.C1(n_10873),
 	.Y(n_10934), 
@@ -79179,8 +75871,8 @@
    sky130_fd_sc_hd__o221ai_1 g339826 (
 	.A1(n_9001),
 	.A2(n_10717),
-	.B1(n_9003),
-	.B2(FE_OFN19035_n_10718),
+	.B1(FE_OFN1237_n_9003),
+	.B2(FE_OFN1801_n_10718),
 	.C1(n_10872),
 	.Y(n_10933), 
 	.VPWR(vccd1), 
@@ -79190,7 +75882,7 @@
    sky130_fd_sc_hd__o221ai_1 g339827 (
 	.A1(n_9001),
 	.A2(n_10714),
-	.B1(n_9000),
+	.B1(FE_OFN1235_n_9000),
 	.B2(n_10715),
 	.C1(n_10871),
 	.Y(n_10932), 
@@ -79201,7 +75893,7 @@
    sky130_fd_sc_hd__o221ai_1 g339828 (
 	.A1(n_9001),
 	.A2(n_10707),
-	.B1(FE_OFN1047_n_9000),
+	.B1(n_9000),
 	.B2(n_10708),
 	.C1(n_10870),
 	.Y(n_10931), 
@@ -79212,7 +75904,7 @@
    sky130_fd_sc_hd__o221ai_1 g339829 (
 	.A1(n_9001),
 	.A2(n_10700),
-	.B1(FE_OFN1049_n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.B2(n_10701),
 	.C1(n_10869),
 	.Y(n_10930), 
@@ -79223,7 +75915,7 @@
    sky130_fd_sc_hd__o221ai_1 g339830 (
 	.A1(n_9001),
 	.A2(n_10825),
-	.B1(FE_OFN1047_n_9000),
+	.B1(FE_OFN1235_n_9000),
 	.B2(n_10826),
 	.C1(n_10868),
 	.Y(n_10929), 
@@ -79233,9 +75925,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339831 (
 	.A1(n_10821),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10822),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1233_n_8999),
 	.C1(n_10900),
 	.X(n_10928), 
 	.VPWR(vccd1), 
@@ -79253,9 +75945,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339833 (
 	.A1(n_10834),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10831),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1234_n_8999),
 	.C1(n_10898),
 	.X(n_10927), 
 	.VPWR(vccd1), 
@@ -79264,9 +75956,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339834 (
 	.A1(n_10792),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10795),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1234_n_8999),
 	.C1(n_10897),
 	.X(n_10926), 
 	.VPWR(vccd1), 
@@ -79275,9 +75967,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339835 (
 	.A1(n_10771),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10772),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1234_n_8999),
 	.C1(n_10896),
 	.X(n_10925), 
 	.VPWR(vccd1), 
@@ -79286,9 +75978,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339836 (
 	.A1(n_10767),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10768),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1234_n_8999),
 	.C1(n_10906),
 	.X(n_10924), 
 	.VPWR(vccd1), 
@@ -79297,9 +75989,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339837 (
 	.A1(n_10751),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10752),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1233_n_8999),
 	.C1(n_10894),
 	.X(n_10923), 
 	.VPWR(vccd1), 
@@ -79308,9 +76000,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339838 (
 	.A1(n_10741),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10742),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1233_n_8999),
 	.C1(n_10893),
 	.X(n_10922), 
 	.VPWR(vccd1), 
@@ -79319,9 +76011,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339839 (
 	.A1(n_10731),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10732),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1233_n_8999),
 	.C1(n_10892),
 	.X(n_10921), 
 	.VPWR(vccd1), 
@@ -79330,9 +76022,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339840 (
 	.A1(n_10727),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10728),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1233_n_8999),
 	.C1(n_10891),
 	.X(n_10920), 
 	.VPWR(vccd1), 
@@ -79341,9 +76033,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339841 (
 	.A1(n_10720),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10721),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1233_n_8999),
 	.C1(n_10890),
 	.X(n_10919), 
 	.VPWR(vccd1), 
@@ -79352,9 +76044,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339842 (
 	.A1(n_10710),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10711),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1233_n_8999),
 	.C1(n_10889),
 	.X(n_10918), 
 	.VPWR(vccd1), 
@@ -79363,9 +76055,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339843 (
 	.A1(n_10703),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10704),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1233_n_8999),
 	.C1(n_10888),
 	.X(n_10917), 
 	.VPWR(vccd1), 
@@ -79374,9 +76066,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g339844 (
 	.A1(n_10696),
-	.A2(FE_OFN1048_n_9002),
+	.A2(FE_OFN1236_n_9002),
 	.B1(n_10697),
-	.B2(FE_OFN1046_n_8999),
+	.B2(FE_OFN1233_n_8999),
 	.C1(n_10895),
 	.X(n_10916), 
 	.VPWR(vccd1), 
@@ -79483,7 +76175,7 @@
 	.A1_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
 	.A2_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
 	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B2(n_10862),
+	.B2(FE_OFN1802_n_10862),
 	.Y(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -79493,7 +76185,7 @@
 	.A1_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
 	.A2_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
 	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B2(FE_OFN18974_n_10863),
+	.B2(FE_OFN1803_n_10863),
 	.Y(n_13608), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -79503,16 +76195,16 @@
 	.A1_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
 	.A2_N(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
 	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
-	.B2(FE_PSN4559_FE_OFN1633_n_10864),
+	.B2(FE_OFN1804_n_10864),
 	.Y(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata [1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339857 (
-	.A1(FE_OFN1047_n_9000),
+	.A1(n_9000),
 	.A2(n_10769),
-	.B1(FE_OFN1049_n_9003),
+	.B1(n_9003),
 	.B2(n_10770),
 	.Y(n_10906), 
 	.VPWR(vccd1), 
@@ -79568,9 +76260,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339863 (
-	.A1(FE_OFN1047_n_9000),
+	.A1(FE_OFN1235_n_9000),
 	.A2(n_10823),
-	.B1(FE_OFN1049_n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.B2(n_10824),
 	.Y(n_10900), 
 	.VPWR(vccd1), 
@@ -79578,9 +76270,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339864 (
-	.A1(FE_OFN1047_n_9000),
+	.A1(FE_OFN1235_n_9000),
 	.A2(n_10814),
-	.B1(FE_OFN1049_n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.B2(n_10815),
 	.Y(n_10899), 
 	.VPWR(vccd1), 
@@ -79588,9 +76280,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339865 (
-	.A1(FE_OFN1047_n_9000),
+	.A1(n_9000),
 	.A2(n_10804),
-	.B1(FE_OFN1049_n_9003),
+	.B1(n_9003),
 	.B2(n_10807),
 	.Y(n_10898), 
 	.VPWR(vccd1), 
@@ -79598,9 +76290,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339866 (
-	.A1(FE_OFN1047_n_9000),
+	.A1(n_9000),
 	.A2(n_10798),
-	.B1(FE_OFN1049_n_9003),
+	.B1(n_9003),
 	.B2(n_10800),
 	.Y(n_10897), 
 	.VPWR(vccd1), 
@@ -79608,9 +76300,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339867 (
-	.A1(FE_OFN1047_n_9000),
+	.A1(n_9000),
 	.A2(n_10773),
-	.B1(FE_OFN1049_n_9003),
+	.B1(n_9003),
 	.B2(n_10774),
 	.Y(n_10896), 
 	.VPWR(vccd1), 
@@ -79618,9 +76310,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339868 (
-	.A1(FE_OFN1047_n_9000),
+	.A1(FE_OFN1235_n_9000),
 	.A2(n_10698),
-	.B1(FE_OFN1049_n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.B2(n_10699),
 	.Y(n_10895), 
 	.VPWR(vccd1), 
@@ -79628,29 +76320,29 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339869 (
-	.A1(FE_OFN1047_n_9000),
+	.A1(FE_OFN1235_n_9000),
 	.A2(n_10753),
-	.B1(FE_OFN1049_n_9003),
-	.B2(FE_OFN1630_n_10754),
+	.B1(FE_OFN1237_n_9003),
+	.B2(n_10754),
 	.Y(n_10894), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339870 (
-	.A1(FE_OFN1047_n_9000),
+	.A1(FE_OFN1235_n_9000),
 	.A2(n_10743),
-	.B1(FE_OFN1049_n_9003),
-	.B2(FE_OFN1627_n_10744),
+	.B1(FE_OFN1237_n_9003),
+	.B2(n_10744),
 	.Y(n_10893), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339871 (
-	.A1(n_9000),
+	.A1(FE_OFN1235_n_9000),
 	.A2(n_10733),
-	.B1(n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.B2(n_10734),
 	.Y(n_10892), 
 	.VPWR(vccd1), 
@@ -79658,9 +76350,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339872 (
-	.A1(n_9000),
+	.A1(FE_OFN1235_n_9000),
 	.A2(n_10729),
-	.B1(n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.B2(n_10730),
 	.Y(n_10891), 
 	.VPWR(vccd1), 
@@ -79668,9 +76360,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339873 (
-	.A1(n_9000),
+	.A1(FE_OFN1235_n_9000),
 	.A2(n_10722),
-	.B1(n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.B2(n_10723),
 	.Y(n_10890), 
 	.VPWR(vccd1), 
@@ -79678,9 +76370,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339874 (
-	.A1(n_9000),
+	.A1(FE_OFN1235_n_9000),
 	.A2(n_10712),
-	.B1(n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.B2(n_10713),
 	.Y(n_10889), 
 	.VPWR(vccd1), 
@@ -79688,9 +76380,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g339875 (
-	.A1(FE_OFN1047_n_9000),
+	.A1(FE_OFN1235_n_9000),
 	.A2(n_10705),
-	.B1(FE_OFN1049_n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.B2(n_10706),
 	.Y(n_10888), 
 	.VPWR(vccd1), 
@@ -79698,7 +76390,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or2_1 g339876 (
-	.A(FE_OFN1142_u_soc_u_top_data_we),
+	.A(u_soc_u_top_data_we),
 	.B(n_13490),
 	.X(n_13324), 
 	.VPWR(vccd1), 
@@ -79743,7 +76435,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339881 (
 	.A1(n_10820),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1233_n_8999),
 	.B1(n_10857),
 	.Y(n_10885), 
 	.VPWR(vccd1), 
@@ -79752,7 +76444,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339882 (
 	.A1(n_10812),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1234_n_8999),
 	.B1(n_10856),
 	.Y(n_10884), 
 	.VPWR(vccd1), 
@@ -79761,7 +76453,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339883 (
 	.A1(n_10787),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1234_n_8999),
 	.B1(n_10854),
 	.Y(n_10883), 
 	.VPWR(vccd1), 
@@ -79770,7 +76462,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339884 (
 	.A1(n_10779),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1234_n_8999),
 	.B1(n_10852),
 	.Y(n_10882), 
 	.VPWR(vccd1), 
@@ -79779,7 +76471,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339885 (
 	.A1(n_10766),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1234_n_8999),
 	.B1(n_10850),
 	.Y(n_10881), 
 	.VPWR(vccd1), 
@@ -79788,7 +76480,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339886 (
 	.A1(n_10763),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1233_n_8999),
 	.B1(n_10849),
 	.Y(n_10880), 
 	.VPWR(vccd1), 
@@ -79797,7 +76489,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339887 (
 	.A1(n_10760),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1233_n_8999),
 	.B1(n_10860),
 	.Y(n_10879), 
 	.VPWR(vccd1), 
@@ -79806,7 +76498,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339888 (
 	.A1(n_10757),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1233_n_8999),
 	.B1(n_10848),
 	.Y(n_10878), 
 	.VPWR(vccd1), 
@@ -79815,7 +76507,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339889 (
 	.A1(n_10750),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1233_n_8999),
 	.B1(n_10847),
 	.Y(n_10877), 
 	.VPWR(vccd1), 
@@ -79824,7 +76516,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339890 (
 	.A1(n_10747),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1233_n_8999),
 	.B1(n_10846),
 	.Y(n_10876), 
 	.VPWR(vccd1), 
@@ -79833,7 +76525,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339891 (
 	.A1(n_10740),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1233_n_8999),
 	.B1(n_10845),
 	.Y(n_10875), 
 	.VPWR(vccd1), 
@@ -79842,7 +76534,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339892 (
 	.A1(n_10737),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1233_n_8999),
 	.B1(n_10844),
 	.Y(n_10874), 
 	.VPWR(vccd1), 
@@ -79851,7 +76543,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339893 (
 	.A1(n_10726),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1233_n_8999),
 	.B1(n_10843),
 	.Y(n_10873), 
 	.VPWR(vccd1), 
@@ -79860,7 +76552,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339894 (
 	.A1(n_10719),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1233_n_8999),
 	.B1(n_10842),
 	.Y(n_10872), 
 	.VPWR(vccd1), 
@@ -79869,7 +76561,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339895 (
 	.A1(n_10716),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1233_n_8999),
 	.B1(n_10841),
 	.Y(n_10871), 
 	.VPWR(vccd1), 
@@ -79878,7 +76570,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339896 (
 	.A1(n_10709),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1234_n_8999),
 	.B1(n_10840),
 	.Y(n_10870), 
 	.VPWR(vccd1), 
@@ -79887,7 +76579,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339897 (
 	.A1(n_10702),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1233_n_8999),
 	.B1(n_10858),
 	.Y(n_10869), 
 	.VPWR(vccd1), 
@@ -79896,7 +76588,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g339898 (
 	.A1(n_10827),
-	.A2(FE_OFN1046_n_8999),
+	.A2(FE_OFN1233_n_8999),
 	.B1(n_10859),
 	.Y(n_10868), 
 	.VPWR(vccd1), 
@@ -79933,7 +76625,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_2 g339902 (
 	.A(n_10837),
-	.B_N(FE_OFN19616_u_soc_instr_addr_4),
+	.B_N(u_soc_instr_addr[4]),
 	.Y(u_soc_u_iccm_addr4[4]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -79997,7 +76689,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g339910 (
 	.A(n_17393),
-	.B(FE_OFN19616_u_soc_instr_addr_4),
+	.B(u_soc_instr_addr[4]),
 	.X(u_soc_u_iccm_addr2[4]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80029,7 +76721,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g339914 (
 	.A(n_17393),
-	.B(FE_OFN19710_u_soc_instr_addr_0),
+	.B(u_soc_instr_addr[0]),
 	.X(u_soc_u_iccm_addr2[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80045,13 +76737,13 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_2 g339916 (
 	.A(n_10837),
-	.B_N(FE_OFN19615_u_soc_instr_addr_5),
+	.B_N(u_soc_instr_addr[5]),
 	.Y(u_soc_u_iccm_addr4[5]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g339917 (
+   sky130_fd_sc_hd__nor2b_2 g339917 (
 	.A(n_10837),
 	.B_N(u_soc_instr_addr[6]),
 	.Y(u_soc_u_iccm_addr4[6]), 
@@ -80061,21 +76753,21 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_2 g339919 (
 	.A(n_10837),
-	.B_N(FE_OFN19614_u_soc_instr_addr_3),
+	.B_N(u_soc_instr_addr[3]),
 	.Y(u_soc_u_iccm_addr4[3]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g339920 (
+   sky130_fd_sc_hd__nor2b_2 g339920 (
 	.A(n_10837),
-	.B_N(FE_OFN19602_u_soc_instr_addr_2),
+	.B_N(u_soc_instr_addr[2]),
 	.Y(u_soc_u_iccm_addr4[2]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g339921 (
+   sky130_fd_sc_hd__nor2b_2 g339921 (
 	.A(n_10837),
 	.B_N(u_soc_instr_addr[1]),
 	.Y(u_soc_u_iccm_addr4[1]), 
@@ -80085,13 +76777,13 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_2 g339922 (
 	.A(n_10837),
-	.B_N(FE_OFN19710_u_soc_instr_addr_0),
+	.B_N(u_soc_instr_addr[0]),
 	.Y(u_soc_u_iccm_addr4[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g339923 (
+   sky130_fd_sc_hd__and2_1 g339923 (
 	.A(n_10835),
 	.B(u_soc_instr_addr[7]),
 	.X(u_soc_u_iccm_addr1[7]), 
@@ -80109,7 +76801,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g339925 (
 	.A(n_10835),
-	.B(FE_OFN19615_u_soc_instr_addr_5),
+	.B(u_soc_instr_addr[5]),
 	.X(u_soc_u_iccm_addr1[5]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80149,7 +76841,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g339930 (
 	.A(n_10835),
-	.B(FE_OFN19710_u_soc_instr_addr_0),
+	.B(u_soc_instr_addr[0]),
 	.X(u_soc_u_iccm_addr1[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80173,28 +76865,28 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g339933 (
 	.A(n_17394),
-	.B(FE_OFN19615_u_soc_instr_addr_5),
+	.B(u_soc_instr_addr[5]),
 	.X(u_soc_u_iccm_addr3[5]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g339934 (
-	.A(FE_PSN4558_FE_OFN1633_n_10864),
+	.A(FE_OFN1804_n_10864),
 	.Y(\u_soc_iccm_to_xbar[d_data] [1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g339935 (
-	.A(FE_OFN18974_n_10863),
+	.A(FE_OFN1803_n_10863),
 	.Y(\u_soc_iccm_to_xbar[d_data] [16]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g339936 (
-	.A(n_10862),
+	.A(FE_OFN1802_n_10862),
 	.Y(\u_soc_iccm_to_xbar[d_data] [0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80212,7 +76904,7 @@
 	.A2(n_9551),
 	.A3(n_9552),
 	.A4(n_9553),
-	.B1(n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.Y(n_10860), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80220,7 +76912,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g339939 (
 	.A(n_17394),
-	.B(FE_OFN19614_u_soc_instr_addr_3),
+	.B(u_soc_instr_addr[3]),
 	.X(u_soc_u_iccm_addr3[3]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80236,7 +76928,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g339941 (
 	.A(n_17394),
-	.B(FE_OFN19602_u_soc_instr_addr_2),
+	.B(u_soc_instr_addr[2]),
 	.X(u_soc_u_iccm_addr3[2]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80244,7 +76936,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g339942 (
 	.A(n_17394),
-	.B(FE_OFN19710_u_soc_instr_addr_0),
+	.B(u_soc_instr_addr[0]),
 	.X(u_soc_u_iccm_addr3[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80255,29 +76947,29 @@
 	.A2(n_9356),
 	.A3(n_9355),
 	.A4(n_9357),
-	.B1(FE_OFN1049_n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.Y(n_10859), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a41oi_1 g339944 (
-	.A1(n_10409),
+	.A1(FE_OFN1788_n_10409),
 	.A2(n_9377),
 	.A3(n_9376),
 	.A4(n_9375),
-	.B1(FE_OFN1047_n_9000),
+	.B1(FE_OFN1235_n_9000),
 	.Y(n_10858), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a41oi_1 g339945 (
-	.A1(n_10474),
+	.A1(FE_OFN1789_n_10474),
 	.A2(n_9727),
 	.A3(n_9725),
 	.A4(n_9726),
-	.B1(FE_OFN1047_n_9000),
+	.B1(FE_OFN1235_n_9000),
 	.Y(n_10857), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80288,7 +76980,7 @@
 	.A2(n_9701),
 	.A3(n_9473),
 	.A4(n_9453),
-	.B1(FE_OFN1047_n_9000),
+	.B1(n_9000),
 	.Y(n_10856), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80310,7 +77002,7 @@
 	.A2(n_9642),
 	.A3(n_9641),
 	.A4(n_9637),
-	.B1(FE_OFN1049_n_9003),
+	.B1(n_9003),
 	.Y(n_10854), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80332,7 +77024,7 @@
 	.A2(n_9613),
 	.A3(n_9617),
 	.A4(n_9615),
-	.B1(FE_OFN1049_n_9003),
+	.B1(n_9003),
 	.Y(n_10852), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80354,7 +77046,7 @@
 	.A2(n_9573),
 	.A3(n_9574),
 	.A4(n_9575),
-	.B1(FE_OFN1047_n_9000),
+	.B1(n_9000),
 	.Y(n_10850), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80365,7 +77057,7 @@
 	.A2(n_9564),
 	.A3(n_9562),
 	.A4(n_9565),
-	.B1(FE_OFN1047_n_9000),
+	.B1(FE_OFN1235_n_9000),
 	.Y(n_10849), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80373,7 +77065,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g339954 (
 	.A(n_17394),
-	.B(FE_OFN19616_u_soc_instr_addr_4),
+	.B(u_soc_instr_addr[4]),
 	.X(u_soc_u_iccm_addr3[4]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80384,7 +77076,7 @@
 	.A2(n_9542),
 	.A3(n_9540),
 	.A4(n_9541),
-	.B1(FE_OFN1047_n_9000),
+	.B1(FE_OFN1235_n_9000),
 	.Y(n_10848), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80395,7 +77087,7 @@
 	.A2(n_9522),
 	.A3(n_9520),
 	.A4(n_9521),
-	.B1(n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.Y(n_10847), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80406,7 +77098,7 @@
 	.A2(n_9512),
 	.A3(n_9510),
 	.A4(n_9511),
-	.B1(FE_OFN1047_n_9000),
+	.B1(FE_OFN1235_n_9000),
 	.Y(n_10846), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80417,7 +77109,7 @@
 	.A2(n_9489),
 	.A3(n_9490),
 	.A4(n_9491),
-	.B1(n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.Y(n_10845), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80428,7 +77120,7 @@
 	.A2(n_9478),
 	.A3(n_9476),
 	.A4(n_9477),
-	.B1(n_9000),
+	.B1(FE_OFN1235_n_9000),
 	.Y(n_10844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80439,7 +77131,7 @@
 	.A2(n_9449),
 	.A3(n_9448),
 	.A4(n_9447),
-	.B1(n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.Y(n_10843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80450,7 +77142,7 @@
 	.A2(n_9425),
 	.A3(n_9424),
 	.A4(n_9426),
-	.B1(n_9000),
+	.B1(FE_OFN1235_n_9000),
 	.Y(n_10842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80461,7 +77153,7 @@
 	.A2(n_9417),
 	.A3(n_9418),
 	.A4(n_9419),
-	.B1(n_9003),
+	.B1(FE_OFN1237_n_9003),
 	.Y(n_10841), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80472,7 +77164,7 @@
 	.A2(n_9397),
 	.A3(n_9398),
 	.A4(n_9396),
-	.B1(FE_OFN1049_n_9003),
+	.B1(n_9003),
 	.Y(n_10840), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80487,10 +77179,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4b_1 g339965 (
-	.A(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
-	.B(FE_COEN4270_n_13378),
+	.A(FE_OFN18214_u_soc_xbar_to_dccm_a_address__2),
+	.B(FE_OFN19039_n_13378),
 	.C(n_13558),
-	.D_N(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.D_N(FE_OFN18213_u_soc_xbar_to_dccm_a_address__3),
 	.X(n_13490), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80498,8 +77190,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g339966 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.A2(n_13494),
-	.B1(n_13493),
+	.A2(FE_OFN1269_n_13494),
+	.B1(FE_OFN1268_n_13493),
 	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
 	.C1(n_13492),
 	.C2(n_10334),
@@ -80510,8 +77202,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g339967 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
-	.A2(n_13494),
-	.B1(n_13493),
+	.A2(FE_OFN1269_n_13494),
+	.B1(FE_OFN1268_n_13493),
 	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
 	.C1(n_13492),
 	.C2(n_10335),
@@ -80520,7 +77212,19 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g339970 (
+   sky130_fd_sc_hd__a222oi_1 g339968 (
+	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.A2(FE_OFN1269_n_13494),
+	.B1(FE_OFN1268_n_13493),
+	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.C1(n_13492),
+	.C2(n_10336),
+	.Y(n_10862), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_1 g339970 (
 	.A(n_13382),
 	.B(n_9349),
 	.Y(n_13377), 
@@ -80536,24 +77240,24 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340007 (
-	.A(n_17395),
-	.B(FE_OFN19314_u_soc_data_addr_1),
+	.A(FE_OFN1273_n_17395),
+	.B(FE_OFN914_u_soc_data_addr_1),
 	.X(u_soc_u_dccm_addr2[1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340008 (
-	.A(n_17395),
-	.B(FE_OFN19316_u_soc_data_addr_2),
+	.A(FE_OFN1273_n_17395),
+	.B(FE_OFN913_u_soc_data_addr_2),
 	.X(u_soc_u_dccm_addr2[2]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340009 (
-	.A(n_17395),
-	.B(u_soc_data_addr[0]),
+	.A(FE_OFN1273_n_17395),
+	.B(FE_PDN3693_u_soc_data_addr_0),
 	.X(u_soc_u_dccm_addr2[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80584,14 +77288,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340013 (
-	.A(FE_PSN4556_n_17396),
+	.A(n_17396),
 	.B(u_soc_data_addr[3]),
 	.X(u_soc_u_dccm_addr3[3]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g340014 (
+   sky130_fd_sc_hd__and2_1 g340014 (
 	.A(n_17396),
 	.B(u_soc_data_addr[4]),
 	.X(u_soc_u_dccm_addr3[4]), 
@@ -80600,7 +77304,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340015 (
-	.A(FE_PSN4556_n_17396),
+	.A(n_17396),
 	.B(u_soc_data_addr[2]),
 	.X(u_soc_u_dccm_addr3[2]), 
 	.VPWR(vccd1), 
@@ -80608,7 +77312,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340016 (
-	.A(FE_PSN4556_n_17396),
+	.A(n_17396),
 	.B(u_soc_data_addr[1]),
 	.X(u_soc_u_dccm_addr3[1]), 
 	.VPWR(vccd1), 
@@ -80616,7 +77320,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340017 (
-	.A(FE_PSN4556_n_17396),
+	.A(n_17396),
 	.B(u_soc_data_addr[0]),
 	.X(u_soc_u_dccm_addr3[0]), 
 	.VPWR(vccd1), 
@@ -80634,9 +77338,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340019 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [3]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [3]),
 	.C1(n_10637),
 	.Y(n_10833), 
@@ -80644,65 +77348,65 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g340020 (
-	.A(n_10692),
-	.B(FE_OFN19317_u_soc_data_addr_7),
+   sky130_fd_sc_hd__and2_1 g340020 (
+	.A(FE_OFN1238_n_10692),
+	.B(FE_OFN910_u_soc_data_addr_7),
 	.X(u_soc_u_dccm_addr1[7]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g340021 (
-	.A(n_10692),
-	.B(FE_PDN3913_FE_OFN19014_u_soc_data_addr_6),
+   sky130_fd_sc_hd__and2_1 g340021 (
+	.A(FE_OFN1238_n_10692),
+	.B(FE_PDN4050_u_soc_data_addr_6),
 	.X(u_soc_u_dccm_addr1[6]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g340022 (
-	.A(n_10692),
-	.B(FE_OFN19323_u_soc_data_addr_5),
+   sky130_fd_sc_hd__and2_1 g340022 (
+	.A(FE_OFN1238_n_10692),
+	.B(u_soc_data_addr[5]),
 	.X(u_soc_u_dccm_addr1[5]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g340023 (
-	.A(n_10692),
-	.B(FE_OFN19285_u_soc_data_addr_4),
+   sky130_fd_sc_hd__and2_1 g340023 (
+	.A(FE_OFN1238_n_10692),
+	.B(FE_OFN912_u_soc_data_addr_4),
 	.X(u_soc_u_dccm_addr1[4]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g340024 (
-	.A(n_10692),
-	.B(FE_OFN19319_u_soc_data_addr_3),
+   sky130_fd_sc_hd__and2_1 g340024 (
+	.A(FE_OFN1238_n_10692),
+	.B(u_soc_data_addr[3]),
 	.X(u_soc_u_dccm_addr1[3]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g340025 (
-	.A(n_10692),
-	.B(FE_OFN19316_u_soc_data_addr_2),
+   sky130_fd_sc_hd__and2_1 g340025 (
+	.A(FE_OFN1238_n_10692),
+	.B(FE_OFN913_u_soc_data_addr_2),
 	.X(u_soc_u_dccm_addr1[2]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g340026 (
-	.A(n_10692),
-	.B(FE_OFN19314_u_soc_data_addr_1),
+   sky130_fd_sc_hd__and2_1 g340026 (
+	.A(FE_OFN1238_n_10692),
+	.B(FE_OFN914_u_soc_data_addr_1),
 	.X(u_soc_u_dccm_addr1[1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g340027 (
-	.A(n_10692),
-	.B(u_soc_data_addr[0]),
+   sky130_fd_sc_hd__and2_1 g340027 (
+	.A(FE_OFN1238_n_10692),
+	.B(FE_PDN3693_u_soc_data_addr_0),
 	.X(u_soc_u_dccm_addr1[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80773,39 +77477,39 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340036 (
-	.A(n_17395),
-	.B(FE_OFN19317_u_soc_data_addr_7),
+	.A(FE_OFN1273_n_17395),
+	.B(FE_OFN910_u_soc_data_addr_7),
 	.X(u_soc_u_dccm_addr2[7]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340037 (
-	.A(n_17395),
-	.B(FE_OFN19014_u_soc_data_addr_6),
+	.A(FE_OFN1273_n_17395),
+	.B(FE_PDN4050_u_soc_data_addr_6),
 	.X(u_soc_u_dccm_addr2[6]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340038 (
-	.A(n_17395),
-	.B(FE_OFN19323_u_soc_data_addr_5),
+	.A(FE_OFN1273_n_17395),
+	.B(u_soc_data_addr[5]),
 	.X(u_soc_u_dccm_addr2[5]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340039 (
-	.A(n_17395),
-	.B(FE_OFN19285_u_soc_data_addr_4),
+	.A(FE_OFN1273_n_17395),
+	.B(FE_OFN912_u_soc_data_addr_4),
 	.X(u_soc_u_dccm_addr2[4]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340040 (
-	.A(n_17395),
+	.A(FE_OFN1273_n_17395),
 	.B(u_soc_data_addr[3]),
 	.X(u_soc_u_dccm_addr2[3]), 
 	.VPWR(vccd1), 
@@ -80841,8 +77545,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g340044 (
-	.A(n_10692),
-	.B(FE_OFN19654_u_soc_data_csb),
+	.A(FE_OFN1238_n_10692),
+	.B(FE_OFN1275_u_soc_data_csb),
 	.Y(u_soc_u_dccm_csb1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80851,7 +77555,7 @@
    sky130_fd_sc_hd__o221a_1 g340045 (
 	.A1(n_13484),
 	.A2(n_10519),
-	.B1(FE_OFN18488_n_15943),
+	.B1(FE_OFN18432_n_15943),
 	.B2(n_13478),
 	.C1(n_10552),
 	.X(n_10830), 
@@ -80868,8 +77572,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g340047 (
-	.A(n_17395),
-	.B(FE_OFN19654_u_soc_data_csb),
+	.A(FE_OFN1273_n_17395),
+	.B(FE_OFN1275_u_soc_data_csb),
 	.Y(u_soc_u_dccm_csb2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80889,7 +77593,7 @@
 	.A1(n_10560),
 	.A2(n_10154),
 	.B1(la_data_in[0]),
-	.B2(FE_OFN1574_n_10248),
+	.B2(FE_OFN1643_n_10248),
 	.X(n_10828), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -80906,9 +77610,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340051 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [5]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [5]),
 	.C1(n_10612),
 	.Y(n_10826), 
@@ -80917,9 +77621,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340052 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [5]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [5]),
 	.C1(n_10652),
 	.Y(n_10825), 
@@ -80928,9 +77632,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340053 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [6]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [6]),
 	.C1(n_10651),
 	.Y(n_10824), 
@@ -80980,7 +77684,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340058 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [1]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [1]),
@@ -80991,9 +77695,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340059 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [7]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [7]),
 	.C1(n_10648),
 	.Y(n_10818), 
@@ -81002,9 +77706,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340060 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [7]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [7]),
 	.C1(n_10647),
 	.Y(n_10817), 
@@ -81013,9 +77717,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340061 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [1]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [1]),
 	.C1(n_10645),
 	.Y(n_10816), 
@@ -81024,9 +77728,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340062 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [8]),
-	.B1(n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [8]),
 	.C1(n_10646),
 	.Y(n_10815), 
@@ -81076,9 +77780,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340067 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [1]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [1]),
 	.C1(n_10643),
 	.Y(n_10810), 
@@ -81087,9 +77791,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340068 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [1]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [1]),
 	.C1(n_10642),
 	.Y(n_10809), 
@@ -81108,9 +77812,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340070 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [2]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [2]),
 	.C1(n_10641),
 	.Y(n_10807), 
@@ -81129,9 +77833,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340072 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [2]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [2]),
 	.C1(n_10640),
 	.Y(n_10805), 
@@ -81140,9 +77844,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340073 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [2]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [2]),
 	.C1(n_10639),
 	.Y(n_10804), 
@@ -81151,9 +77855,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340074 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [2]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [2]),
 	.C1(n_10638),
 	.Y(n_10803), 
@@ -81161,9 +77865,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21o_2 g340075 (
-	.A1(FE_PSN4144_n_10330),
-	.A2(FE_COEN4684_n_10562),
+   sky130_fd_sc_hd__a21o_1 g340075 (
+	.A1(FE_PSN3918_n_10330),
+	.A2(FE_OFN18239_n),
 	.B1(n_10342),
 	.X(n_13382), 
 	.VPWR(vccd1), 
@@ -81187,9 +77891,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340080 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [3]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [3]),
 	.C1(n_10636),
 	.Y(n_10802), 
@@ -81208,9 +77912,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340082 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [3]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [3]),
 	.C1(n_10635),
 	.Y(n_10800), 
@@ -81229,9 +77933,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340084 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [3]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [3]),
 	.C1(n_10633),
 	.Y(n_10798), 
@@ -81240,9 +77944,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340085 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [4]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [4]),
 	.C1(n_10634),
 	.Y(n_10797), 
@@ -81251,9 +77955,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340086 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [4]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [4]),
 	.C1(n_10632),
 	.Y(n_10796), 
@@ -81282,9 +77986,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340089 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [5]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [5]),
 	.C1(n_10631),
 	.Y(n_10793), 
@@ -81303,9 +78007,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340091 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [5]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [5]),
 	.C1(n_10630),
 	.Y(n_10791), 
@@ -81334,9 +78038,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340094 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [6]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [6]),
 	.C1(n_10629),
 	.Y(n_10788), 
@@ -81355,9 +78059,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340096 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [6]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [6]),
 	.C1(n_10628),
 	.Y(n_10786), 
@@ -81368,7 +78072,7 @@
    sky130_fd_sc_hd__a221oi_1 g340097 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [4]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [4]),
 	.C1(n_10627),
 	.Y(n_10785), 
@@ -81387,9 +78091,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340099 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [4]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [4]),
 	.C1(n_10626),
 	.Y(n_10783), 
@@ -81398,9 +78102,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340100 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [7]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [7]),
 	.C1(n_10625),
 	.Y(n_10782), 
@@ -81419,9 +78123,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340102 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [8]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [8]),
 	.C1(n_10624),
 	.Y(n_10780), 
@@ -81440,9 +78144,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340104 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [8]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [8]),
 	.C1(n_10623),
 	.Y(n_10778), 
@@ -81451,9 +78155,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340105 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [5]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [5]),
 	.C1(n_10622),
 	.Y(n_10777), 
@@ -81472,9 +78176,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340107 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [5]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [5]),
 	.C1(n_10621),
 	.Y(n_10775), 
@@ -81483,9 +78187,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340108 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [6]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [6]),
 	.C1(n_10620),
 	.Y(n_10774), 
@@ -81494,9 +78198,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340109 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [6]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [6]),
 	.C1(n_10619),
 	.Y(n_10773), 
@@ -81525,9 +78229,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340112 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [7]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [7]),
 	.C1(n_10618),
 	.Y(n_10770), 
@@ -81536,7 +78240,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340113 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [7]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [7]),
@@ -81577,9 +78281,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340117 (
-	.A1(FE_OFN1548_n_8968),
+	.A1(n_8968),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [8]),
-	.B1(n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [8]),
 	.C1(n_10616),
 	.Y(n_10765), 
@@ -81590,7 +78294,7 @@
    sky130_fd_sc_hd__a221oi_1 g340118 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [8]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [8]),
 	.C1(n_10615),
 	.Y(n_10764), 
@@ -81609,9 +78313,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340120 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [1]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [1]),
 	.C1(n_10614),
 	.Y(n_10762), 
@@ -81622,7 +78326,7 @@
    sky130_fd_sc_hd__a221oi_1 g340121 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [1]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [1]),
 	.C1(n_10613),
 	.Y(n_10761), 
@@ -81641,9 +78345,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340123 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [2]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [2]),
 	.C1(n_10653),
 	.Y(n_10759), 
@@ -81652,9 +78356,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340124 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [2]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [2]),
 	.C1(n_10611),
 	.Y(n_10758), 
@@ -81673,9 +78377,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340126 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [3]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [3]),
 	.C1(n_10610),
 	.Y(n_10756), 
@@ -81684,9 +78388,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340127 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [3]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [3]),
 	.C1(n_10609),
 	.Y(n_10755), 
@@ -81695,9 +78399,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340128 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [4]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [4]),
 	.C1(n_10608),
 	.Y(n_10754), 
@@ -81706,9 +78410,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340129 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [4]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [4]),
 	.C1(n_10607),
 	.Y(n_10753), 
@@ -81747,9 +78451,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340133 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [5]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [5]),
 	.C1(n_10606),
 	.Y(n_10749), 
@@ -81758,9 +78462,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340134 (
-	.A1(FE_OFN1553_n_8973),
+	.A1(FE_OFN1619_n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [5]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [5]),
 	.C1(n_10605),
 	.Y(n_10748), 
@@ -81779,9 +78483,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340136 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [6]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [6]),
 	.C1(n_10604),
 	.Y(n_10746), 
@@ -81790,9 +78494,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340137 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [6]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [6]),
 	.C1(n_10603),
 	.Y(n_10745), 
@@ -81801,9 +78505,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340138 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [7]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [7]),
 	.C1(n_10602),
 	.Y(n_10744), 
@@ -81812,9 +78516,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340139 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [7]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [7]),
 	.C1(n_10601),
 	.Y(n_10743), 
@@ -81853,9 +78557,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340143 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [8]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [8]),
 	.C1(n_10600),
 	.Y(n_10739), 
@@ -81864,9 +78568,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340144 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [8]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [8]),
 	.C1(n_10599),
 	.Y(n_10738), 
@@ -81885,9 +78589,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340146 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [1]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [1]),
 	.C1(n_10598),
 	.Y(n_10736), 
@@ -81896,9 +78600,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340147 (
-	.A1(n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [1]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [1]),
 	.C1(n_10597),
 	.Y(n_10735), 
@@ -81907,9 +78611,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340148 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [2]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [2]),
 	.C1(n_10596),
 	.Y(n_10734), 
@@ -81918,9 +78622,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340149 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [2]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [2]),
 	.C1(n_10595),
 	.Y(n_10733), 
@@ -81949,9 +78653,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340152 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [3]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [3]),
 	.C1(n_10594),
 	.Y(n_10730), 
@@ -81960,9 +78664,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340153 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [3]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [3]),
 	.C1(n_10593),
 	.Y(n_10729), 
@@ -82001,9 +78705,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340157 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [4]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [4]),
 	.C1(n_10592),
 	.Y(n_10725), 
@@ -82012,9 +78716,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340158 (
-	.A1(n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [4]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [4]),
 	.C1(n_10591),
 	.Y(n_10724), 
@@ -82034,9 +78738,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340160 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [5]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [5]),
 	.C1(n_10589),
 	.Y(n_10722), 
@@ -82075,9 +78779,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340164 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [6]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [6]),
 	.C1(n_10588),
 	.Y(n_10718), 
@@ -82086,9 +78790,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340165 (
-	.A1(n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [6]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [6]),
 	.C1(n_10587),
 	.Y(n_10717), 
@@ -82107,9 +78811,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340167 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [7]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [7]),
 	.C1(n_10586),
 	.Y(n_10715), 
@@ -82118,9 +78822,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340168 (
-	.A1(n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [7]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [7]),
 	.C1(n_10585),
 	.Y(n_10714), 
@@ -82129,9 +78833,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340169 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [8]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [8]),
 	.C1(n_10584),
 	.Y(n_10713), 
@@ -82140,9 +78844,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340170 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [8]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [8]),
 	.C1(n_10583),
 	.Y(n_10712), 
@@ -82181,9 +78885,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340174 (
-	.A1(n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [1]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [1]),
 	.C1(n_10582),
 	.Y(n_10708), 
@@ -82192,9 +78896,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340175 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [1]),
-	.B1(FE_OFN1552_n_8972),
+	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [1]),
 	.C1(n_10581),
 	.Y(n_10707), 
@@ -82203,9 +78907,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340176 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [2]),
-	.B1(n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [2]),
 	.C1(n_10580),
 	.Y(n_10706), 
@@ -82214,9 +78918,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340177 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [2]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [2]),
 	.C1(n_10579),
 	.Y(n_10705), 
@@ -82255,9 +78959,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340181 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [3]),
-	.B1(n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [3]),
 	.C1(n_10578),
 	.Y(n_10701), 
@@ -82266,9 +78970,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340182 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [3]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [3]),
 	.C1(n_10577),
 	.Y(n_10700), 
@@ -82277,9 +78981,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340183 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [4]),
-	.B1(n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [4]),
 	.C1(n_10576),
 	.Y(n_10699), 
@@ -82288,9 +78992,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340184 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [4]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [4]),
 	.C1(n_10575),
 	.Y(n_10698), 
@@ -82319,9 +79023,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340187 (
-	.A1(FE_OFN1558_n_8978),
+	.A1(FE_OFN1624_n_8978),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [7]),
-	.B1(FE_OFN1562_n_8982),
+	.B1(FE_OFN1628_n_8982),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [7]),
 	.C1(n_10553),
 	.Y(n_10695), 
@@ -82336,9 +79040,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340191 (
+   sky130_fd_sc_hd__nor2b_1 g340191 (
 	.A(n_10563),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B_N(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
 	.Y(u_soc_u_tcam_data_wdata[23]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82354,24 +79058,24 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g340199 (
-	.A(FE_COEN4572_n_10564),
+   sky130_fd_sc_hd__and2_1 g340199 (
+	.A(n_10564),
 	.B(\u_soc_xbar_to_dccm[a_data] [8]),
 	.X(u_soc_u_tcam_data_wdata[8]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g340200 (
-	.A(FE_COEN4572_n_10564),
+   sky130_fd_sc_hd__and2_1 g340200 (
+	.A(FE_PSN3953_n_10564),
 	.B(\u_soc_xbar_to_dccm[a_data] [9]),
 	.X(u_soc_u_tcam_data_wdata[9]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g340201 (
-	.A(FE_COEN4572_n_10564),
+   sky130_fd_sc_hd__and2_1 g340201 (
+	.A(FE_PSN3953_n_10564),
 	.B(\u_soc_xbar_to_dccm[a_data] [10]),
 	.X(u_soc_u_tcam_data_wdata[10]), 
 	.VPWR(vccd1), 
@@ -82379,15 +79083,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340203 (
-	.A(FE_COEN4572_n_10564),
+	.A(FE_PSN3953_n_10564),
 	.B(\u_soc_xbar_to_dccm[a_data] [11]),
 	.X(u_soc_u_tcam_data_wdata[11]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_0 g340204 (
-	.A(FE_COEN4572_n_10564),
+   sky130_fd_sc_hd__and2_1 g340204 (
+	.A(FE_PSN3953_n_10564),
 	.B(\u_soc_xbar_to_dccm[a_data] [12]),
 	.X(u_soc_u_tcam_data_wdata[12]), 
 	.VPWR(vccd1), 
@@ -82396,7 +79100,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340205 (
 	.A(n_10570),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [5]),
+	.B_N(FE_PDN3775_FE_OFN1721_u_soc_xbar_to_dccm_a_data__5),
 	.Y(n_10686), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82404,7 +79108,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340206 (
 	.A(n_10570),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [6]),
+	.B_N(FE_OFN1719_u_soc_xbar_to_dccm_a_data__6),
 	.Y(n_10685), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82412,7 +79116,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340207 (
 	.A(n_10570),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [7]),
+	.B_N(FE_PDN3656_FE_OFN1717_u_soc_xbar_to_dccm_a_data__7),
 	.Y(n_10684), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82420,23 +79124,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340208 (
 	.A(n_10570),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [4]),
+	.B_N(FE_OFN1722_u_soc_xbar_to_dccm_a_data__4),
 	.Y(n_10683), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340209 (
+   sky130_fd_sc_hd__nor2b_1 g340209 (
 	.A(n_10570),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [0]),
+	.B_N(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
 	.Y(n_10682), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340210 (
+   sky130_fd_sc_hd__nor2b_1 g340210 (
 	.A(n_10570),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [1]),
+	.B_N(FE_OFN19104_u_soc_xbar_to_dccm_a_data__1),
 	.Y(n_10681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82444,7 +79148,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340211 (
 	.A(n_10570),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [2]),
+	.B_N(FE_PDN3824_FE_OFN1724_u_soc_xbar_to_dccm_a_data__2),
 	.Y(n_10680), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82452,70 +79156,70 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340212 (
 	.A(n_10570),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [3]),
+	.B_N(FE_PDN3801_FE_OFN1723_u_soc_xbar_to_dccm_a_data__3),
 	.Y(n_10679), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340213 (
-	.A(FE_PSN4855_n_10568),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [7]),
+	.A(FE_OFN1877_n_10568),
+	.B_N(FE_OFN18788_FE_OFN1717_u_soc_xbar_to_dccm_a_data__7),
 	.Y(u_soc_u_tcam_data_wdata[7]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340214 (
-	.A(FE_PSN4855_n_10568),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [6]),
+	.A(FE_OFN1877_n_10568),
+	.B_N(FE_PDN4077_FE_OFN1719_u_soc_xbar_to_dccm_a_data__6),
 	.Y(u_soc_u_tcam_data_wdata[6]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340215 (
-	.A(FE_PSN4855_n_10568),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [5]),
+	.A(FE_OFN1877_n_10568),
+	.B_N(FE_PDN3851_FE_OFN18774_FE_OFN1721_u_soc_xbar_to_dccm_a_data__5),
 	.Y(u_soc_u_tcam_data_wdata[5]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340216 (
-	.A(FE_PSN4855_n_10568),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [4]),
+	.A(FE_OFN1877_n_10568),
+	.B_N(FE_OFN19148_FE_OFN1722_u_soc_xbar_to_dccm_a_data__4),
 	.Y(u_soc_u_tcam_data_wdata[4]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340217 (
-	.A(FE_PSN4855_n_10568),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [2]),
+	.A(FE_OFN1877_n_10568),
+	.B_N(FE_OFN1724_u_soc_xbar_to_dccm_a_data__2),
 	.Y(u_soc_u_tcam_data_wdata[2]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340218 (
-	.A(FE_PSN4855_n_10568),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [3]),
+	.A(FE_OFN1877_n_10568),
+	.B_N(FE_OFN18735_FE_OFN1723_u_soc_xbar_to_dccm_a_data__3),
 	.Y(u_soc_u_tcam_data_wdata[3]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340219 (
-	.A(FE_PSN4855_n_10568),
+	.A(FE_OFN1877_n_10568),
 	.B_N(\u_soc_xbar_to_dccm[a_data] [1]),
 	.Y(u_soc_u_tcam_data_wdata[1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g340220 (
-	.A(FE_PSN4855_n_10568),
+   sky130_fd_sc_hd__nor2b_2 g340220 (
+	.A(n_10568),
 	.B_N(\u_soc_xbar_to_dccm[a_data] [0]),
 	.Y(u_soc_u_tcam_data_wdata[0]), 
 	.VPWR(vccd1), 
@@ -82523,16 +79227,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g340221 (
-	.A(FE_COEN4572_n_10564),
-	.B(\u_soc_xbar_to_dccm[a_data] [13]),
+	.A(FE_OFN18393_n_10564),
+	.B(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
 	.X(u_soc_u_tcam_data_wdata[13]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g340222 (
-	.A(FE_COEN4572_n_10564),
-	.B(\u_soc_xbar_to_dccm[a_data] [14]),
+	.A(FE_OFN18393_n_10564),
+	.B(FE_PDN3701_u_soc_xbar_to_dccm_a_data_14),
 	.X(u_soc_u_tcam_data_wdata[14]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82540,7 +79244,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340223 (
 	.A(n_10569),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B_N(FE_PDN4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
 	.Y(n_10678), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82548,7 +79252,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340224 (
 	.A(n_10569),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B_N(FE_PDN4085_FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
 	.Y(n_10677), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82556,7 +79260,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340225 (
 	.A(n_10569),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B_N(FE_PDN4090_FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
 	.Y(n_10676), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82564,7 +79268,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340226 (
 	.A(n_10569),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B_N(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
 	.Y(n_10675), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82572,7 +79276,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340227 (
 	.A(n_10569),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B_N(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
 	.Y(n_10674), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82580,7 +79284,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340228 (
 	.A(n_10569),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B_N(FE_PDN3829_FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
 	.Y(n_10673), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82596,31 +79300,31 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340230 (
 	.A(n_10569),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [23]),
+	.B_N(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
 	.Y(n_10671), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340232 (
+   sky130_fd_sc_hd__nor2b_1 g340232 (
 	.A(n_10563),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [22]),
+	.B_N(FE_OFN1702_u_soc_xbar_to_dccm_a_data__22),
 	.Y(u_soc_u_tcam_data_wdata[22]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 g340233 (
+   sky130_fd_sc_hd__nor2b_1 g340233 (
 	.A(n_10563),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [21]),
+	.B_N(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
 	.Y(u_soc_u_tcam_data_wdata[21]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340234 (
+   sky130_fd_sc_hd__nor2b_1 g340234 (
 	.A(n_10563),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [20]),
+	.B_N(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
 	.Y(u_soc_u_tcam_data_wdata[20]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82628,7 +79332,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340235 (
 	.A(n_10563),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [19]),
+	.B_N(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
 	.Y(u_soc_u_tcam_data_wdata[19]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82636,23 +79340,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340236 (
 	.A(n_10563),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [18]),
+	.B_N(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
 	.Y(u_soc_u_tcam_data_wdata[18]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340237 (
+   sky130_fd_sc_hd__nor2b_1 g340237 (
 	.A(n_10563),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [17]),
+	.B_N(FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
 	.Y(u_soc_u_tcam_data_wdata[17]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340238 (
+   sky130_fd_sc_hd__nor2b_4 g340238 (
 	.A(n_10563),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [16]),
+	.B_N(FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
 	.Y(u_soc_u_tcam_data_wdata[16]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82660,7 +79364,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340239 (
 	.A(n_10566),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B_N(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
 	.Y(n_10670), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82668,23 +79372,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340240 (
 	.A(n_10566),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B_N(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
 	.Y(n_10669), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340241 (
+   sky130_fd_sc_hd__nor2b_1 g340241 (
 	.A(n_10566),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B_N(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
 	.Y(n_10668), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340242 (
+   sky130_fd_sc_hd__nor2b_1 g340242 (
 	.A(n_10566),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B_N(FE_PDN4099_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
 	.Y(n_10667), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82698,9 +79402,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340244 (
+   sky130_fd_sc_hd__nor2b_1 g340244 (
 	.A(n_10566),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B_N(FE_PDN3698_u_soc_xbar_to_dccm_a_data_29),
 	.Y(n_10665), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82708,7 +79412,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340245 (
 	.A(n_10566),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B_N(FE_PDN4060_u_soc_xbar_to_dccm_a_data_30),
 	.Y(n_10664), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82716,15 +79420,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340246 (
 	.A(n_10566),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B_N(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
 	.Y(n_10663), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 g340247 (
+   sky130_fd_sc_hd__nor2b_1 g340247 (
 	.A(n_10565),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [31]),
+	.B_N(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
 	.Y(u_soc_u_tcam_data_wdata[31]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82732,21 +79436,21 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340248 (
 	.A(n_10565),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [30]),
+	.B_N(FE_PDN4060_u_soc_xbar_to_dccm_a_data_30),
 	.Y(u_soc_u_tcam_data_wdata[30]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340249 (
+   sky130_fd_sc_hd__nor2b_1 g340249 (
 	.A(n_10565),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [29]),
+	.B_N(FE_PDN3698_u_soc_xbar_to_dccm_a_data_29),
 	.Y(u_soc_u_tcam_data_wdata[29]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 g340250 (
+   sky130_fd_sc_hd__nor2b_1 g340250 (
 	.A(n_10565),
 	.B_N(\u_soc_xbar_to_dccm[a_data] [28]),
 	.Y(u_soc_u_tcam_data_wdata[28]), 
@@ -82756,23 +79460,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340251 (
 	.A(n_10565),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [27]),
+	.B_N(FE_PDN4099_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
 	.Y(u_soc_u_tcam_data_wdata[27]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340252 (
+   sky130_fd_sc_hd__nor2b_1 g340252 (
 	.A(n_10565),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [26]),
+	.B_N(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
 	.Y(u_soc_u_tcam_data_wdata[26]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340253 (
+   sky130_fd_sc_hd__nor2b_1 g340253 (
 	.A(n_10565),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [25]),
+	.B_N(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
 	.Y(u_soc_u_tcam_data_wdata[25]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82780,7 +79484,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_2 g340254 (
 	.A(n_10565),
-	.B_N(\u_soc_xbar_to_dccm[a_data] [24]),
+	.B_N(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
 	.Y(u_soc_u_tcam_data_wdata[24]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82788,7 +79492,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340255 (
 	.A(n_10567),
-	.B(\u_soc_xbar_to_dccm[a_data] [8]),
+	.B(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
 	.X(n_10662), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82796,7 +79500,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340256 (
 	.A(n_10567),
-	.B(\u_soc_xbar_to_dccm[a_data] [9]),
+	.B(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
 	.X(n_10661), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82804,7 +79508,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340257 (
 	.A(n_10567),
-	.B(\u_soc_xbar_to_dccm[a_data] [10]),
+	.B(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
 	.X(n_10660), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82812,7 +79516,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340258 (
 	.A(n_10567),
-	.B(\u_soc_xbar_to_dccm[a_data] [12]),
+	.B(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
 	.X(n_10659), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82820,7 +79524,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340259 (
 	.A(n_10567),
-	.B(\u_soc_xbar_to_dccm[a_data] [13]),
+	.B(FE_OFN18170_u_soc_xbar_to_dccm_a_data__13),
 	.X(n_10658), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82828,7 +79532,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340260 (
 	.A(n_10567),
-	.B(\u_soc_xbar_to_dccm[a_data] [14]),
+	.B(FE_OFN1710_u_soc_xbar_to_dccm_a_data__14),
 	.X(n_10657), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82836,7 +79540,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340261 (
 	.A(n_10567),
-	.B(\u_soc_xbar_to_dccm[a_data] [15]),
+	.B(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
 	.X(n_10656), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82844,23 +79548,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g340262 (
 	.A(n_10567),
-	.B(\u_soc_xbar_to_dccm[a_data] [11]),
+	.B(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
 	.X(n_10655), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g340263 (
-	.A(FE_COEN4572_n_10564),
+	.A(FE_OFN18393_n_10564),
 	.B(\u_soc_xbar_to_dccm[a_data] [15]),
 	.X(u_soc_u_tcam_data_wdata[15]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g340268 (
-	.A(FE_PSN4554_u_soc_data_addr_8),
-	.B(FE_PSN4553_u_soc_data_addr_9),
+   sky130_fd_sc_hd__nor2_1 g340268 (
+	.A(u_soc_data_addr[8]),
+	.B(u_soc_data_addr[9]),
 	.Y(n_10692), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -82902,7 +79606,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g340275 (
-	.A(n_10478),
+	.A(FE_OFN1790_n_10478),
 	.B(n_9738),
 	.C(n_9739),
 	.Y(n_10650), 
@@ -82956,7 +79660,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g340281 (
-	.A(n_10516),
+	.A(FE_OFN1792_n_10516),
 	.B(n_9714),
 	.C(n_9713),
 	.Y(n_10644), 
@@ -83244,7 +79948,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g340313 (
-	.A(n_10481),
+	.A(FE_OFN1791_n_10481),
 	.B(n_9744),
 	.C(n_9745),
 	.Y(n_10612), 
@@ -83352,7 +80056,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g340325 (
-	.A(FE_OFN19499_n_10447),
+	.A(n_10447),
 	.B(n_9484),
 	.C(n_9485),
 	.Y(n_10600), 
@@ -83590,7 +80294,7 @@
 	.A2(n_9963),
 	.A3(n_8985),
 	.B1(la_data_in[4]),
-	.B2(FE_OFN1574_n_10248),
+	.B2(FE_OFN1643_n_10248),
 	.X(n_10574), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -83601,13 +80305,13 @@
 	.A2(n_10154),
 	.A3(n_9787),
 	.B1(la_data_in[1]),
-	.B2(FE_OFN1574_n_10248),
+	.B2(FE_OFN1643_n_10248),
 	.X(n_10573), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g340353 (
+   sky130_fd_sc_hd__and3b_2 g340353 (
 	.A_N(n_13458),
 	.B(n_10394),
 	.C(u_soc_iccm_adapter_inst_mem_u_rspfifo_n_108),
@@ -83617,41 +80321,41 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g340378 (
-	.A(n_10544),
-	.B(FE_OFN1625_n_10155),
+	.A(FE_OFN18105_u_soc_dccm_adapter_data_weD),
+	.B(FE_PDN3766_FE_OFN1787_n_10155),
 	.Y(n_10570), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 g340379 (
-	.A(n_10544),
-	.B(FE_OFN1624_n_10146),
+	.A(FE_OFN18105_u_soc_dccm_adapter_data_weD),
+	.B(FE_OFN1786_n_10146),
 	.Y(n_10569), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 g340380 (
-	.A(n_10545),
-	.B(FE_OFN1625_n_10155),
+	.A(FE_OFN18249_n),
+	.B(FE_OFN1787_n_10155),
 	.Y(n_10568), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g340381 (
+   sky130_fd_sc_hd__nand2_4 g340381 (
 	.A(n_10528),
-	.B(n_13323),
+	.B(FE_OFN18283_n_13323),
 	.Y(\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [22]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o32ai_2 g340382 (
+   sky130_fd_sc_hd__o32ai_1 g340382 (
 	.A1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
 	.A2(n_10163),
-	.A3(FE_OFN19541_n),
+	.A3(FE_OFN18234_n),
 	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
 	.B2(n_10343),
 	.Y(n_10562), 
@@ -83700,9 +80404,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g340391 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [7]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [7]),
 	.C1(n_10488),
 	.X(n_10553), 
@@ -83712,8 +80416,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a311oi_1 g340392 (
 	.A1(n_10141),
-	.A2(u_soc_u_top_u_core_alu_operand_b_ex[4]),
-	.A3(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.A2(FE_OFN18101_n_577),
+	.A3(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10),
 	.B1(n_10144),
 	.C1(n_10164),
 	.Y(n_10552), 
@@ -83724,8 +80428,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g340393 (
 	.A1_N(u_soc_iccm_ctrl_addr_o[6]),
 	.A2_N(n_8808),
-	.B1(FE_PSN4111_n_10338),
-	.B2(FE_PSN4664_n_10153),
+	.B1(n_10338),
+	.B2(n_10153),
 	.Y(n_10551), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -83733,7 +80437,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g340394 (
 	.A(n_10541),
-	.B(n_13323),
+	.B(FE_OFN18283_n_13323),
 	.Y(\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [6]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -83749,9 +80453,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g340396 (
 	.A1(la_data_in[5]),
-	.A2(FE_OFN1574_n_10248),
+	.A2(FE_OFN1643_n_10248),
 	.B1(n_9350),
-	.B2(FE_OFN18959_io_in_28),
+	.B2(FE_OFN18750_io_in_28),
 	.C1(n_8984),
 	.X(n_10549), 
 	.VPWR(vccd1), 
@@ -83768,8 +80472,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g340398 (
-	.A1(FE_OFN1623_n_10145),
-	.A2(FE_COEN4870_n_10345),
+	.A1(FE_OFN1785_n_10145),
+	.A2(FE_PDN4080_FE_OFN1663_u_soc_dccm_adapter_data_mem_error_internal),
 	.B1(n_13322),
 	.Y(n_10547), 
 	.VPWR(vccd1), 
@@ -83777,67 +80481,67 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g340399 (
-	.A1(FE_OFN1623_n_10145),
-	.A2(FE_COEN4566_FE_OFN18445_n_15897),
-	.B1(n_13323),
+	.A1(FE_OFN1785_n_10145),
+	.A2(FE_OFN1660_n_15897),
+	.B1(FE_OFN18283_n_13323),
 	.Y(\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [14]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or3b_2 g340400 (
+   sky130_fd_sc_hd__or3b_4 g340400 (
 	.A(\u_soc_uart_to_xbar[d_valid] ),
 	.B(n_15896),
-	.C_N(FE_OFN19541_n),
+	.C_N(FE_OFN19035_n),
 	.X(n_13558), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g340401 (
-	.A(FE_OFN1623_n_10145),
-	.B(FE_OFN18232_u_soc_dccm_adapter_data_weD),
+   sky130_fd_sc_hd__nor2_1 g340401 (
+	.A(FE_OFN1785_n_10145),
+	.B(u_soc_dccm_adapter_data_weD),
 	.Y(n_10567), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g340402 (
-	.A(n_10544),
-	.B(FE_OFN1622_n_10044),
+   sky130_fd_sc_hd__nand2_1 g340402 (
+	.A(FE_OFN18105_u_soc_dccm_adapter_data_weD),
+	.B(FE_OFN1636_n_10044),
 	.Y(n_10566), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g340403 (
-	.A(n_10545),
-	.B(FE_OFN1622_n_10044),
+   sky130_fd_sc_hd__nand2_2 g340403 (
+	.A(FE_OFN1808_u_soc_u_tcam_n_27),
+	.B(FE_OFN1636_n_10044),
 	.Y(n_10565), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g340404 (
-	.A(FE_OFN1623_n_10145),
+   sky130_fd_sc_hd__nor2_4 g340404 (
+	.A(FE_OFN1785_n_10145),
 	.B(u_soc_u_tcam_n_27),
 	.Y(n_10564), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 g340405 (
-	.A(n_10545),
-	.B(FE_OFN1624_n_10146),
+   sky130_fd_sc_hd__nand2_4 g340405 (
+	.A(FE_OFN1808_u_soc_u_tcam_n_27),
+	.B(FE_OFN1786_n_10146),
 	.Y(n_10563), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340412 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [2]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [2]),
 	.C1(n_10265),
 	.Y(n_10543), 
@@ -83846,240 +80550,240 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g340413 (
-	.A(FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal),
-	.B(FE_OFN1625_n_10155),
+	.A(FE_OFN18264_n),
+	.B(FE_PDN3766_FE_OFN1787_n_10155),
 	.Y(n_10542), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340414 (
-	.A(FE_COEN4334_n_10344),
-	.B_N(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+   sky130_fd_sc_hd__nor2b_1 g340414 (
+	.A(FE_OFN18519_n_10344),
+	.B_N(FE_OFN879_u_soc_lsu_to_xbar_a_address__29),
 	.Y(u_soc_u_tcam_data_addr[27]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340415 (
-	.A(FE_COEN4334_n_10344),
-	.B_N(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
+   sky130_fd_sc_hd__nor2b_1 g340415 (
+	.A(FE_OFN18519_n_10344),
+	.B_N(FE_OFN880_u_soc_lsu_to_xbar_a_address__28),
 	.Y(u_soc_u_tcam_data_addr[26]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340416 (
-	.A(FE_COEN4334_n_10344),
-	.B_N(FE_OFN750_u_soc_lsu_to_xbar_a_address__27),
+   sky130_fd_sc_hd__nor2b_1 g340416 (
+	.A(FE_PSN3941_FE_OFN18519_n_10344),
+	.B_N(FE_OFN881_u_soc_lsu_to_xbar_a_address__27),
 	.Y(u_soc_u_tcam_data_addr[25]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 g340417 (
-	.A(FE_COEN4334_n_10344),
-	.B_N(FE_COEN4267_u_soc_lsu_to_xbar_a_address_25),
+   sky130_fd_sc_hd__nor2b_1 g340417 (
+	.A(FE_PSN3930_FE_OFN18519_n_10344),
+	.B_N(FE_OFN883_u_soc_lsu_to_xbar_a_address__25),
 	.Y(u_soc_u_tcam_data_addr[23]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340418 (
-	.A(FE_COEN4334_n_10344),
-	.B_N(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
+   sky130_fd_sc_hd__nor2b_1 g340418 (
+	.A(FE_OFN18519_n_10344),
+	.B_N(FE_OFN882_u_soc_lsu_to_xbar_a_address__26),
 	.Y(u_soc_u_tcam_data_addr[24]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340419 (
-	.A(FE_COEN4334_n_10344),
-	.B_N(FE_PSN4133_u_soc_lsu_to_xbar_a_address_24),
+	.A(FE_PSN3930_FE_OFN18519_n_10344),
+	.B_N(FE_OFN884_u_soc_lsu_to_xbar_a_address__24),
 	.Y(u_soc_u_tcam_data_addr[22]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340420 (
-	.A(FE_COEN4334_n_10344),
-	.B_N(FE_OFN754_u_soc_lsu_to_xbar_a_address__23),
+	.A(FE_PSN3952_FE_OFN18519_n_10344),
+	.B_N(FE_OFN885_u_soc_lsu_to_xbar_a_address__23),
 	.Y(u_soc_u_tcam_data_addr[21]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340421 (
-	.A(FE_COEN4334_n_10344),
-	.B_N(FE_OFN755_u_soc_lsu_to_xbar_a_address__22),
+	.A(FE_PSN3941_FE_OFN18519_n_10344),
+	.B_N(FE_OFN886_u_soc_lsu_to_xbar_a_address__22),
 	.Y(u_soc_u_tcam_data_addr[20]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340422 (
-	.A(FE_COEN4334_n_10344),
-	.B_N(FE_OFN756_u_soc_lsu_to_xbar_a_address__21),
+	.A(FE_PSN3930_FE_OFN18519_n_10344),
+	.B_N(FE_OFN887_u_soc_lsu_to_xbar_a_address__21),
 	.Y(u_soc_u_tcam_data_addr[19]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340423 (
-	.A(FE_COEN4334_n_10344),
-	.B_N(\u_soc_lsu_to_xbar[a_address] [20]),
+	.A(FE_PSN3948_FE_OFN18519_n_10344),
+	.B_N(FE_OFN888_u_soc_lsu_to_xbar_a_address__20),
 	.Y(u_soc_u_tcam_data_addr[18]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340424 (
-	.A(n_10344),
-	.B_N(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.A(FE_PSN3930_FE_OFN18519_n_10344),
+	.B_N(FE_OFN889_u_soc_lsu_to_xbar_a_address__19),
 	.Y(u_soc_u_tcam_data_addr[17]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340425 (
-	.A(FE_OFN18200_n_15897),
-	.B_N(\u_soc_lsu_to_xbar[a_address] [17]),
+	.A(FE_PSN3941_FE_OFN18519_n_10344),
+	.B_N(FE_OFN18408_u_soc_lsu_to_xbar_a_address__17),
 	.Y(u_soc_u_tcam_data_addr[15]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340426 (
-	.A(FE_OFN18200_n_15897),
-	.B_N(\u_soc_lsu_to_xbar[a_address] [16]),
+	.A(FE_OFN18519_n_10344),
+	.B_N(FE_OFN18195_u_soc_lsu_to_xbar_a_address__16),
 	.Y(u_soc_u_tcam_data_addr[14]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340427 (
-	.A(FE_OFN18200_n_15897),
-	.B_N(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.A(FE_OFN18519_n_10344),
+	.B_N(FE_OFN890_u_soc_lsu_to_xbar_a_address__18),
 	.Y(u_soc_u_tcam_data_addr[16]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340428 (
-	.A(FE_OFN18200_n_15897),
-	.B_N(\u_soc_lsu_to_xbar[a_address] [15]),
+	.A(FE_PSN3952_FE_OFN18519_n_10344),
+	.B_N(FE_OFN893_u_soc_lsu_to_xbar_a_address__15),
 	.Y(u_soc_u_tcam_data_addr[13]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340429 (
-	.A(FE_OFN18200_n_15897),
-	.B_N(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.A(FE_PSN3969_FE_OFN18519_n_10344),
+	.B_N(FE_OFN894_u_soc_lsu_to_xbar_a_address__14),
 	.Y(u_soc_u_tcam_data_addr[12]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340430 (
-	.A(FE_OFN18200_n_15897),
-	.B_N(\u_soc_xbar_to_dccm[a_address] [13]),
+	.A(FE_OFN18519_n_10344),
+	.B_N(FE_OFN895_u_soc_xbar_to_dccm_a_address__13),
 	.Y(u_soc_u_tcam_data_addr[11]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340431 (
-	.A(FE_OFN18200_n_15897),
-	.B_N(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.A(FE_OFN18519_n_10344),
+	.B_N(FE_OFN896_u_soc_xbar_to_dccm_a_address__12),
 	.Y(u_soc_u_tcam_data_addr[10]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340432 (
-	.A(FE_COEN4566_FE_OFN18445_n_15897),
-	.B_N(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+   sky130_fd_sc_hd__nor2b_1 g340432 (
+	.A(FE_OFN18385_n_15897),
+	.B_N(FE_OFN18209_u_soc_xbar_to_dccm_a_address__11),
 	.Y(u_soc_u_tcam_data_addr[9]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340433 (
-	.A(FE_COEN4566_FE_OFN18445_n_15897),
-	.B_N(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.A(FE_OFN1661_n_15897),
+	.B_N(FE_OFN19045_u_soc_xbar_to_dccm_a_address__9),
 	.Y(u_soc_u_tcam_data_addr[7]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g340434 (
-	.A(FE_COEN4566_FE_OFN18445_n_15897),
-	.B_N(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+   sky130_fd_sc_hd__nor2b_1 g340434 (
+	.A(FE_OFN18262_n_10344),
+	.B_N(FE_OFN18534_n),
 	.Y(u_soc_u_tcam_data_addr[6]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 g340435 (
-	.A(FE_COEN4566_FE_OFN18445_n_15897),
-	.B_N(FE_OFN18479_u_soc_xbar_to_dccm_a_address__10),
+   sky130_fd_sc_hd__nor2b_1 g340435 (
+	.A(FE_OFN18385_n_15897),
+	.B_N(FE_OFN18187_u_soc_xbar_to_dccm_a_address__10),
 	.Y(u_soc_u_tcam_data_addr[8]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340436 (
-	.A(FE_COEN4566_FE_OFN18445_n_15897),
-	.B_N(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.A(FE_PSN3975_FE_OFN18519_n_10344),
+	.B_N(FE_OFN18390_u_soc_xbar_to_dccm_a_address__7),
 	.Y(u_soc_u_tcam_data_addr[5]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340437 (
-	.A(FE_COEN4566_FE_OFN18445_n_15897),
-	.B_N(FE_PSN4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.A(FE_PSN3934_FE_OFN18519_n_10344),
+	.B_N(FE_OFN903_u_soc_xbar_to_dccm_a_address__5),
 	.Y(u_soc_u_tcam_data_addr[3]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340438 (
-	.A(FE_COEN4566_FE_OFN18445_n_15897),
-	.B_N(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.A(FE_PSN3948_FE_OFN18519_n_10344),
+	.B_N(FE_OFN19028_u_soc_xbar_to_dccm_a_address__6),
 	.Y(u_soc_u_tcam_data_addr[4]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340439 (
-	.A(FE_COEN4566_FE_OFN18445_n_15897),
-	.B_N(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.A(FE_PSN3934_FE_OFN18519_n_10344),
+	.B_N(FE_OFN904_u_soc_xbar_to_dccm_a_address__4),
 	.Y(u_soc_u_tcam_data_addr[2]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340440 (
-	.A(FE_COEN4566_FE_OFN18445_n_15897),
-	.B_N(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.A(FE_PSN3957_FE_OFN18519_n_10344),
+	.B_N(FE_OFN18212_u_soc_xbar_to_dccm_a_address__3),
 	.Y(u_soc_u_tcam_data_addr[1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340441 (
-	.A(FE_COEN4566_FE_OFN18445_n_15897),
-	.B_N(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.A(FE_PSN3934_FE_OFN18519_n_10344),
+	.B_N(FE_OFN18214_u_soc_xbar_to_dccm_a_address__2),
 	.Y(u_soc_u_tcam_data_addr[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g340442 (
-	.A(FE_OFN18501_n),
-	.B(FE_OFN1625_n_10155),
+	.A(FE_OFN18387_n_15897),
+	.B(FE_OFN1787_n_10155),
 	.Y(n_10541), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -84088,7 +80792,7 @@
    sky130_fd_sc_hd__a221oi_1 g340443 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [1]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [1]),
 	.C1(n_10230),
 	.Y(n_10540), 
@@ -84097,105 +80801,105 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340444 (
-	.A(FE_COEN4870_n_10345),
-	.B_N(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.A(FE_OFN18559_n),
+	.B_N(FE_OFN18213_u_soc_xbar_to_dccm_a_address__3),
 	.Y(n_10539), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340445 (
-	.A(FE_COEN4870_n_10345),
-	.B_N(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.A(FE_OFN18559_n),
+	.B_N(FE_OFN904_u_soc_xbar_to_dccm_a_address__4),
 	.Y(n_10538), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340446 (
-	.A(FE_COEN4870_n_10345),
-	.B_N(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.A(FE_OFN18559_n),
+	.B_N(\u_soc_xbar_to_dccm[a_address] [6]),
 	.Y(n_10537), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340447 (
-	.A(FE_COEN4870_n_10345),
-	.B_N(FE_PSN4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.A(FE_OFN18559_n),
+	.B_N(FE_OFN903_u_soc_xbar_to_dccm_a_address__5),
 	.Y(n_10536), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340448 (
-	.A(FE_COEN4870_n_10345),
-	.B_N(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.A(FE_OFN18559_n),
+	.B_N(FE_PSN4031_u_soc_xbar_to_dccm_a_address_7),
 	.Y(n_10535), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340449 (
-	.A(n_10345),
-	.B_N(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.A(FE_OFN18559_n),
+	.B_N(FE_OFN18534_n),
 	.Y(n_10534), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340450 (
-	.A(FE_COEN4870_n_10345),
-	.B_N(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.A(FE_OFN18559_n),
+	.B_N(FE_OFN18214_u_soc_xbar_to_dccm_a_address__2),
 	.Y(n_10533), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340451 (
-	.A(n_10345),
-	.B_N(FE_OFN18479_u_soc_xbar_to_dccm_a_address__10),
+	.A(FE_OFN18559_n),
+	.B_N(FE_OFN18187_u_soc_xbar_to_dccm_a_address__10),
 	.Y(n_10532), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340452 (
-	.A(n_10345),
-	.B_N(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.A(FE_OFN18559_n),
+	.B_N(FE_OFN19045_u_soc_xbar_to_dccm_a_address__9),
 	.Y(n_10531), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340453 (
-	.A(n_10345),
-	.B_N(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.A(FE_OFN1663_u_soc_dccm_adapter_data_mem_error_internal),
+	.B_N(FE_OFN18209_u_soc_xbar_to_dccm_a_address__11),
 	.Y(n_10530), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g340454 (
-	.A(FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal),
-	.B(FE_OFN1624_n_10146),
+	.A(FE_OFN18264_n),
+	.B(FE_OFN1786_n_10146),
 	.Y(n_10529), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g340455 (
-	.A(FE_OFN18501_n),
-	.B(FE_OFN1624_n_10146),
+	.A(FE_OFN18387_n_15897),
+	.B(FE_OFN1786_n_10146),
 	.Y(n_10528), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340456 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [1]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [1]),
 	.C1(n_10231),
 	.Y(n_10527), 
@@ -84204,9 +80908,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340457 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [1]),
-	.B1(n_8961),
+	.B1(FE_OFN1603_n_8961),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [1]),
 	.C1(n_10266),
 	.Y(n_10526), 
@@ -84215,9 +80919,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340458 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [1]),
-	.B1(n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [1]),
 	.C1(n_10232),
 	.Y(n_10525), 
@@ -84228,7 +80932,7 @@
    sky130_fd_sc_hd__a221oi_1 g340459 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [8]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [8]),
 	.C1(n_10267),
 	.Y(n_10524), 
@@ -84238,7 +80942,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g340460 (
 	.A(n_10156),
-	.B(n_10345),
+	.B(FE_OFN1663_u_soc_dccm_adapter_data_mem_error_internal),
 	.Y(n_10523), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -84246,16 +80950,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g340461 (
 	.A(n_10156),
-	.B(FE_COEN4566_FE_OFN18445_n_15897),
+	.B(FE_OFN18227_n),
 	.Y(\u_soc_u_tcam_u_tcam_adapter_wmask_int[0] [30]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340462 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [8]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [8]),
 	.C1(n_10268),
 	.Y(n_10522), 
@@ -84265,7 +80969,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__or2_4 g340463 (
 	.A(n_13319),
-	.B(FE_COEN4566_FE_OFN18445_n_15897),
+	.B(FE_OFN18386_n_15897),
 	.X(u_soc_u_tcam_n_26), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -84288,7 +80992,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o31a_1 g340466 (
-	.A1(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.A1(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
 	.A2(n_633),
 	.A3(n_10140),
 	.B1(n_13474),
@@ -84299,7 +81003,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21o_1 g340467 (
 	.A1(la_data_in[7]),
-	.A2(FE_OFN1574_n_10248),
+	.A2(FE_OFN1643_n_10248),
 	.B1(n_8984),
 	.X(n_10518), 
 	.VPWR(vccd1), 
@@ -84307,7 +81011,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g340468 (
-	.A1(FE_OFN1559_n_8979),
+	.A1(FE_OFN1625_n_8979),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [7]),
 	.B1(n_10339),
 	.Y(n_10517), 
@@ -84327,11 +81031,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340470 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [8]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [8]),
-	.C1(n_10270),
+	.C1(FE_OFN1644_n_10270),
 	.Y(n_10515), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -84340,18 +81044,18 @@
    sky130_fd_sc_hd__a32oi_1 g340471 (
 	.A1(n_8885),
 	.A2(n_8815),
-	.A3(FE_OFN18959_io_in_28),
+	.A3(FE_OFN18750_io_in_28),
 	.B1(la_data_in[10]),
-	.B2(FE_OFN1574_n_10248),
+	.B2(FE_OFN1643_n_10248),
 	.Y(n_10514), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340472 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [1]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [1]),
 	.C1(n_10183),
 	.Y(n_10513), 
@@ -84360,9 +81064,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340473 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [1]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [1]),
 	.C1(n_10195),
 	.Y(n_10512), 
@@ -84371,9 +81075,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340474 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [1]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [1]),
 	.C1(n_10182),
 	.Y(n_10511), 
@@ -84382,9 +81086,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340475 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [1]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [1]),
 	.C1(n_10181),
 	.Y(n_10510), 
@@ -84393,9 +81097,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340476 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [2]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [2]),
 	.C1(n_10194),
 	.Y(n_10509), 
@@ -84404,9 +81108,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340477 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [2]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [2]),
 	.C1(n_10180),
 	.Y(n_10508), 
@@ -84415,9 +81119,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340478 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [2]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [2]),
 	.C1(n_10179),
 	.Y(n_10507), 
@@ -84426,9 +81130,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340479 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [2]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [2]),
 	.C1(n_10178),
 	.Y(n_10506), 
@@ -84437,9 +81141,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340480 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [3]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [3]),
 	.C1(n_10193),
 	.Y(n_10505), 
@@ -84448,9 +81152,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340481 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [3]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [3]),
 	.C1(n_10177),
 	.Y(n_10504), 
@@ -84459,9 +81163,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340482 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [3]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [3]),
 	.C1(n_10176),
 	.Y(n_10503), 
@@ -84470,9 +81174,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340483 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [3]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [3]),
 	.C1(n_10175),
 	.Y(n_10502), 
@@ -84481,9 +81185,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340484 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [4]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [4]),
 	.C1(n_10174),
 	.Y(n_10501), 
@@ -84492,9 +81196,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340485 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [4]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [4]),
 	.C1(n_10192),
 	.Y(n_10500), 
@@ -84503,9 +81207,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340486 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [4]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [4]),
 	.C1(n_10191),
 	.Y(n_10499), 
@@ -84514,9 +81218,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340487 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [4]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [4]),
 	.C1(n_10173),
 	.Y(n_10498), 
@@ -84525,9 +81229,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340488 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [5]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [5]),
 	.C1(n_10190),
 	.Y(n_10497), 
@@ -84536,9 +81240,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340489 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [5]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [5]),
 	.C1(n_10172),
 	.Y(n_10496), 
@@ -84547,9 +81251,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340490 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [5]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [5]),
 	.C1(n_10189),
 	.Y(n_10495), 
@@ -84558,9 +81262,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340491 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [5]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [5]),
 	.C1(n_10171),
 	.Y(n_10494), 
@@ -84569,9 +81273,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340492 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [6]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [6]),
 	.C1(n_10170),
 	.Y(n_10493), 
@@ -84580,9 +81284,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340493 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [6]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [6]),
 	.C1(n_10169),
 	.Y(n_10492), 
@@ -84591,9 +81295,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340494 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [6]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [6]),
 	.C1(n_10188),
 	.Y(n_10491), 
@@ -84602,9 +81306,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340495 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [6]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [6]),
 	.C1(n_10168),
 	.Y(n_10490), 
@@ -84613,9 +81317,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340496 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [7]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [7]),
 	.C1(n_10187),
 	.Y(n_10489), 
@@ -84624,9 +81328,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g340497 (
-	.A1(FE_OFN1565_n_9006),
+	.A1(FE_OFN1631_n_9006),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [7]),
-	.B1(FE_OFN1564_n_9005),
+	.B1(FE_OFN1630_n_9005),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [7]),
 	.C1(n_10186),
 	.X(n_10488), 
@@ -84635,9 +81339,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340498 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [7]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [7]),
 	.C1(n_10167),
 	.Y(n_10487), 
@@ -84646,9 +81350,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340499 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [8]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [8]),
 	.C1(n_10185),
 	.Y(n_10486), 
@@ -84657,9 +81361,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340500 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [8]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [8]),
 	.C1(n_10166),
 	.Y(n_10485), 
@@ -84668,9 +81372,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340501 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [8]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [8]),
 	.C1(n_10184),
 	.Y(n_10484), 
@@ -84679,9 +81383,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340502 (
-	.A1(FE_OFN1564_n_9005),
+	.A1(FE_OFN1630_n_9005),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [8]),
-	.B1(FE_OFN1565_n_9006),
+	.B1(FE_OFN1631_n_9006),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [8]),
 	.C1(n_10165),
 	.Y(n_10483), 
@@ -84690,9 +81394,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340503 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [5]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [5]),
 	.C1(n_10276),
 	.Y(n_10482), 
@@ -84703,7 +81407,7 @@
    sky130_fd_sc_hd__a221oi_1 g340504 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [5]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [5]),
 	.C1(n_10237),
 	.Y(n_10481), 
@@ -84714,7 +81418,7 @@
    sky130_fd_sc_hd__a221oi_1 g340505 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [5]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [5]),
 	.C1(n_10275),
 	.Y(n_10480), 
@@ -84723,9 +81427,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340506 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [6]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [6]),
 	.C1(n_10236),
 	.Y(n_10479), 
@@ -84745,9 +81449,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340508 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [6]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [6]),
 	.C1(n_10235),
 	.Y(n_10477), 
@@ -84758,18 +81462,18 @@
    sky130_fd_sc_hd__a221oi_1 g340509 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [6]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [6]),
-	.C1(n_10234),
+	.C1(FE_OFN19160_n_10234),
 	.Y(n_10476), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340510 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [7]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [7]),
 	.C1(n_10233),
 	.Y(n_10475), 
@@ -84780,7 +81484,7 @@
    sky130_fd_sc_hd__a221oi_1 g340511 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [7]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [7]),
 	.C1(n_10273),
 	.Y(n_10474), 
@@ -84789,9 +81493,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340512 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [7]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [7]),
 	.C1(n_10272),
 	.Y(n_10473), 
@@ -84802,7 +81506,7 @@
    sky130_fd_sc_hd__a221oi_1 g340513 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [7]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [7]),
 	.C1(n_10271),
 	.Y(n_10472), 
@@ -84820,66 +81524,66 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__or2_0 g340515 (
 	.A(n_13316),
-	.B(n_10345),
+	.B(FE_PDN4080_FE_OFN1663_u_soc_dccm_adapter_data_mem_error_internal),
 	.X(u_soc_dccm_adapter_data_csbD), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g340517 (
-	.A(FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal),
+   sky130_fd_sc_hd__nand2_2 g340517 (
+	.A(FE_OFN18264_n),
 	.B(n_640),
 	.Y(n_13322), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g340518 (
-	.A(FE_OFN18501_n),
+   sky130_fd_sc_hd__nand2_1 g340518 (
+	.A(FE_PSN3931_FE_OFN18224_n_15897),
 	.B(n_640),
 	.Y(n_13323), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_2 g340519 (
+   sky130_fd_sc_hd__and3_1 g340519 (
 	.A(n_10328),
 	.B(n_13320),
-	.C(FE_OFN878_n_641),
+	.C(n_641),
 	.X(n_13494), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_8 g340520 (
-	.A(FE_PSN4662_FE_OFN1590_n_15897),
-	.B(FE_OFN1142_u_soc_u_top_data_we),
+	.A(FE_PSN3931_FE_OFN18224_n_15897),
+	.B(FE_OFN1290_u_soc_u_top_data_we),
 	.Y(u_soc_u_tcam_n_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_2 g340521 (
+   sky130_fd_sc_hd__and3b_1 g340521 (
 	.A_N(n_13309),
 	.B(n_13312),
-	.C(FE_OFN878_n_641),
+	.C(n_641),
 	.X(n_13493), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g340522 (
-	.A(FE_OFN18199_u_soc_dccm_adapter_data_mem_error_internal),
-	.B(FE_OFN1142_u_soc_u_top_data_we),
+   sky130_fd_sc_hd__nand2_2 g340522 (
+	.A(FE_OFN18264_n),
+	.B(FE_OFN1290_u_soc_u_top_data_we),
 	.Y(u_soc_dccm_adapter_data_weD), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340523 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [2]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [2]),
 	.C1(n_10204),
 	.Y(n_10471), 
@@ -84888,9 +81592,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340524 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [2]),
-	.B1(n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [2]),
 	.C1(n_10264),
 	.Y(n_10470), 
@@ -84901,7 +81605,7 @@
    sky130_fd_sc_hd__a221oi_1 g340525 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [2]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [2]),
 	.C1(n_10263),
 	.Y(n_10469), 
@@ -84910,9 +81614,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340526 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [3]),
-	.B1(n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [3]),
 	.C1(n_10262),
 	.Y(n_10468), 
@@ -84921,20 +81625,20 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340527 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [3]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [3]),
-	.C1(FE_OFN1572_n_10228),
+	.C1(n_10228),
 	.Y(n_10467), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340528 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [3]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [3]),
 	.C1(n_10227),
 	.Y(n_10466), 
@@ -84943,20 +81647,20 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340529 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [3]),
-	.B1(FE_OFN1535_n_8961),
+	.B1(FE_OFN1603_n_8961),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [3]),
-	.C1(FE_OFN19524_n_10261),
+	.C1(n_10261),
 	.Y(n_10465), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340530 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [4]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [4]),
 	.C1(n_10260),
 	.Y(n_10464), 
@@ -84965,9 +81669,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340531 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [4]),
-	.B1(n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [4]),
 	.C1(n_10226),
 	.Y(n_10463), 
@@ -84976,9 +81680,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340532 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [4]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [4]),
 	.C1(n_10259),
 	.Y(n_10462), 
@@ -84989,7 +81693,7 @@
    sky130_fd_sc_hd__a221oi_1 g340533 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [4]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [4]),
 	.C1(n_10258),
 	.Y(n_10461), 
@@ -84998,9 +81702,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340534 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [5]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [5]),
 	.C1(n_10257),
 	.Y(n_10460), 
@@ -85009,11 +81713,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340535 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [5]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [5]),
-	.C1(FE_OFN1571_n_10225),
+	.C1(n_10225),
 	.Y(n_10459), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -85022,7 +81726,7 @@
    sky130_fd_sc_hd__a221oi_1 g340536 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [5]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [5]),
 	.C1(n_10224),
 	.Y(n_10458), 
@@ -85031,9 +81735,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340537 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [6]),
-	.B1(n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [6]),
 	.C1(n_10223),
 	.Y(n_10457), 
@@ -85042,20 +81746,20 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340538 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [6]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [6]),
-	.C1(FE_OFN1576_n_10256),
+	.C1(n_10256),
 	.Y(n_10456), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340539 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [6]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [6]),
 	.C1(n_10255),
 	.Y(n_10455), 
@@ -85066,7 +81770,7 @@
    sky130_fd_sc_hd__a221oi_1 g340540 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [6]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [6]),
 	.C1(n_10222),
 	.Y(n_10454), 
@@ -85075,9 +81779,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340541 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [7]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [7]),
 	.C1(n_10254),
 	.Y(n_10453), 
@@ -85086,9 +81790,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340542 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [7]),
-	.B1(n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [7]),
 	.C1(n_10221),
 	.Y(n_10452), 
@@ -85097,11 +81801,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340543 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [7]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [7]),
-	.C1(FE_OFN1575_n_10253),
+	.C1(n_10253),
 	.Y(n_10451), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -85110,7 +81814,7 @@
    sky130_fd_sc_hd__a221oi_1 g340544 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [7]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [7]),
 	.C1(n_10252),
 	.Y(n_10450), 
@@ -85119,9 +81823,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340545 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [8]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [8]),
 	.C1(n_10251),
 	.Y(n_10449), 
@@ -85130,20 +81834,20 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340546 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [8]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [8]),
-	.C1(FE_OFN1570_n_10220),
+	.C1(n_10220),
 	.Y(n_10448), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340547 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [8]),
-	.B1(n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [8]),
 	.C1(n_10250),
 	.Y(n_10447), 
@@ -85154,7 +81858,7 @@
    sky130_fd_sc_hd__a221oi_1 g340548 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [8]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [8]),
 	.C1(n_10249),
 	.Y(n_10446), 
@@ -85163,9 +81867,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340549 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [1]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [1]),
 	.C1(n_10293),
 	.Y(n_10445), 
@@ -85174,9 +81878,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340550 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [1]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [1]),
 	.C1(n_10317),
 	.Y(n_10444), 
@@ -85185,9 +81889,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340551 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [1]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [1]),
 	.C1(n_10318),
 	.Y(n_10443), 
@@ -85196,7 +81900,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340552 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [1]),
 	.B1(n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [1]),
@@ -85207,9 +81911,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340553 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [2]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [2]),
 	.C1(n_10219),
 	.Y(n_10441), 
@@ -85218,9 +81922,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340554 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [2]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [2]),
 	.C1(n_10320),
 	.Y(n_10440), 
@@ -85229,9 +81933,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340555 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [2]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [2]),
 	.C1(n_10321),
 	.Y(n_10439), 
@@ -85240,7 +81944,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340556 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [2]),
 	.B1(n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [2]),
@@ -85251,9 +81955,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340557 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [3]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [3]),
 	.C1(n_10322),
 	.Y(n_10437), 
@@ -85262,9 +81966,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340558 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [3]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [3]),
 	.C1(n_10217),
 	.Y(n_10436), 
@@ -85273,9 +81977,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340559 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [3]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [3]),
 	.C1(n_10323),
 	.Y(n_10435), 
@@ -85284,7 +81988,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340560 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [3]),
 	.B1(n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [3]),
@@ -85295,9 +81999,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340561 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [4]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [4]),
 	.C1(n_10216),
 	.Y(n_10433), 
@@ -85306,9 +82010,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340562 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [4]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [4]),
 	.C1(n_10215),
 	.Y(n_10432), 
@@ -85317,9 +82021,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340563 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [4]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [4]),
 	.C1(n_10214),
 	.Y(n_10431), 
@@ -85328,7 +82032,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340564 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [4]),
 	.B1(n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [4]),
@@ -85339,9 +82043,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340565 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [5]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [5]),
 	.C1(n_10326),
 	.Y(n_10429), 
@@ -85350,9 +82054,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340566 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [5]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [5]),
 	.C1(n_10213),
 	.Y(n_10428), 
@@ -85361,9 +82065,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340567 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [5]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [5]),
 	.C1(n_10201),
 	.Y(n_10427), 
@@ -85372,7 +82076,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340568 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [5]),
 	.B1(n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [5]),
@@ -85383,9 +82087,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340569 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [6]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [6]),
 	.C1(n_10211),
 	.Y(n_10425), 
@@ -85394,9 +82098,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340570 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [6]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [6]),
 	.C1(n_10210),
 	.Y(n_10424), 
@@ -85405,9 +82109,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340571 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [6]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [6]),
 	.C1(n_10209),
 	.Y(n_10423), 
@@ -85416,7 +82120,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340572 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [6]),
 	.B1(n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [6]),
@@ -85427,9 +82131,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340573 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [7]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [7]),
 	.C1(n_10245),
 	.Y(n_10421), 
@@ -85438,9 +82142,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340574 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [7]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [7]),
 	.C1(n_10208),
 	.Y(n_10420), 
@@ -85449,9 +82153,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340575 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [7]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [7]),
 	.C1(n_10207),
 	.Y(n_10419), 
@@ -85460,7 +82164,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340576 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [7]),
 	.B1(n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [7]),
@@ -85471,9 +82175,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340577 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [8]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [8]),
 	.C1(n_10243),
 	.Y(n_10417), 
@@ -85482,9 +82186,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340578 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [8]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [8]),
 	.C1(n_10206),
 	.Y(n_10416), 
@@ -85493,9 +82197,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340579 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [8]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [8]),
 	.C1(n_10242),
 	.Y(n_10415), 
@@ -85504,7 +82208,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340580 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [8]),
 	.B1(n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [8]),
@@ -85515,11 +82219,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340581 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [2]),
-	.B1(FE_OFN1540_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [2]),
-	.C1(FE_OFN1573_n_10229),
+	.C1(n_10229),
 	.Y(n_10413), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -85537,9 +82241,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340583 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [2]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [2]),
 	.C1(n_10202),
 	.Y(n_10411), 
@@ -85550,9 +82254,9 @@
    sky130_fd_sc_hd__a221oi_1 g340584 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [2]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [2]),
-	.C1(n_10247),
+	.C1(FE_OFN1642_n_10247),
 	.Y(n_10410), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -85570,9 +82274,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340586 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [3]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [3]),
 	.C1(n_10240),
 	.Y(n_10408), 
@@ -85581,9 +82285,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340587 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [3]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [3]),
 	.C1(n_10239),
 	.Y(n_10407), 
@@ -85594,18 +82298,18 @@
    sky130_fd_sc_hd__a221oi_1 g340588 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [3]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [3]),
-	.C1(n_10238),
+	.C1(FE_OFN1641_n_10238),
 	.Y(n_10406), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340589 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [4]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [4]),
 	.C1(n_10200),
 	.Y(n_10405), 
@@ -85625,9 +82329,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340591 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [4]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [4]),
 	.C1(n_10198),
 	.Y(n_10403), 
@@ -85638,7 +82342,7 @@
    sky130_fd_sc_hd__a221oi_1 g340592 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [4]),
-	.B1(n_8963),
+	.B1(FE_OFN1607_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [4]),
 	.C1(n_10197),
 	.Y(n_10402), 
@@ -85647,31 +82351,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340593 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [5]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [5]),
-	.C1(n_10196),
+	.C1(FE_OFN1637_n_10196),
 	.Y(n_10401), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_2 g340595 (
-	.A1_N(u_soc_iccm_ctrl_addr_o[1]),
-	.A2_N(n_8808),
-	.B1(FE_PSN4466_n_10160),
-	.B2(FE_PSN4664_n_10153),
-	.Y(n_10399), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g340596 (
 	.A1_N(u_soc_iccm_ctrl_addr_o[2]),
 	.A2_N(n_8808),
 	.B1(n_10159),
-	.B2(FE_PSN4664_n_10153),
+	.B2(n_10153),
 	.Y(n_10398), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -85680,23 +82374,33 @@
    sky130_fd_sc_hd__o2bb2ai_1 g340597 (
 	.A1_N(u_soc_iccm_ctrl_addr_o[3]),
 	.A2_N(n_8808),
-	.B1(FE_PSN4112_n_10158),
-	.B2(FE_PSN4664_n_10153),
+	.B1(n_10158),
+	.B2(n_10153),
 	.Y(n_10397), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_2 g340598 (
+   sky130_fd_sc_hd__o2bb2ai_1 g340598 (
 	.A1_N(u_soc_iccm_ctrl_addr_o[4]),
 	.A2_N(n_8808),
-	.B1(FE_OCPN19183_n_10157),
-	.B2(FE_PSN4664_n_10153),
+	.B1(n_10157),
+	.B2(n_10153),
 	.Y(n_10396), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__o2bb2ai_1 g340599 (
+	.A1_N(u_soc_iccm_ctrl_addr_o[5]),
+	.A2_N(n_8808),
+	.B1(n_10162),
+	.B2(n_10153),
+	.Y(n_10395), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__a2bb2oi_1 g340600 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
 	.A2_N(n_10328),
@@ -85710,7 +82414,7 @@
    sky130_fd_sc_hd__a221oi_1 g340601 (
 	.A1(n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [1]),
-	.B1(FE_OFN1539_n_8963),
+	.B1(n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [1]),
 	.C1(n_10292),
 	.Y(n_10393), 
@@ -85719,7 +82423,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340602 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [1]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [1]),
@@ -85730,7 +82434,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340603 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [1]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [1]),
@@ -85741,7 +82445,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340604 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [1]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [1]),
@@ -85752,7 +82456,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340605 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [2]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [2]),
@@ -85763,7 +82467,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340606 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [2]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [2]),
@@ -85774,7 +82478,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340607 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [2]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [2]),
@@ -85785,7 +82489,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340608 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [2]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [2]),
@@ -85796,7 +82500,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340609 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [3]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [3]),
@@ -85807,9 +82511,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340610 (
-	.A1(FE_OFN1556_n_8976),
+	.A1(FE_OFN1622_n_8976),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [3]),
-	.B1(FE_OFN1555_n_8975),
+	.B1(FE_OFN1621_n_8975),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [3]),
 	.C1(n_10287),
 	.Y(n_10384), 
@@ -85818,7 +82522,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340611 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [3]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [3]),
@@ -85829,7 +82533,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340612 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [3]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [3]),
@@ -85840,7 +82544,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340613 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [4]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [4]),
@@ -85851,7 +82555,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340614 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [4]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [4]),
@@ -85862,9 +82566,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340615 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [4]),
-	.B1(FE_OFN1552_n_8972),
+	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [4]),
 	.C1(n_10306),
 	.Y(n_10379), 
@@ -85873,7 +82577,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340616 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [4]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [4]),
@@ -85884,7 +82588,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340617 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [5]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [5]),
@@ -85895,7 +82599,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340618 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [5]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [5]),
@@ -85906,7 +82610,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340619 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [5]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [5]),
@@ -85917,7 +82621,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340620 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [5]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [5]),
@@ -85928,7 +82632,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340621 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [6]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [6]),
@@ -85939,7 +82643,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340622 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [6]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [6]),
@@ -85950,7 +82654,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340623 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [6]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [6]),
@@ -85961,7 +82665,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340624 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [6]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [6]),
@@ -85972,7 +82676,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340625 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [7]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [7]),
@@ -85983,9 +82687,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340626 (
-	.A1(FE_OFN1556_n_8976),
+	.A1(FE_OFN1622_n_8976),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [7]),
-	.B1(FE_OFN1555_n_8975),
+	.B1(FE_OFN1621_n_8975),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [7]),
 	.C1(n_10300),
 	.Y(n_10368), 
@@ -85994,7 +82698,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340627 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [7]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [7]),
@@ -86005,7 +82709,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340628 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [7]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [7]),
@@ -86016,7 +82720,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340629 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [8]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [8]),
@@ -86027,7 +82731,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340630 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [8]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [8]),
@@ -86038,7 +82742,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340631 (
-	.A1(n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [8]),
 	.B1(n_8961),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [8]),
@@ -86049,7 +82753,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340632 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [8]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [8]),
@@ -86060,9 +82764,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340633 (
-	.A1(n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [5]),
-	.B1(n_8963),
+	.B1(FE_OFN1608_n_8963),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [5]),
 	.C1(n_10279),
 	.Y(n_10361), 
@@ -86071,20 +82775,20 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340634 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [1]),
-	.B1(FE_OFN1552_n_8972),
+	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [1]),
-	.C1(n_10296),
+	.C1(FE_OFN1647_n_10296),
 	.Y(n_10360), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340635 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [1]),
-	.B1(FE_OFN1552_n_8972),
+	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [1]),
 	.C1(n_10295),
 	.Y(n_10359), 
@@ -86093,9 +82797,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340636 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [1]),
-	.B1(FE_OFN1552_n_8972),
+	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [1]),
 	.C1(n_10278),
 	.Y(n_10358), 
@@ -86104,9 +82808,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340637 (
-	.A1(FE_OFN1556_n_8976),
+	.A1(FE_OFN1622_n_8976),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [1]),
-	.B1(FE_OFN1555_n_8975),
+	.B1(FE_OFN1621_n_8975),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [1]),
 	.C1(n_10294),
 	.Y(n_10357), 
@@ -86116,9 +82820,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g340638 (
 	.A1(la_data_in[8]),
-	.A2(FE_OFN1574_n_10248),
+	.A2(FE_OFN1643_n_10248),
 	.B1(n_9950),
-	.B2(FE_OFN154_io_in_29),
+	.B2(FE_OFN18743_io_in_29),
 	.Y(n_10356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -86126,7 +82830,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g340639 (
 	.A(la_data_in[2]),
-	.B(FE_OFN1574_n_10248),
+	.B(FE_OFN1643_n_10248),
 	.Y(n_10355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -86134,7 +82838,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g340640 (
 	.A(la_data_in[3]),
-	.B(FE_OFN1574_n_10248),
+	.B(FE_OFN1643_n_10248),
 	.Y(n_10354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -86142,7 +82846,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g340641 (
 	.A(la_data_in[6]),
-	.B(FE_OFN1574_n_10248),
+	.B(FE_OFN1643_n_10248),
 	.Y(n_10353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -86150,7 +82854,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g340642 (
 	.A(la_data_in[9]),
-	.B(FE_OFN1574_n_10248),
+	.B(FE_OFN1643_n_10248),
 	.X(n_10352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -86158,7 +82862,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g340643 (
 	.A(la_data_in[11]),
-	.B(FE_OFN1574_n_10248),
+	.B(FE_OFN1643_n_10248),
 	.Y(n_10351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -86166,7 +82870,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g340644 (
 	.A(la_data_in[12]),
-	.B(FE_OFN1574_n_10248),
+	.B(FE_OFN1643_n_10248),
 	.Y(n_10350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -86174,7 +82878,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g340645 (
 	.A(la_data_in[13]),
-	.B(FE_OFN1574_n_10248),
+	.B(FE_OFN1643_n_10248),
 	.X(n_10349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -86182,7 +82886,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g340646 (
 	.A(la_data_in[14]),
-	.B(FE_OFN1574_n_10248),
+	.B(FE_OFN1643_n_10248),
 	.X(n_10348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -86190,25 +82894,25 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_0 g340647 (
 	.A(la_data_in[15]),
-	.B(FE_OFN1574_n_10248),
+	.B(FE_OFN1643_n_10248),
 	.X(n_10347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_2 g340651 (
+   sky130_fd_sc_hd__o2111ai_1 g340651 (
 	.A1(n_13336),
 	.A2(n_9354),
 	.B1(n_13882),
 	.C1(n_15902),
-	.D1(FE_OFN19541_n),
+	.D1(FE_OFN18234_n),
 	.Y(n_10343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g340652 (
-	.A1(FE_OFN19541_n),
+	.A1(FE_PSN3933_FE_OFN877_u_soc_lsu_to_xbar_a_address__31),
 	.A2(\u_soc_uart_to_xbar[d_valid] ),
 	.B1(n_15898),
 	.Y(n_10342), 
@@ -86216,31 +82920,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g340653 (
-	.A1(n_13528),
-	.A2(n_8868),
-	.B1(n_8934),
-	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [9]),
-	.C1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [9]),
-	.Y(n_10341), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 g340654 (
-	.A1(n_13318),
-	.A2(FE_PSN4854_n_10153),
-	.B1(n_8943),
-	.Y(n_10340), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g340655 (
 	.A1(n_8866),
 	.A2(n_9891),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9892),
 	.C1(n_10277),
 	.Y(n_10339), 
@@ -86248,30 +82931,29 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g340656 (
-	.A1(n_13527),
-	.A2(n_8868),
-	.B1(n_8934),
-	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
-	.C1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [8]),
-	.Y(n_10338), 
+   sky130_fd_sc_hd__a221o_1 g340658 (
+	.A1(n_8934),
+	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
+	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
+	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [10]),
+	.C1(n_10316),
+	.X(\u_soc_ifu_to_xbar[a_address] [10]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g340659 (
 	.A1(n_8987),
-	.A2(FE_OFN156_io_in_27),
-	.B1(FE_OFN1574_n_10248),
+	.A2(FE_OFN163_io_in_27),
+	.B1(FE_OFN1643_n_10248),
 	.Y(n_10346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_2 g340660 (
-	.A(FE_PSN4661_n_10330),
-	.B(FE_OFN18496_n),
+	.A(FE_PSN3918_n_10330),
+	.B(FE_PSN3933_FE_OFN877_u_soc_lsu_to_xbar_a_address__31),
 	.C(n_9349),
 	.Y(u_soc_dccm_adapter_data_mem_error_internal), 
 	.VPWR(vccd1), 
@@ -86314,9 +82996,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340669 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(n_8932),
 	.A2(n_9877),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_10063),
 	.Y(n_10327), 
 	.VPWR(vccd1), 
@@ -86324,9 +83006,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340671 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10008),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9819),
 	.Y(n_10326), 
 	.VPWR(vccd1), 
@@ -86334,9 +83016,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340672 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10009),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9820),
 	.Y(n_10325), 
 	.VPWR(vccd1), 
@@ -86344,9 +83026,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340673 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10013),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9824),
 	.Y(n_10324), 
 	.VPWR(vccd1), 
@@ -86354,9 +83036,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340674 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10014),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9825),
 	.Y(n_10323), 
 	.VPWR(vccd1), 
@@ -86364,9 +83046,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340675 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10016),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9827),
 	.Y(n_10322), 
 	.VPWR(vccd1), 
@@ -86374,9 +83056,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340676 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10018),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9829),
 	.Y(n_10321), 
 	.VPWR(vccd1), 
@@ -86384,9 +83066,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340677 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10019),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9830),
 	.Y(n_10320), 
 	.VPWR(vccd1), 
@@ -86394,9 +83076,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340678 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10021),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9832),
 	.Y(n_10319), 
 	.VPWR(vccd1), 
@@ -86404,9 +83086,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340679 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10022),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9833),
 	.Y(n_10318), 
 	.VPWR(vccd1), 
@@ -86414,9 +83096,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340680 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10023),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9834),
 	.Y(n_10317), 
 	.VPWR(vccd1), 
@@ -86424,7 +83106,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340681 (
-	.A(FE_OFN18443_n_13363),
+	.A(n_13363),
 	.B_N(n_13529),
 	.Y(n_10316), 
 	.VPWR(vccd1), 
@@ -86432,7 +83114,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340682 (
-	.A(FE_OFN18443_n_13363),
+	.A(n_13363),
 	.B_N(n_13530),
 	.Y(n_10315), 
 	.VPWR(vccd1), 
@@ -86440,9 +83122,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340683 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(n_8932),
 	.A2(n_10106),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_9916),
 	.Y(n_10314), 
 	.VPWR(vccd1), 
@@ -86450,9 +83132,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340684 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10104),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9914),
 	.Y(n_10313), 
 	.VPWR(vccd1), 
@@ -86460,9 +83142,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340685 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10098),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9909),
 	.Y(n_10312), 
 	.VPWR(vccd1), 
@@ -86470,9 +83152,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340686 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(n_8932),
 	.A2(n_10096),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_9906),
 	.Y(n_10311), 
 	.VPWR(vccd1), 
@@ -86480,9 +83162,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340687 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10090),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_9902),
 	.Y(n_10310), 
 	.VPWR(vccd1), 
@@ -86490,9 +83172,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340688 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10087),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9900),
 	.Y(n_10309), 
 	.VPWR(vccd1), 
@@ -86500,9 +83182,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340689 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(n_8932),
 	.A2(n_10085),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_9896),
 	.Y(n_10308), 
 	.VPWR(vccd1), 
@@ -86510,9 +83192,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340690 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10083),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_9895),
 	.Y(n_10307), 
 	.VPWR(vccd1), 
@@ -86520,9 +83202,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340691 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10080),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9897),
 	.Y(n_10306), 
 	.VPWR(vccd1), 
@@ -86530,9 +83212,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340692 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(n_8932),
 	.A2(n_10075),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9887),
 	.Y(n_10305), 
 	.VPWR(vccd1), 
@@ -86540,9 +83222,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340693 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(n_8932),
 	.A2(n_10072),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_9912),
 	.Y(n_10304), 
 	.VPWR(vccd1), 
@@ -86550,9 +83232,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340694 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10068),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9882),
 	.Y(n_10303), 
 	.VPWR(vccd1), 
@@ -86560,9 +83242,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340695 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10066),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9880),
 	.Y(n_10302), 
 	.VPWR(vccd1), 
@@ -86570,9 +83252,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340696 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10064),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9878),
 	.Y(n_10301), 
 	.VPWR(vccd1), 
@@ -86580,9 +83262,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340697 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10062),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9876),
 	.Y(n_10300), 
 	.VPWR(vccd1), 
@@ -86590,9 +83272,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340698 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10061),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_9875),
 	.Y(n_10299), 
 	.VPWR(vccd1), 
@@ -86600,9 +83282,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340699 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10060),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9874),
 	.Y(n_10298), 
 	.VPWR(vccd1), 
@@ -86610,9 +83292,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340700 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10059),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9873),
 	.Y(n_10297), 
 	.VPWR(vccd1), 
@@ -86620,9 +83302,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340701 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_9992),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9801),
 	.Y(n_10296), 
 	.VPWR(vccd1), 
@@ -86630,9 +83312,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340702 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_9991),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9800),
 	.Y(n_10295), 
 	.VPWR(vccd1), 
@@ -86640,9 +83322,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340703 (
-	.A1(n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_9989),
-	.B1(n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9798),
 	.Y(n_10294), 
 	.VPWR(vccd1), 
@@ -86650,9 +83332,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340704 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10024),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9835),
 	.Y(n_10293), 
 	.VPWR(vccd1), 
@@ -86660,9 +83342,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340705 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_10117),
-	.B1(n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9928),
 	.Y(n_10292), 
 	.VPWR(vccd1), 
@@ -86670,9 +83352,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340706 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(n_8932),
 	.A2(n_9924),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_10115),
 	.Y(n_10291), 
 	.VPWR(vccd1), 
@@ -86680,9 +83362,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340707 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_9921),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_10112),
 	.Y(n_10290), 
 	.VPWR(vccd1), 
@@ -86690,9 +83372,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340708 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_9918),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_10109),
 	.Y(n_10289), 
 	.VPWR(vccd1), 
@@ -86700,9 +83382,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340709 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_9911),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_10101),
 	.Y(n_10288), 
 	.VPWR(vccd1), 
@@ -86710,9 +83392,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340710 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_9904),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_10094),
 	.Y(n_10287), 
 	.VPWR(vccd1), 
@@ -86720,9 +83402,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340711 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_9889),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_10079),
 	.Y(n_10286), 
 	.VPWR(vccd1), 
@@ -86730,9 +83412,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340712 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_9883),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_10069),
 	.Y(n_10285), 
 	.VPWR(vccd1), 
@@ -86740,9 +83422,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340713 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(n_8932),
 	.A2(n_9881),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_10067),
 	.Y(n_10284), 
 	.VPWR(vccd1), 
@@ -86750,9 +83432,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340714 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_9879),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_10065),
 	.Y(n_10283), 
 	.VPWR(vccd1), 
@@ -86760,9 +83442,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340716 (
-	.A1(FE_OFN1044_n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_9872),
-	.B1(FE_OFN1025_n_8860),
+	.B1(n_8860),
 	.B2(n_10058),
 	.Y(n_10282), 
 	.VPWR(vccd1), 
@@ -86772,7 +83454,7 @@
    sky130_fd_sc_hd__o22ai_1 g340717 (
 	.A1(n_8853),
 	.A2(n_9871),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_10057),
 	.Y(n_10281), 
 	.VPWR(vccd1), 
@@ -86782,7 +83464,7 @@
    sky130_fd_sc_hd__o22ai_1 g340718 (
 	.A1(n_8853),
 	.A2(n_9870),
-	.B1(FE_OFN1025_n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_10056),
 	.Y(n_10280), 
 	.VPWR(vccd1), 
@@ -86790,9 +83472,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340719 (
-	.A1(n_8853),
+	.A1(FE_OFN1213_n_8853),
 	.A2(n_10037),
-	.B1(n_8932),
+	.B1(FE_OFN1230_n_8932),
 	.B2(n_9849),
 	.Y(n_10279), 
 	.VPWR(vccd1), 
@@ -86800,9 +83482,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340720 (
-	.A1(n_8932),
+	.A1(FE_OFN1230_n_8932),
 	.A2(n_9799),
-	.B1(n_8860),
+	.B1(FE_OFN1218_n_8860),
 	.B2(n_9990),
 	.Y(n_10278), 
 	.VPWR(vccd1), 
@@ -86810,9 +83492,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340721 (
-	.A1(FE_OFN1558_n_8978),
+	.A1(FE_OFN1624_n_8978),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [7]),
-	.B1(FE_OFN1561_n_8981),
+	.B1(FE_OFN1627_n_8981),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [7]),
 	.C1(n_10142),
 	.Y(n_10277), 
@@ -86821,9 +83503,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340722 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10129),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9938),
 	.Y(n_10276), 
 	.VPWR(vccd1), 
@@ -86831,9 +83513,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340723 (
-	.A1(n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10126),
-	.B1(n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9936),
 	.Y(n_10275), 
 	.VPWR(vccd1), 
@@ -86841,9 +83523,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340724 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_10124),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_9934),
 	.Y(n_10274), 
 	.VPWR(vccd1), 
@@ -86851,9 +83533,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340725 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_10120),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_9930),
 	.Y(n_10273), 
 	.VPWR(vccd1), 
@@ -86861,9 +83543,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340726 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_10119),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_9929),
 	.Y(n_10272), 
 	.VPWR(vccd1), 
@@ -86871,9 +83553,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340727 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10118),
-	.B1(n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9927),
 	.Y(n_10271), 
 	.VPWR(vccd1), 
@@ -86881,9 +83563,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340728 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_10116),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_9926),
 	.Y(n_10270), 
 	.VPWR(vccd1), 
@@ -86891,9 +83573,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340729 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_10114),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_9925),
 	.Y(n_10269), 
 	.VPWR(vccd1), 
@@ -86901,9 +83583,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340730 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10113),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9923),
 	.Y(n_10268), 
 	.VPWR(vccd1), 
@@ -86911,9 +83593,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340731 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10111),
-	.B1(n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9922),
 	.Y(n_10267), 
 	.VPWR(vccd1), 
@@ -86921,9 +83603,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340732 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10054),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9868),
 	.Y(n_10266), 
 	.VPWR(vccd1), 
@@ -86931,9 +83613,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340733 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10051),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9864),
 	.Y(n_10265), 
 	.VPWR(vccd1), 
@@ -86941,9 +83623,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340734 (
-	.A1(n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10049),
-	.B1(n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9862),
 	.Y(n_10264), 
 	.VPWR(vccd1), 
@@ -86951,9 +83633,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340735 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10048),
-	.B1(n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9861),
 	.Y(n_10263), 
 	.VPWR(vccd1), 
@@ -86961,9 +83643,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340736 (
-	.A1(n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10047),
-	.B1(n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9860),
 	.Y(n_10262), 
 	.VPWR(vccd1), 
@@ -86971,9 +83653,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340737 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10134),
-	.B1(n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9857),
 	.Y(n_10261), 
 	.VPWR(vccd1), 
@@ -86981,9 +83663,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340738 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10135),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9856),
 	.Y(n_10260), 
 	.VPWR(vccd1), 
@@ -86991,9 +83673,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340739 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10043),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9854),
 	.Y(n_10259), 
 	.VPWR(vccd1), 
@@ -87001,9 +83683,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340740 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10042),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9853),
 	.Y(n_10258), 
 	.VPWR(vccd1), 
@@ -87011,9 +83693,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340741 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10041),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9852),
 	.Y(n_10257), 
 	.VPWR(vccd1), 
@@ -87021,9 +83703,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340742 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10035),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9847),
 	.Y(n_10256), 
 	.VPWR(vccd1), 
@@ -87031,9 +83713,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340743 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10034),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9846),
 	.Y(n_10255), 
 	.VPWR(vccd1), 
@@ -87041,9 +83723,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340744 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10032),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9844),
 	.Y(n_10254), 
 	.VPWR(vccd1), 
@@ -87051,9 +83733,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340745 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10030),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9842),
 	.Y(n_10253), 
 	.VPWR(vccd1), 
@@ -87061,9 +83743,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340746 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10029),
-	.B1(n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9841),
 	.Y(n_10252), 
 	.VPWR(vccd1), 
@@ -87071,9 +83753,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340747 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10028),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9840),
 	.Y(n_10251), 
 	.VPWR(vccd1), 
@@ -87081,9 +83763,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340748 (
-	.A1(n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10026),
-	.B1(n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9838),
 	.Y(n_10250), 
 	.VPWR(vccd1), 
@@ -87091,9 +83773,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340749 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_10025),
-	.B1(n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9836),
 	.Y(n_10249), 
 	.VPWR(vccd1), 
@@ -87101,43 +83783,43 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or2_1 g340750 (
-	.A(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.A(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
 	.B(n_13479),
 	.X(n_13478), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 g340751 (
+   sky130_fd_sc_hd__a21boi_4 g340751 (
 	.A1(n_9025),
-	.A2(FE_PSN4117_n_9163),
+	.A2(FE_OFN1633_n_9163),
 	.B1_N(n_13385),
 	.Y(n_10337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 g340752 (
+   sky130_fd_sc_hd__a21boi_4 g340752 (
 	.A1(n_9113),
-	.A2(FE_OFN1566_n_9159),
+	.A2(FE_OFN1632_n_9159),
 	.B1_N(n_13384),
 	.Y(n_10336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 g340753 (
+   sky130_fd_sc_hd__a21boi_4 g340753 (
 	.A1(n_9280),
-	.A2(FE_OFN18350_n_9277),
+	.A2(FE_OFN1634_n_9277),
 	.B1_N(n_13385),
 	.Y(n_10335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 g340754 (
+   sky130_fd_sc_hd__a21boi_4 g340754 (
 	.A1(n_9299),
-	.A2(FE_OFN1569_n_9296),
+	.A2(FE_OFN1635_n_9296),
 	.B1_N(n_13384),
 	.Y(n_10334), 
 	.VPWR(vccd1), 
@@ -87154,7 +83836,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or2_2 g340756 (
-	.A(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.A(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2),
 	.B(n_13474),
 	.X(n_13483), 
 	.VPWR(vccd1), 
@@ -87162,7 +83844,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_4 g340758 (
-	.A(FE_COEN4697_FE_OFN18361_n),
+	.A(FE_OFN18379_u_soc_lsu_to_xbar_a_address__30),
 	.B(n_10148),
 	.Y(n_10330), 
 	.VPWR(vccd1), 
@@ -87186,9 +83868,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340761 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9793),
-	.B1(n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9985),
 	.Y(n_10247), 
 	.VPWR(vccd1), 
@@ -87196,9 +83878,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340762 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10001),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9810),
 	.Y(n_10246), 
 	.VPWR(vccd1), 
@@ -87206,9 +83888,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340763 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10000),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9809),
 	.Y(n_10245), 
 	.VPWR(vccd1), 
@@ -87216,9 +83898,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340764 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9997),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9806),
 	.Y(n_10244), 
 	.VPWR(vccd1), 
@@ -87226,9 +83908,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340765 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9996),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9805),
 	.Y(n_10243), 
 	.VPWR(vccd1), 
@@ -87236,9 +83918,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340766 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9994),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9803),
 	.Y(n_10242), 
 	.VPWR(vccd1), 
@@ -87246,9 +83928,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340767 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_9984),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_9792),
 	.Y(n_10241), 
 	.VPWR(vccd1), 
@@ -87256,9 +83938,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340768 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9983),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9791),
 	.Y(n_10240), 
 	.VPWR(vccd1), 
@@ -87266,9 +83948,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340769 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_9982),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_9790),
 	.Y(n_10239), 
 	.VPWR(vccd1), 
@@ -87276,9 +83958,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340770 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9981),
-	.B1(n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9789),
 	.Y(n_10238), 
 	.VPWR(vccd1), 
@@ -87286,9 +83968,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340771 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_9937),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_10128),
 	.Y(n_10237), 
 	.VPWR(vccd1), 
@@ -87296,9 +83978,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340772 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_9935),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_10125),
 	.Y(n_10236), 
 	.VPWR(vccd1), 
@@ -87306,9 +83988,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340773 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9933),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10123),
 	.Y(n_10235), 
 	.VPWR(vccd1), 
@@ -87316,9 +83998,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340774 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9932),
-	.B1(n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_10122),
 	.Y(n_10234), 
 	.VPWR(vccd1), 
@@ -87326,9 +84008,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340775 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9931),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10121),
 	.Y(n_10233), 
 	.VPWR(vccd1), 
@@ -87336,9 +84018,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340776 (
-	.A1(n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9869),
-	.B1(n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10055),
 	.Y(n_10232), 
 	.VPWR(vccd1), 
@@ -87346,9 +84028,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340777 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9867),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10053),
 	.Y(n_10231), 
 	.VPWR(vccd1), 
@@ -87356,9 +84038,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340778 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9866),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10052),
 	.Y(n_10230), 
 	.VPWR(vccd1), 
@@ -87366,9 +84048,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340779 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9863),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10050),
 	.Y(n_10229), 
 	.VPWR(vccd1), 
@@ -87376,9 +84058,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340780 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9859),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10046),
 	.Y(n_10228), 
 	.VPWR(vccd1), 
@@ -87386,9 +84068,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340781 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9858),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10133),
 	.Y(n_10227), 
 	.VPWR(vccd1), 
@@ -87396,9 +84078,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340782 (
-	.A1(n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9855),
-	.B1(n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10136),
 	.Y(n_10226), 
 	.VPWR(vccd1), 
@@ -87406,9 +84088,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340783 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9851),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10040),
 	.Y(n_10225), 
 	.VPWR(vccd1), 
@@ -87416,9 +84098,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340784 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9850),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10038),
 	.Y(n_10224), 
 	.VPWR(vccd1), 
@@ -87426,9 +84108,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340785 (
-	.A1(n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9848),
-	.B1(n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10036),
 	.Y(n_10223), 
 	.VPWR(vccd1), 
@@ -87436,9 +84118,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340786 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9845),
-	.B1(n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10033),
 	.Y(n_10222), 
 	.VPWR(vccd1), 
@@ -87446,9 +84128,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340787 (
-	.A1(n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9843),
-	.B1(n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10031),
 	.Y(n_10221), 
 	.VPWR(vccd1), 
@@ -87456,9 +84138,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340788 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9839),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_10027),
 	.Y(n_10220), 
 	.VPWR(vccd1), 
@@ -87466,9 +84148,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340789 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9831),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_10020),
 	.Y(n_10219), 
 	.VPWR(vccd1), 
@@ -87476,9 +84158,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340790 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9828),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_10017),
 	.Y(n_10218), 
 	.VPWR(vccd1), 
@@ -87486,9 +84168,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340791 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9826),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_10015),
 	.Y(n_10217), 
 	.VPWR(vccd1), 
@@ -87496,9 +84178,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340792 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9823),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_10012),
 	.Y(n_10216), 
 	.VPWR(vccd1), 
@@ -87506,9 +84188,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340793 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9822),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_10011),
 	.Y(n_10215), 
 	.VPWR(vccd1), 
@@ -87516,9 +84198,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340794 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9821),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_10010),
 	.Y(n_10214), 
 	.VPWR(vccd1), 
@@ -87526,9 +84208,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340795 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9817),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_10007),
 	.Y(n_10213), 
 	.VPWR(vccd1), 
@@ -87536,9 +84218,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340796 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9814),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_10005),
 	.Y(n_10212), 
 	.VPWR(vccd1), 
@@ -87546,9 +84228,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340797 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9813),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_10004),
 	.Y(n_10211), 
 	.VPWR(vccd1), 
@@ -87556,9 +84238,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340798 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9812),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_10003),
 	.Y(n_10210), 
 	.VPWR(vccd1), 
@@ -87566,9 +84248,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340799 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9811),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_10002),
 	.Y(n_10209), 
 	.VPWR(vccd1), 
@@ -87576,9 +84258,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340800 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9808),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9999),
 	.Y(n_10208), 
 	.VPWR(vccd1), 
@@ -87586,9 +84268,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340801 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9949),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9998),
 	.Y(n_10207), 
 	.VPWR(vccd1), 
@@ -87596,9 +84278,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340802 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9804),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9995),
 	.Y(n_10206), 
 	.VPWR(vccd1), 
@@ -87606,9 +84288,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340803 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9802),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9993),
 	.Y(n_10205), 
 	.VPWR(vccd1), 
@@ -87616,9 +84298,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340804 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_9797),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_9988),
 	.Y(n_10204), 
 	.VPWR(vccd1), 
@@ -87626,9 +84308,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340805 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_9796),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_9987),
 	.Y(n_10203), 
 	.VPWR(vccd1), 
@@ -87636,9 +84318,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340806 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9794),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9986),
 	.Y(n_10202), 
 	.VPWR(vccd1), 
@@ -87646,9 +84328,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340807 (
-	.A1(FE_OFN1022_n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_10006),
-	.B1(FE_OFN1020_n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9815),
 	.Y(n_10201), 
 	.VPWR(vccd1), 
@@ -87656,9 +84338,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340808 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_9788),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_9980),
 	.Y(n_10200), 
 	.VPWR(vccd1), 
@@ -87666,9 +84348,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340809 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_9786),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_9978),
 	.Y(n_10199), 
 	.VPWR(vccd1), 
@@ -87676,9 +84358,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340810 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(FE_OFN1215_n_8855),
 	.A2(n_9785),
-	.B1(FE_OFN1019_n_8853),
+	.B1(FE_OFN1213_n_8853),
 	.B2(n_9977),
 	.Y(n_10198), 
 	.VPWR(vccd1), 
@@ -87686,9 +84368,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340811 (
-	.A1(n_8855),
+	.A1(FE_OFN1214_n_8855),
 	.A2(n_9784),
-	.B1(n_8853),
+	.B1(FE_OFN1212_n_8853),
 	.B2(n_9976),
 	.Y(n_10197), 
 	.VPWR(vccd1), 
@@ -87696,9 +84378,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g340812 (
-	.A1(FE_OFN1021_n_8855),
+	.A1(n_8855),
 	.A2(n_9939),
-	.B1(FE_OFN1019_n_8853),
+	.B1(n_8853),
 	.B2(n_10132),
 	.Y(n_10196), 
 	.VPWR(vccd1), 
@@ -87708,7 +84390,7 @@
    sky130_fd_sc_hd__o22ai_1 g340813 (
 	.A1(n_8866),
 	.A2(n_10108),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9919),
 	.Y(n_10195), 
 	.VPWR(vccd1), 
@@ -87718,7 +84400,7 @@
    sky130_fd_sc_hd__o22ai_1 g340814 (
 	.A1(n_8866),
 	.A2(n_10105),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9915),
 	.Y(n_10194), 
 	.VPWR(vccd1), 
@@ -87728,7 +84410,7 @@
    sky130_fd_sc_hd__o22ai_1 g340815 (
 	.A1(n_8866),
 	.A2(n_10100),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9910),
 	.Y(n_10193), 
 	.VPWR(vccd1), 
@@ -87738,7 +84420,7 @@
    sky130_fd_sc_hd__o22ai_1 g340816 (
 	.A1(n_8866),
 	.A2(n_10093),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9818),
 	.Y(n_10192), 
 	.VPWR(vccd1), 
@@ -87748,7 +84430,7 @@
    sky130_fd_sc_hd__o22ai_1 g340817 (
 	.A1(n_8866),
 	.A2(n_10092),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9903),
 	.Y(n_10191), 
 	.VPWR(vccd1), 
@@ -87758,7 +84440,7 @@
    sky130_fd_sc_hd__o22ai_1 g340818 (
 	.A1(n_8866),
 	.A2(n_10089),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9901),
 	.Y(n_10190), 
 	.VPWR(vccd1), 
@@ -87768,7 +84450,7 @@
    sky130_fd_sc_hd__o22ai_1 g340819 (
 	.A1(n_8866),
 	.A2(n_10086),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9898),
 	.Y(n_10189), 
 	.VPWR(vccd1), 
@@ -87778,7 +84460,7 @@
    sky130_fd_sc_hd__o22ai_1 g340820 (
 	.A1(n_8866),
 	.A2(n_10082),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9893),
 	.Y(n_10188), 
 	.VPWR(vccd1), 
@@ -87788,7 +84470,7 @@
    sky130_fd_sc_hd__o22ai_1 g340821 (
 	.A1(n_8866),
 	.A2(n_10078),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9816),
 	.Y(n_10187), 
 	.VPWR(vccd1), 
@@ -87798,7 +84480,7 @@
    sky130_fd_sc_hd__o22ai_1 g340822 (
 	.A1(n_8866),
 	.A2(n_10077),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9888),
 	.Y(n_10186), 
 	.VPWR(vccd1), 
@@ -87808,7 +84490,7 @@
    sky130_fd_sc_hd__o22ai_1 g340823 (
 	.A1(n_8866),
 	.A2(n_10074),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9886),
 	.Y(n_10185), 
 	.VPWR(vccd1), 
@@ -87818,7 +84500,7 @@
    sky130_fd_sc_hd__o22ai_1 g340824 (
 	.A1(n_8866),
 	.A2(n_10071),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9884),
 	.Y(n_10184), 
 	.VPWR(vccd1), 
@@ -87828,7 +84510,7 @@
    sky130_fd_sc_hd__o22ai_1 g340825 (
 	.A1(n_8866),
 	.A2(n_9920),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10110),
 	.Y(n_10183), 
 	.VPWR(vccd1), 
@@ -87838,7 +84520,7 @@
    sky130_fd_sc_hd__o22ai_1 g340826 (
 	.A1(n_8866),
 	.A2(n_9917),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10107),
 	.Y(n_10182), 
 	.VPWR(vccd1), 
@@ -87848,7 +84530,7 @@
    sky130_fd_sc_hd__o22ai_1 g340827 (
 	.A1(n_8866),
 	.A2(n_9974),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10127),
 	.Y(n_10181), 
 	.VPWR(vccd1), 
@@ -87858,7 +84540,7 @@
    sky130_fd_sc_hd__o22ai_1 g340828 (
 	.A1(n_8866),
 	.A2(n_9795),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_9979),
 	.Y(n_10180), 
 	.VPWR(vccd1), 
@@ -87868,7 +84550,7 @@
    sky130_fd_sc_hd__o22ai_1 g340829 (
 	.A1(n_8866),
 	.A2(n_9913),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10103),
 	.Y(n_10179), 
 	.VPWR(vccd1), 
@@ -87878,7 +84560,7 @@
    sky130_fd_sc_hd__o22ai_1 g340830 (
 	.A1(n_8866),
 	.A2(n_9973),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10102),
 	.Y(n_10178), 
 	.VPWR(vccd1), 
@@ -87888,7 +84570,7 @@
    sky130_fd_sc_hd__o22ai_1 g340831 (
 	.A1(n_8866),
 	.A2(n_9908),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10099),
 	.Y(n_10177), 
 	.VPWR(vccd1), 
@@ -87898,7 +84580,7 @@
    sky130_fd_sc_hd__o22ai_1 g340832 (
 	.A1(n_8866),
 	.A2(n_9907),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10130),
 	.Y(n_10176), 
 	.VPWR(vccd1), 
@@ -87908,7 +84590,7 @@
    sky130_fd_sc_hd__o22ai_1 g340833 (
 	.A1(n_8866),
 	.A2(n_9967),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10097),
 	.Y(n_10175), 
 	.VPWR(vccd1), 
@@ -87918,7 +84600,7 @@
    sky130_fd_sc_hd__o22ai_1 g340834 (
 	.A1(n_8866),
 	.A2(n_9905),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10095),
 	.Y(n_10174), 
 	.VPWR(vccd1), 
@@ -87928,7 +84610,7 @@
    sky130_fd_sc_hd__o22ai_1 g340835 (
 	.A1(n_8866),
 	.A2(n_9972),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10091),
 	.Y(n_10173), 
 	.VPWR(vccd1), 
@@ -87938,7 +84620,7 @@
    sky130_fd_sc_hd__o22ai_1 g340836 (
 	.A1(n_8866),
 	.A2(n_9899),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10088),
 	.Y(n_10172), 
 	.VPWR(vccd1), 
@@ -87948,7 +84630,7 @@
    sky130_fd_sc_hd__o22ai_1 g340837 (
 	.A1(n_8866),
 	.A2(n_9971),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10131),
 	.Y(n_10171), 
 	.VPWR(vccd1), 
@@ -87958,7 +84640,7 @@
    sky130_fd_sc_hd__o22ai_1 g340838 (
 	.A1(n_8866),
 	.A2(n_9865),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10039),
 	.Y(n_10170), 
 	.VPWR(vccd1), 
@@ -87968,7 +84650,7 @@
    sky130_fd_sc_hd__o22ai_1 g340839 (
 	.A1(n_8866),
 	.A2(n_9894),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10084),
 	.Y(n_10169), 
 	.VPWR(vccd1), 
@@ -87978,7 +84660,7 @@
    sky130_fd_sc_hd__o22ai_1 g340840 (
 	.A1(n_8866),
 	.A2(n_9970),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10081),
 	.Y(n_10168), 
 	.VPWR(vccd1), 
@@ -87988,7 +84670,7 @@
    sky130_fd_sc_hd__o22ai_1 g340841 (
 	.A1(n_8866),
 	.A2(n_9969),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10076),
 	.Y(n_10167), 
 	.VPWR(vccd1), 
@@ -87998,7 +84680,7 @@
    sky130_fd_sc_hd__o22ai_1 g340842 (
 	.A1(n_8866),
 	.A2(n_9885),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10073),
 	.Y(n_10166), 
 	.VPWR(vccd1), 
@@ -88008,7 +84690,7 @@
    sky130_fd_sc_hd__o22ai_1 g340843 (
 	.A1(n_8866),
 	.A2(n_9975),
-	.B1(n_8933),
+	.B1(FE_OFN1231_n_8933),
 	.B2(n_10070),
 	.Y(n_10165), 
 	.VPWR(vccd1), 
@@ -88035,42 +84717,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g340849 (
-	.A1(n_13532),
-	.A2(n_8868),
-	.B1(n_8934),
-	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
-	.C1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [4]),
-	.Y(n_10159), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g340850 (
-	.A1(n_13349),
-	.A2(n_8868),
-	.B1(n_8934),
-	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [5]),
-	.C1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [5]),
-	.Y(n_10158), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g340851 (
-	.A1(n_13533),
-	.A2(n_8868),
-	.B1(n_8934),
-	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
-	.C1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [6]),
-	.Y(n_10157), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nor3b_1 g340852 (
 	.A(io_in[31]),
 	.B(io_in[30]),
@@ -88081,8 +84727,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o211ai_1 g340854 (
-	.A1(FE_COEN4295_u_soc_u_top_u_core_alu_operand_b_ex_3),
-	.A2(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.A1(FE_OFN18113_n_142),
+	.A2(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2),
 	.B1(n_8881),
 	.C1(n_9951),
 	.Y(n_10151), 
@@ -88090,7 +84736,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_4 g340855 (
+   sky130_fd_sc_hd__nand2b_1 g340855 (
 	.A_N(\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]),
 	.B(u_soc_prog_rst_ni),
 	.Y(n_10150), 
@@ -88100,7 +84746,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g340856 (
 	.A(n_640),
-	.B(FE_OFN1622_n_10044),
+	.B(FE_OFN1636_n_10044),
 	.Y(n_10156), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -88122,7 +84768,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g340859 (
+   sky130_fd_sc_hd__nand2_4 g340859 (
 	.A(n_9343),
 	.B(n_9959),
 	.Y(n_13530), 
@@ -88131,7 +84777,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or3_1 g340860 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.A(FE_OFN18101_n_577),
 	.B(n_9351),
 	.C(n_13480),
 	.X(n_13485), 
@@ -88139,7 +84785,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g340861 (
+   sky130_fd_sc_hd__nand2_2 g340861 (
 	.A(n_9344),
 	.B(n_9960),
 	.Y(n_13529), 
@@ -88149,7 +84795,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g340862 (
 	.A1(n_9010),
-	.A2(n_8862),
+	.A2(FE_OFN1601_n_8862),
 	.B1(n_8997),
 	.C1(n_13340),
 	.D1(n_9324),
@@ -88192,7 +84838,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g340868 (
 	.A1(n_8882),
-	.A2(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A2(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.B1(n_10045),
 	.Y(n_10144), 
 	.VPWR(vccd1), 
@@ -88200,10 +84846,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g340869 (
-	.A(FE_OFN18371_u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_1),
+	.A(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
 	.B(n_13461),
 	.C(n_8960),
-	.D(FE_OFN19543_u_soc_iccm_adapter_inst_mem_wmask_int_0__30),
+	.D(FE_PSN4030_u_soc_iccm_adapter_inst_mem_wmask_int_0_30),
 	.X(n_10143), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -88211,7 +84857,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g340870 (
 	.A1_N(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [7]),
-	.A2_N(FE_OFN1560_n_8980),
+	.A2_N(FE_OFN1626_n_8980),
 	.B1(n_8874),
 	.B2(n_9890),
 	.Y(n_10142), 
@@ -88220,10 +84866,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o32ai_1 g340871 (
-	.A1(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A1(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.A2(n_8986),
 	.A3(n_9351),
-	.B1(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.B1(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
 	.B2(n_9940),
 	.Y(n_10141), 
 	.VPWR(vccd1), 
@@ -88231,8 +84877,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g340872 (
-	.A(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
-	.B(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.A(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.B(FE_OFN18101_n_577),
 	.C(n_12),
 	.D(n_13473),
 	.X(n_10140), 
@@ -88241,8 +84887,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or3_1 g340874 (
-	.A(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
-	.B(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.A(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.B(FE_OFN18472_u_soc_u_top_u_core_alu_operand_b_ex_11),
 	.C(n_13481),
 	.X(n_13479), 
 	.VPWR(vccd1), 
@@ -88278,8 +84924,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or3_1 g340878 (
-	.A(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
-	.B(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.A(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.B(FE_OFN18472_u_soc_u_top_u_core_alu_operand_b_ex_11),
 	.C(n_9783),
 	.X(n_13474), 
 	.VPWR(vccd1), 
@@ -88323,9 +84969,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340883 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [4]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [4]),
 	.C1(n_9176),
 	.Y(n_10136), 
@@ -88334,9 +84980,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340884 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [4]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [4]),
 	.C1(n_9177),
 	.Y(n_10135), 
@@ -88345,9 +84991,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340885 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [3]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [3]),
 	.C1(n_9180),
 	.Y(n_10134), 
@@ -88356,9 +85002,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340886 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [3]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [3]),
 	.C1(n_9184),
 	.Y(n_10133), 
@@ -88367,9 +85013,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340887 (
-	.A1(FE_OFN1035_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [5]),
-	.B1(FE_OFN1017_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [5]),
 	.C1(n_9319),
 	.Y(n_10132), 
@@ -88378,7 +85024,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340888 (
-	.A1(n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [5]),
 	.B1_N(n_9762),
 	.Y(n_10131), 
@@ -88387,7 +85033,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340889 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [3]),
 	.B1_N(n_9771),
 	.Y(n_10130), 
@@ -88396,9 +85042,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340890 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [5]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [5]),
 	.C1(n_9315),
 	.Y(n_10129), 
@@ -88407,9 +85053,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340891 (
-	.A1(FE_OFN1035_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [5]),
-	.B1(FE_OFN1017_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [5]),
 	.C1(n_9314),
 	.Y(n_10128), 
@@ -88427,9 +85073,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340893 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [5]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [5]),
 	.C1(n_9312),
 	.Y(n_10126), 
@@ -88438,9 +85084,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340894 (
-	.A1(FE_OFN1035_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [6]),
-	.B1(FE_OFN1017_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [6]),
 	.C1(n_9311),
 	.Y(n_10125), 
@@ -88449,9 +85095,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340895 (
-	.A1(FE_OFN1035_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [6]),
-	.B1(FE_OFN1017_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [6]),
 	.C1(n_9308),
 	.Y(n_10124), 
@@ -88460,9 +85106,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340896 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1223_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [6]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1211_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [6]),
 	.C1(n_9307),
 	.Y(n_10123), 
@@ -88471,9 +85117,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340897 (
-	.A1(FE_OFN1032_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [6]),
-	.B1(FE_OFN1014_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [6]),
 	.C1(n_9305),
 	.Y(n_10122), 
@@ -88482,9 +85128,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340898 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1223_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [7]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1211_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [7]),
 	.C1(n_9303),
 	.Y(n_10121), 
@@ -88493,9 +85139,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340899 (
-	.A1(FE_OFN1035_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [7]),
-	.B1(FE_OFN1017_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [7]),
 	.C1(n_9300),
 	.Y(n_10120), 
@@ -88504,9 +85150,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340900 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [7]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [7]),
 	.C1(n_9297),
 	.Y(n_10119), 
@@ -88515,9 +85161,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340901 (
-	.A1(FE_OFN1032_n_8911),
+	.A1(FE_OFN1220_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [7]),
-	.B1(FE_OFN1014_n_8844),
+	.B1(FE_OFN1210_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [7]),
 	.C1(n_9293),
 	.Y(n_10118), 
@@ -88528,7 +85174,7 @@
    sky130_fd_sc_hd__a221oi_1 g340902 (
 	.A1(n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [1]),
-	.B1(n_8844),
+	.B1(FE_OFN1206_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [1]),
 	.C1(n_9292),
 	.Y(n_10117), 
@@ -88537,9 +85183,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340903 (
-	.A1(FE_OFN1035_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [8]),
-	.B1(FE_OFN1017_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [8]),
 	.C1(n_9274),
 	.Y(n_10116), 
@@ -88548,9 +85194,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340904 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [1]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [1]),
 	.C1(n_9289),
 	.Y(n_10115), 
@@ -88559,9 +85205,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340905 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [8]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [8]),
 	.C1(n_9288),
 	.Y(n_10114), 
@@ -88570,9 +85216,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340906 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1223_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [8]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1211_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [8]),
 	.C1(n_9285),
 	.Y(n_10113), 
@@ -88581,9 +85227,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340907 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [1]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [1]),
 	.C1(n_9282),
 	.Y(n_10112), 
@@ -88592,9 +85238,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340908 (
-	.A1(FE_OFN1032_n_8911),
+	.A1(FE_OFN1222_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [8]),
-	.B1(FE_OFN1014_n_8844),
+	.B1(FE_OFN1209_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [8]),
 	.C1(n_9283),
 	.Y(n_10111), 
@@ -88603,7 +85249,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340909 (
-	.A1(FE_OFN1023_n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [1]),
 	.B1_N(n_9781),
 	.Y(n_10110), 
@@ -88612,9 +85258,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340910 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [1]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [1]),
 	.C1(n_9276),
 	.Y(n_10109), 
@@ -88632,7 +85278,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340912 (
-	.A1(FE_OFN1023_n_8856),
+	.A1(n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [1]),
 	.B1_N(n_9779),
 	.Y(n_10107), 
@@ -88641,9 +85287,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340913 (
-	.A1(FE_OFN1034_n_8911),
+	.A1(n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [2]),
-	.B1(FE_OFN1015_n_8844),
+	.B1(n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [2]),
 	.C1(n_9273),
 	.Y(n_10106), 
@@ -88652,7 +85298,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340914 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [2]),
 	.B1_N(n_9777),
 	.Y(n_10105), 
@@ -88661,9 +85307,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340915 (
-	.A1(n_8911),
+	.A1(FE_OFN1219_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [2]),
-	.B1(n_8844),
+	.B1(FE_OFN1208_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [2]),
 	.C1(n_9269),
 	.Y(n_10104), 
@@ -88672,7 +85318,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340916 (
-	.A1(FE_OFN1023_n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [2]),
 	.B1_N(n_9775),
 	.Y(n_10103), 
@@ -88681,7 +85327,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340917 (
-	.A1(n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [2]),
 	.B1_N(n_9774),
 	.Y(n_10102), 
@@ -88690,9 +85336,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340918 (
-	.A1(FE_OFN1034_n_8911),
+	.A1(n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [2]),
-	.B1(FE_OFN1015_n_8844),
+	.B1(n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [2]),
 	.C1(n_9267),
 	.Y(n_10101), 
@@ -88701,7 +85347,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340919 (
-	.A1(FE_OFN1023_n_8856),
+	.A1(n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [3]),
 	.B1_N(n_9773),
 	.Y(n_10100), 
@@ -88710,7 +85356,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340920 (
-	.A1(FE_OFN881_n_831),
+	.A1(n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [3]),
 	.B1_N(n_9772),
 	.Y(n_10099), 
@@ -88719,9 +85365,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340921 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [2]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [2]),
 	.C1(n_9253),
 	.Y(n_10098), 
@@ -88730,7 +85376,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340922 (
-	.A1(n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [3]),
 	.B1_N(n_9770),
 	.Y(n_10097), 
@@ -88739,9 +85385,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340923 (
-	.A1(FE_OFN1034_n_8911),
+	.A1(n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [3]),
-	.B1(FE_OFN1015_n_8844),
+	.B1(n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [3]),
 	.C1(n_9260),
 	.Y(n_10096), 
@@ -88750,7 +85396,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340924 (
-	.A1(FE_OFN1023_n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [4]),
 	.B1_N(n_9769),
 	.Y(n_10095), 
@@ -88759,9 +85405,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340925 (
-	.A1(n_8911),
+	.A1(FE_OFN1219_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [3]),
-	.B1(n_8844),
+	.B1(FE_OFN1208_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [3]),
 	.C1(n_9258),
 	.Y(n_10094), 
@@ -88779,7 +85425,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340927 (
-	.A1(FE_OFN1023_n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [4]),
 	.B1_N(n_9767),
 	.Y(n_10092), 
@@ -88788,7 +85434,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340928 (
-	.A1(n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [4]),
 	.B1_N(n_9766),
 	.Y(n_10091), 
@@ -88797,9 +85443,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340929 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [3]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [3]),
 	.C1(n_9254),
 	.Y(n_10090), 
@@ -88808,7 +85454,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340930 (
-	.A1(FE_OFN1023_n_8856),
+	.A1(n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [5]),
 	.B1_N(n_9765),
 	.Y(n_10089), 
@@ -88826,9 +85472,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340932 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [3]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [3]),
 	.C1(n_9052),
 	.Y(n_10087), 
@@ -88837,7 +85483,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340933 (
-	.A1(FE_OFN1023_n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [5]),
 	.B1_N(n_9763),
 	.Y(n_10086), 
@@ -88846,9 +85492,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340934 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [4]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [4]),
 	.C1(n_9170),
 	.Y(n_10085), 
@@ -88857,7 +85503,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340935 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [6]),
 	.B1_N(n_9760),
 	.Y(n_10084), 
@@ -88866,9 +85512,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340936 (
-	.A1(FE_OFN1034_n_8911),
+	.A1(n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [4]),
-	.B1(FE_OFN1015_n_8844),
+	.B1(n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [4]),
 	.C1(n_9244),
 	.Y(n_10083), 
@@ -88877,7 +85523,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340937 (
-	.A1(FE_OFN1023_n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [6]),
 	.B1_N(n_9759),
 	.Y(n_10082), 
@@ -88886,7 +85532,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340938 (
-	.A1(n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [6]),
 	.B1_N(n_9758),
 	.Y(n_10081), 
@@ -88895,9 +85541,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340939 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [4]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [4]),
 	.C1(n_9241),
 	.Y(n_10080), 
@@ -88908,7 +85554,7 @@
    sky130_fd_sc_hd__a221oi_1 g340940 (
 	.A1(n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [4]),
-	.B1(n_8844),
+	.B1(FE_OFN1206_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [4]),
 	.C1(n_9238),
 	.Y(n_10079), 
@@ -88917,7 +85563,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340941 (
-	.A1(FE_OFN1023_n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [7]),
 	.B1_N(n_9757),
 	.Y(n_10078), 
@@ -88926,7 +85572,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340942 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [7]),
 	.B1_N(n_9756),
 	.Y(n_10077), 
@@ -88935,7 +85581,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340943 (
-	.A1(n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [7]),
 	.B1_N(n_9755),
 	.Y(n_10076), 
@@ -88944,9 +85590,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340944 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [5]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [5]),
 	.C1(n_9232),
 	.Y(n_10075), 
@@ -88955,7 +85601,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340945 (
-	.A1(FE_OFN1023_n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [8]),
 	.B1_N(n_9754),
 	.Y(n_10074), 
@@ -88964,7 +85610,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340946 (
-	.A1(FE_OFN881_n_831),
+	.A1(FE_OFN1082_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [8]),
 	.B1_N(n_9753),
 	.Y(n_10073), 
@@ -88973,9 +85619,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340947 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [5]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [5]),
 	.C1(n_9216),
 	.Y(n_10072), 
@@ -88984,7 +85630,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340948 (
-	.A1(FE_OFN1023_n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [8]),
 	.B1_N(n_9752),
 	.Y(n_10071), 
@@ -88993,7 +85639,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340949 (
-	.A1(n_8856),
+	.A1(FE_OFN1216_n_8856),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [8]),
 	.B1_N(n_9751),
 	.Y(n_10070), 
@@ -89002,9 +85648,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340950 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [5]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [5]),
 	.C1(n_9226),
 	.Y(n_10069), 
@@ -89013,9 +85659,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340951 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [5]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [5]),
 	.C1(n_9223),
 	.Y(n_10068), 
@@ -89024,9 +85670,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340952 (
-	.A1(FE_OFN1034_n_8911),
+	.A1(n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [6]),
-	.B1(FE_OFN1015_n_8844),
+	.B1(n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [6]),
 	.C1(n_9221),
 	.Y(n_10067), 
@@ -89035,9 +85681,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340953 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [6]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [6]),
 	.C1(n_9218),
 	.Y(n_10066), 
@@ -89046,9 +85692,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340954 (
-	.A1(FE_OFN1034_n_8911),
+	.A1(n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [6]),
-	.B1(FE_OFN1015_n_8844),
+	.B1(n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [6]),
 	.C1(n_9217),
 	.Y(n_10065), 
@@ -89057,9 +85703,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340955 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [6]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [6]),
 	.C1(n_9212),
 	.Y(n_10064), 
@@ -89068,9 +85714,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340956 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [7]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [7]),
 	.C1(n_9211),
 	.Y(n_10063), 
@@ -89079,9 +85725,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340957 (
-	.A1(n_8911),
+	.A1(FE_OFN1219_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [7]),
-	.B1(FE_OFN1015_n_8844),
+	.B1(FE_OFN1208_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [7]),
 	.C1(n_9208),
 	.Y(n_10062), 
@@ -89090,9 +85736,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340958 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [7]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [7]),
 	.C1(n_9205),
 	.Y(n_10061), 
@@ -89101,9 +85747,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340959 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [7]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [7]),
 	.C1(n_9203),
 	.Y(n_10060), 
@@ -89112,9 +85758,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340960 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [8]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [8]),
 	.C1(n_9199),
 	.Y(n_10059), 
@@ -89123,9 +85769,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340961 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [8]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [8]),
 	.C1(n_9198),
 	.Y(n_10058), 
@@ -89134,9 +85780,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340962 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [8]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [8]),
 	.C1(n_9196),
 	.Y(n_10057), 
@@ -89145,9 +85791,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340963 (
-	.A1(n_8911),
+	.A1(FE_OFN1220_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [8]),
-	.B1(n_8844),
+	.B1(FE_OFN1206_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [8]),
 	.C1(n_9193),
 	.Y(n_10056), 
@@ -89156,9 +85802,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340964 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [1]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [1]),
 	.C1(n_9261),
 	.Y(n_10055), 
@@ -89167,9 +85813,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340965 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [1]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [1]),
 	.C1(n_9328),
 	.Y(n_10054), 
@@ -89178,9 +85824,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340966 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [1]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [1]),
 	.C1(n_9329),
 	.Y(n_10053), 
@@ -89189,9 +85835,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340967 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [1]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [1]),
 	.C1(n_9333),
 	.Y(n_10052), 
@@ -89200,9 +85846,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340968 (
-	.A1(FE_OFN1036_n_8911),
+	.A1(FE_OFN1222_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [2]),
-	.B1(FE_OFN1018_n_8844),
+	.B1(FE_OFN1209_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [2]),
 	.C1(n_9336),
 	.Y(n_10051), 
@@ -89211,9 +85857,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340969 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [2]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [2]),
 	.C1(n_9338),
 	.Y(n_10050), 
@@ -89222,9 +85868,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340970 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [2]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [2]),
 	.C1(n_9346),
 	.Y(n_10049), 
@@ -89233,9 +85879,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340971 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [2]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [2]),
 	.C1(n_9102),
 	.Y(n_10048), 
@@ -89244,9 +85890,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340972 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [3]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [3]),
 	.C1(n_9188),
 	.Y(n_10047), 
@@ -89255,9 +85901,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340973 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [3]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [3]),
 	.C1(n_9186),
 	.Y(n_10046), 
@@ -89282,14 +85928,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g340976 (
-	.A(FE_OFN154_io_in_29),
+	.A(FE_OFN18743_io_in_29),
 	.B_N(n_9950),
 	.Y(n_10139), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 g340977 (
+   sky130_fd_sc_hd__nand2_8 g340977 (
 	.A(n_13362),
 	.B(n_8819),
 	.Y(\u_soc_iccm_adapter_inst_mem_wmask_int[0] [30]), 
@@ -89304,13 +85950,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g340979 (
-	.A(n_9957),
-	.Y(n_13533), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g340980 (
 	.A(n_9956),
 	.Y(n_13532), 
@@ -89325,17 +85964,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g340983 (
-	.A(n_9953),
-	.Y(n_13349), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340984 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1223_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [4]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1211_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [4]),
 	.C1(n_9172),
 	.Y(n_10043), 
@@ -89344,9 +85976,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340985 (
-	.A1(FE_OFN19495_FE_OFN1032_n_8911),
+	.A1(FE_OFN1223_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [4]),
-	.B1(FE_OFN1014_n_8844),
+	.B1(FE_OFN1211_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [4]),
 	.C1(n_9169),
 	.Y(n_10042), 
@@ -89355,9 +85987,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340986 (
-	.A1(FE_OFN1036_n_8911),
+	.A1(FE_OFN1222_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [5]),
-	.B1(FE_OFN1018_n_8844),
+	.B1(FE_OFN1209_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [5]),
 	.C1(n_9166),
 	.Y(n_10041), 
@@ -89366,9 +85998,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340987 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1223_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [5]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1211_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [5]),
 	.C1(n_9165),
 	.Y(n_10040), 
@@ -89377,7 +86009,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g340988 (
-	.A1(n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [6]),
 	.B1_N(n_9761),
 	.Y(n_10039), 
@@ -89386,9 +86018,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340989 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [5]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [5]),
 	.C1(n_9161),
 	.Y(n_10038), 
@@ -89397,9 +86029,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340990 (
-	.A1(FE_OFN1032_n_8911),
+	.A1(FE_OFN1219_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [5]),
-	.B1(FE_OFN1014_n_8844),
+	.B1(FE_OFN1208_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [5]),
 	.C1(n_9157),
 	.Y(n_10037), 
@@ -89408,9 +86040,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340991 (
-	.A1(FE_OFN1032_n_8911),
+	.A1(FE_OFN1219_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [6]),
-	.B1(FE_OFN1014_n_8844),
+	.B1(FE_OFN1208_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [6]),
 	.C1(n_9155),
 	.Y(n_10036), 
@@ -89419,9 +86051,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340992 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [6]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [6]),
 	.C1(n_9152),
 	.Y(n_10035), 
@@ -89430,9 +86062,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340993 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [6]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [6]),
 	.C1(n_9149),
 	.Y(n_10034), 
@@ -89441,9 +86073,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340994 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [6]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [6]),
 	.C1(n_9148),
 	.Y(n_10033), 
@@ -89452,9 +86084,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340995 (
-	.A1(FE_OFN1036_n_8911),
+	.A1(FE_OFN1222_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [7]),
-	.B1(FE_OFN1018_n_8844),
+	.B1(FE_OFN1209_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [7]),
 	.C1(n_9144),
 	.Y(n_10032), 
@@ -89463,9 +86095,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340996 (
-	.A1(FE_OFN1032_n_8911),
+	.A1(FE_OFN1219_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [7]),
-	.B1(FE_OFN1014_n_8844),
+	.B1(FE_OFN1208_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [7]),
 	.C1(n_9143),
 	.Y(n_10031), 
@@ -89474,9 +86106,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340997 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1223_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [7]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1211_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [7]),
 	.C1(n_9138),
 	.Y(n_10030), 
@@ -89485,9 +86117,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340998 (
-	.A1(FE_OFN19495_FE_OFN1032_n_8911),
+	.A1(FE_OFN1223_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [7]),
-	.B1(FE_OFN1014_n_8844),
+	.B1(FE_OFN1211_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [7]),
 	.C1(n_9135),
 	.Y(n_10029), 
@@ -89496,9 +86128,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g340999 (
-	.A1(FE_OFN1036_n_8911),
+	.A1(FE_OFN1222_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [8]),
-	.B1(FE_OFN1018_n_8844),
+	.B1(FE_OFN1209_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [8]),
 	.C1(n_9133),
 	.Y(n_10028), 
@@ -89507,9 +86139,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341000 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1223_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [8]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1211_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [8]),
 	.C1(n_9131),
 	.Y(n_10027), 
@@ -89518,9 +86150,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341001 (
-	.A1(FE_OFN1032_n_8911),
+	.A1(FE_OFN1219_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [8]),
-	.B1(FE_OFN1014_n_8844),
+	.B1(FE_OFN1208_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [8]),
 	.C1(n_9128),
 	.Y(n_10026), 
@@ -89529,9 +86161,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341002 (
-	.A1(FE_OFN19495_FE_OFN1032_n_8911),
+	.A1(FE_OFN1223_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [8]),
-	.B1(FE_OFN1014_n_8844),
+	.B1(FE_OFN1211_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [8]),
 	.C1(n_9125),
 	.Y(n_10025), 
@@ -89540,9 +86172,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341003 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [1]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [1]),
 	.C1(n_9123),
 	.Y(n_10024), 
@@ -89551,9 +86183,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341004 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [1]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [1]),
 	.C1(n_9120),
 	.Y(n_10023), 
@@ -89562,9 +86194,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341005 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [1]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [1]),
 	.C1(n_9118),
 	.Y(n_10022), 
@@ -89573,9 +86205,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341006 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [1]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [1]),
 	.C1(n_9115),
 	.Y(n_10021), 
@@ -89584,9 +86216,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341007 (
-	.A1(FE_OFN1036_n_8911),
+	.A1(FE_OFN1220_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [2]),
-	.B1(FE_OFN1018_n_8844),
+	.B1(FE_OFN1210_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [2]),
 	.C1(n_9114),
 	.Y(n_10020), 
@@ -89595,9 +86227,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341008 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1220_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [2]),
-	.B1(n_8844),
+	.B1(FE_OFN1206_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [2]),
 	.C1(n_9108),
 	.Y(n_10019), 
@@ -89606,9 +86238,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341009 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1223_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [2]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1211_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [2]),
 	.C1(n_9106),
 	.Y(n_10018), 
@@ -89617,9 +86249,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341010 (
-	.A1(FE_OFN1035_n_8911),
+	.A1(FE_OFN1220_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [2]),
-	.B1(FE_OFN1017_n_8844),
+	.B1(FE_OFN1206_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [2]),
 	.C1(n_9104),
 	.Y(n_10017), 
@@ -89628,9 +86260,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341011 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [3]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [3]),
 	.C1(n_9101),
 	.Y(n_10016), 
@@ -89639,9 +86271,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341012 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [3]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [3]),
 	.C1(n_9099),
 	.Y(n_10015), 
@@ -89650,9 +86282,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341013 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [3]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [3]),
 	.C1(n_9096),
 	.Y(n_10014), 
@@ -89661,9 +86293,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341014 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [3]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [3]),
 	.C1(n_9093),
 	.Y(n_10013), 
@@ -89672,9 +86304,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341015 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [4]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [4]),
 	.C1(n_9092),
 	.Y(n_10012), 
@@ -89683,9 +86315,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341016 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [4]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [4]),
 	.C1(n_9090),
 	.Y(n_10011), 
@@ -89694,9 +86326,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341017 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [4]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [4]),
 	.C1(n_9088),
 	.Y(n_10010), 
@@ -89705,9 +86337,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341018 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [4]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [4]),
 	.C1(n_9085),
 	.Y(n_10009), 
@@ -89716,9 +86348,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341019 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [5]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [5]),
 	.C1(n_9083),
 	.Y(n_10008), 
@@ -89727,9 +86359,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341020 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [5]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [5]),
 	.C1(n_9082),
 	.Y(n_10007), 
@@ -89738,9 +86370,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341021 (
-	.A1(FE_OFN1036_n_8911),
+	.A1(FE_OFN1222_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [5]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1209_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [5]),
 	.C1(n_9079),
 	.Y(n_10006), 
@@ -89749,9 +86381,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341022 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [5]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [5]),
 	.C1(n_9078),
 	.Y(n_10005), 
@@ -89760,9 +86392,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341023 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1222_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [6]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1209_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [6]),
 	.C1(n_9076),
 	.Y(n_10004), 
@@ -89771,9 +86403,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341024 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [6]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [6]),
 	.C1(n_9074),
 	.Y(n_10003), 
@@ -89782,9 +86414,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341025 (
-	.A1(FE_OFN1036_n_8911),
+	.A1(FE_OFN1220_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [6]),
-	.B1(FE_OFN1018_n_8844),
+	.B1(FE_OFN1210_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [6]),
 	.C1(n_9072),
 	.Y(n_10002), 
@@ -89793,9 +86425,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341026 (
-	.A1(n_8911),
+	.A1(FE_OFN1222_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [6]),
-	.B1(n_8844),
+	.B1(FE_OFN1209_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [6]),
 	.C1(n_9069),
 	.Y(n_10001), 
@@ -89804,9 +86436,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341027 (
-	.A1(FE_OFN1036_n_8911),
+	.A1(FE_OFN1220_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [7]),
-	.B1(FE_OFN1018_n_8844),
+	.B1(FE_OFN1210_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [7]),
 	.C1(n_9066),
 	.Y(n_10000), 
@@ -89815,9 +86447,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341028 (
-	.A1(FE_OFN1036_n_8911),
+	.A1(FE_OFN1222_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [7]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1209_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [7]),
 	.C1(n_9065),
 	.Y(n_9999), 
@@ -89826,9 +86458,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341029 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [7]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [7]),
 	.C1(n_9063),
 	.Y(n_9998), 
@@ -89837,9 +86469,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341030 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1220_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [7]),
-	.B1(n_8844),
+	.B1(FE_OFN1206_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [7]),
 	.C1(n_9059),
 	.Y(n_9997), 
@@ -89848,9 +86480,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341031 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [8]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [8]),
 	.C1(n_9057),
 	.Y(n_9996), 
@@ -89859,9 +86491,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341032 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [8]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [8]),
 	.C1(n_9056),
 	.Y(n_9995), 
@@ -89870,9 +86502,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341033 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [8]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [8]),
 	.C1(n_9053),
 	.Y(n_9994), 
@@ -89881,9 +86513,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341034 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [8]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [8]),
 	.C1(n_9051),
 	.Y(n_9993), 
@@ -89892,9 +86524,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341035 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [1]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [1]),
 	.C1(n_9048),
 	.Y(n_9992), 
@@ -89903,9 +86535,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341036 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [1]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [1]),
 	.C1(n_9046),
 	.Y(n_9991), 
@@ -89914,9 +86546,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341037 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [1]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [1]),
 	.C1(n_9045),
 	.Y(n_9990), 
@@ -89925,9 +86557,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341038 (
-	.A1(FE_OFN1032_n_8911),
+	.A1(FE_OFN1219_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [1]),
-	.B1(FE_OFN1014_n_8844),
+	.B1(FE_OFN1208_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [1]),
 	.C1(n_9042),
 	.Y(n_9989), 
@@ -89936,9 +86568,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341039 (
-	.A1(FE_OFN1035_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [2]),
-	.B1(FE_OFN1017_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [2]),
 	.C1(n_9041),
 	.Y(n_9988), 
@@ -89947,9 +86579,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341040 (
-	.A1(FE_OFN1035_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [2]),
-	.B1(FE_OFN1017_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [2]),
 	.C1(n_9039),
 	.Y(n_9987), 
@@ -89958,9 +86590,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341041 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [2]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [2]),
 	.C1(n_9037),
 	.Y(n_9986), 
@@ -89969,9 +86601,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341042 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [2]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [2]),
 	.C1(n_9035),
 	.Y(n_9985), 
@@ -89980,9 +86612,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341043 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [3]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [3]),
 	.C1(n_9032),
 	.Y(n_9984), 
@@ -89991,9 +86623,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341044 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [3]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [3]),
 	.C1(n_9029),
 	.Y(n_9983), 
@@ -90002,9 +86634,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341045 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [3]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [3]),
 	.C1(n_9027),
 	.Y(n_9982), 
@@ -90013,9 +86645,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341046 (
-	.A1(FE_OFN1032_n_8911),
+	.A1(FE_OFN1220_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [3]),
-	.B1(FE_OFN1014_n_8844),
+	.B1(FE_OFN1210_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [3]),
 	.C1(n_9024),
 	.Y(n_9981), 
@@ -90024,9 +86656,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341047 (
-	.A1(FE_OFN1035_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [4]),
-	.B1(FE_OFN1017_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [4]),
 	.C1(n_9023),
 	.Y(n_9980), 
@@ -90035,7 +86667,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_0 g341048 (
-	.A1(FE_OFN881_n_831),
+	.A1(n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [2]),
 	.B1_N(n_9776),
 	.Y(n_9979), 
@@ -90044,9 +86676,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341049 (
-	.A1(FE_OFN1035_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [4]),
-	.B1(FE_OFN1017_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [4]),
 	.C1(n_9021),
 	.Y(n_9978), 
@@ -90055,9 +86687,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341050 (
-	.A1(FE_OFN1033_n_8911),
+	.A1(FE_OFN1223_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [4]),
-	.B1(FE_OFN1016_n_8844),
+	.B1(FE_OFN1211_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [4]),
 	.C1(n_9019),
 	.Y(n_9977), 
@@ -90066,9 +86698,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341051 (
-	.A1(FE_OFN1032_n_8911),
+	.A1(FE_OFN1221_n_8911),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [4]),
-	.B1(FE_OFN1014_n_8844),
+	.B1(FE_OFN1207_n_8844),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [4]),
 	.C1(n_9017),
 	.Y(n_9976), 
@@ -90077,9 +86709,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341052 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [8]),
-	.B1(n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [8]),
 	.C1(n_9600),
 	.Y(n_9975), 
@@ -90088,7 +86720,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341053 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [1]),
 	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [1]),
@@ -90099,9 +86731,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341054 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [2]),
-	.B1(n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [2]),
 	.C1(n_9941),
 	.Y(n_9973), 
@@ -90110,9 +86742,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341055 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [4]),
-	.B1(n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [4]),
 	.C1(n_9651),
 	.Y(n_9972), 
@@ -90121,9 +86753,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341056 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [5]),
-	.B1(n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [5]),
 	.C1(n_9636),
 	.Y(n_9971), 
@@ -90132,9 +86764,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341057 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [6]),
-	.B1(n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [6]),
 	.C1(n_9623),
 	.Y(n_9970), 
@@ -90143,9 +86775,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341058 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [7]),
-	.B1(n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [7]),
 	.C1(n_9612),
 	.Y(n_9969), 
@@ -90154,8 +86786,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a2bb2oi_1 g341059 (
-	.A1_N(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
-	.A2_N(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.A1_N(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.A2_N(FE_OFN18101_n_577),
 	.B1(n_8954),
 	.B2(n_8881),
 	.Y(n_9968), 
@@ -90164,9 +86796,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341060 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [3]),
-	.B1(n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [3]),
 	.C1(n_9666),
 	.Y(n_9967), 
@@ -90176,7 +86808,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g341061 (
 	.A1(n_9331),
-	.A2(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.A2(u_soc_u_top_u_core_alu_adder_result_ex[1]),
 	.B1(n_9010),
 	.B2(n_8810),
 	.Y(n_9966), 
@@ -90203,7 +86835,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g341064 (
-	.A1(FE_OFN154_io_in_29),
+	.A1(FE_OFN18743_io_in_29),
 	.A2(n_8823),
 	.B1(n_9950),
 	.Y(n_9963), 
@@ -90213,7 +86845,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341065 (
 	.A1(u_soc_u_top_u_core_csr_mtvec[9]),
-	.A2(FE_OFN1106_n_13489),
+	.A2(FE_OFN1267_n_13489),
 	.B1(n_9007),
 	.B2(u_soc_u_top_u_core_csr_mepc[9]),
 	.C1(n_13534),
@@ -90225,7 +86857,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341066 (
 	.A1(u_soc_u_top_u_core_csr_mtvec[8]),
-	.A2(FE_OFN1106_n_13489),
+	.A2(FE_OFN1267_n_13489),
 	.B1(n_9007),
 	.B2(u_soc_u_top_u_core_csr_mepc[8]),
 	.C1(n_13534),
@@ -90237,7 +86869,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341067 (
 	.A1(u_soc_u_top_u_core_csr_mtvec[10]),
-	.A2(FE_OFN1106_n_13489),
+	.A2(FE_OFN1267_n_13489),
 	.B1(n_9007),
 	.B2(u_soc_u_top_u_core_csr_mepc[10]),
 	.C1(n_13534),
@@ -90249,7 +86881,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341068 (
 	.A1(u_soc_u_top_u_core_csr_mtvec[11]),
-	.A2(FE_OFN1106_n_13489),
+	.A2(FE_OFN1267_n_13489),
 	.B1(n_9007),
 	.B2(u_soc_u_top_u_core_csr_mepc[11]),
 	.C1(n_13534),
@@ -90275,7 +86907,7 @@
 	.B1(n_9007),
 	.B2(u_soc_u_top_u_core_csr_mepc[3]),
 	.C1(n_13745),
-	.C2(FE_OFN1045_n_8983),
+	.C2(FE_OFN1232_n_8983),
 	.Y(n_9958), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -90287,7 +86919,7 @@
 	.B1(n_9007),
 	.B2(u_soc_u_top_u_core_csr_mepc[6]),
 	.C1(n_13748),
-	.C2(FE_OFN1045_n_8983),
+	.C2(FE_OFN1232_n_8983),
 	.Y(n_9957), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -90299,7 +86931,7 @@
 	.B1(n_9007),
 	.B2(u_soc_u_top_u_core_csr_mepc[4]),
 	.C1(n_13746),
-	.C2(FE_OFN1045_n_8983),
+	.C2(FE_OFN1232_n_8983),
 	.Y(n_9956), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -90311,7 +86943,7 @@
 	.B1(n_9007),
 	.B2(u_soc_u_top_u_core_csr_mepc[2]),
 	.C1(n_13744),
-	.C2(FE_OFN1045_n_8983),
+	.C2(FE_OFN1232_n_8983),
 	.Y(n_9955), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -90323,7 +86955,7 @@
 	.B1(n_9007),
 	.B2(u_soc_u_top_u_core_csr_mepc[7]),
 	.C1(n_13749),
-	.C2(FE_OFN1045_n_8983),
+	.C2(FE_OFN1232_n_8983),
 	.Y(n_9954), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -90335,7 +86967,7 @@
 	.B1(n_9007),
 	.B2(u_soc_u_top_u_core_csr_mepc[5]),
 	.C1(n_13747),
-	.C2(FE_OFN1045_n_8983),
+	.C2(FE_OFN1232_n_8983),
 	.Y(n_9953), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -90352,7 +86984,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or3_1 g341077 (
-	.A(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.A(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
 	.B(n_633),
 	.C(n_13482),
 	.X(n_10045), 
@@ -90378,9 +87010,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341082 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [7]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [7]),
 	.C1(n_9062),
 	.Y(n_9949), 
@@ -90389,9 +87021,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341083 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [2]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [2]),
 	.Y(n_9948), 
 	.VPWR(vccd1), 
@@ -90399,9 +87031,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341084 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [3]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [3]),
 	.Y(n_9947), 
 	.VPWR(vccd1), 
@@ -90409,9 +87041,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341085 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [2]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [2]),
 	.Y(n_9946), 
 	.VPWR(vccd1), 
@@ -90421,7 +87053,7 @@
    sky130_fd_sc_hd__a22oi_1 g341086 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [2]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [2]),
 	.Y(n_9945), 
 	.VPWR(vccd1), 
@@ -90429,9 +87061,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341087 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [3]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [3]),
 	.Y(n_9944), 
 	.VPWR(vccd1), 
@@ -90439,9 +87071,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341088 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [5]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [5]),
 	.Y(n_9943), 
 	.VPWR(vccd1), 
@@ -90449,9 +87081,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341089 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [3]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [3]),
 	.Y(n_9942), 
 	.VPWR(vccd1), 
@@ -90461,7 +87093,7 @@
    sky130_fd_sc_hd__a22o_1 g341090 (
 	.A1(n_9008),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [2]),
-	.B1(n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [2]),
 	.X(n_9941), 
 	.VPWR(vccd1), 
@@ -90478,9 +87110,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341092 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [5]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [5]),
 	.C1(n_9317),
 	.Y(n_9939), 
@@ -90489,9 +87121,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341093 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [5]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [5]),
 	.C1(n_9316),
 	.Y(n_9938), 
@@ -90500,9 +87132,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341094 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [5]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [5]),
 	.C1(n_9348),
 	.Y(n_9937), 
@@ -90511,9 +87143,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341095 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [5]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [5]),
 	.C1(n_9313),
 	.Y(n_9936), 
@@ -90522,9 +87154,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341096 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [6]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [6]),
 	.C1(n_9310),
 	.Y(n_9935), 
@@ -90533,9 +87165,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341097 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [6]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [6]),
 	.C1(n_9309),
 	.Y(n_9934), 
@@ -90544,9 +87176,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341098 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [6]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [6]),
 	.C1(n_9306),
 	.Y(n_9933), 
@@ -90555,9 +87187,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341099 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [6]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [6]),
 	.C1(n_9304),
 	.Y(n_9932), 
@@ -90566,9 +87198,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341100 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [7]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [7]),
 	.C1(n_9302),
 	.Y(n_9931), 
@@ -90577,9 +87209,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341101 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [7]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [7]),
 	.C1(n_9301),
 	.Y(n_9930), 
@@ -90588,9 +87220,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341102 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [7]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [7]),
 	.C1(n_9298),
 	.Y(n_9929), 
@@ -90599,9 +87231,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341103 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [1]),
-	.B1(n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [1]),
 	.C1(n_9294),
 	.Y(n_9928), 
@@ -90610,9 +87242,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341104 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [7]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [7]),
 	.C1(n_9295),
 	.Y(n_9927), 
@@ -90621,9 +87253,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341105 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [8]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [8]),
 	.C1(n_9291),
 	.Y(n_9926), 
@@ -90632,9 +87264,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341106 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [8]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [8]),
 	.C1(n_9290),
 	.Y(n_9925), 
@@ -90643,9 +87275,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341107 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [1]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [1]),
 	.C1(n_9287),
 	.Y(n_9924), 
@@ -90654,9 +87286,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341108 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [8]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [8]),
 	.C1(n_9286),
 	.Y(n_9923), 
@@ -90665,9 +87297,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341109 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [8]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [8]),
 	.C1(n_9284),
 	.Y(n_9922), 
@@ -90676,9 +87308,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341110 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [1]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [1]),
 	.C1(n_9281),
 	.Y(n_9921), 
@@ -90689,7 +87321,7 @@
    sky130_fd_sc_hd__a221oi_1 g341111 (
 	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [1]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [1]),
 	.C1(n_9279),
 	.Y(n_9920), 
@@ -90698,9 +87330,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341112 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [1]),
-	.B1(n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [1]),
 	.C1(n_9278),
 	.Y(n_9919), 
@@ -90709,9 +87341,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341113 (
-	.A1(n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [1]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [1]),
 	.C1(n_9236),
 	.Y(n_9918), 
@@ -90722,7 +87354,7 @@
    sky130_fd_sc_hd__a221oi_1 g341114 (
 	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [1]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [1]),
 	.C1(n_9275),
 	.Y(n_9917), 
@@ -90731,9 +87363,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341115 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [2]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [2]),
 	.C1(n_9249),
 	.Y(n_9916), 
@@ -90744,7 +87376,7 @@
    sky130_fd_sc_hd__a221oi_1 g341116 (
 	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [2]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [2]),
 	.C1(n_9272),
 	.Y(n_9915), 
@@ -90753,9 +87385,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341117 (
-	.A1(n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [2]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [2]),
 	.C1(n_9270),
 	.Y(n_9914), 
@@ -90764,9 +87396,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341118 (
-	.A1(n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [2]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [2]),
 	.C1(n_9268),
 	.Y(n_9913), 
@@ -90775,9 +87407,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341119 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [5]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [5]),
 	.C1(n_9229),
 	.Y(n_9912), 
@@ -90786,9 +87418,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341120 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [2]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [2]),
 	.C1(n_9266),
 	.Y(n_9911), 
@@ -90799,7 +87431,7 @@
    sky130_fd_sc_hd__a221oi_1 g341121 (
 	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [3]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [3]),
 	.C1(n_9265),
 	.Y(n_9910), 
@@ -90808,7 +87440,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341122 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [2]),
 	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [2]),
@@ -90819,7 +87451,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341123 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [3]),
 	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [3]),
@@ -90830,9 +87462,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341124 (
-	.A1(n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [3]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [3]),
 	.C1(n_9031),
 	.Y(n_9907), 
@@ -90841,9 +87473,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341125 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [3]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [3]),
 	.C1(n_9318),
 	.Y(n_9906), 
@@ -90852,9 +87484,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341126 (
-	.A1(n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [4]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [4]),
 	.C1(n_9259),
 	.Y(n_9905), 
@@ -90863,9 +87495,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341127 (
-	.A1(n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [3]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [3]),
 	.C1(n_9257),
 	.Y(n_9904), 
@@ -90876,7 +87508,7 @@
    sky130_fd_sc_hd__a221oi_1 g341128 (
 	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [4]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [4]),
 	.C1(n_9256),
 	.Y(n_9903), 
@@ -90885,9 +87517,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341129 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [3]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [3]),
 	.C1(n_9235),
 	.Y(n_9902), 
@@ -90898,7 +87530,7 @@
    sky130_fd_sc_hd__a221oi_1 g341130 (
 	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [5]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [5]),
 	.C1(n_9255),
 	.Y(n_9901), 
@@ -90907,9 +87539,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341131 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [3]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [3]),
 	.C1(n_9250),
 	.Y(n_9900), 
@@ -90918,7 +87550,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341132 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [5]),
 	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [5]),
@@ -90929,9 +87561,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341133 (
-	.A1(n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [5]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [5]),
 	.C1(n_9247),
 	.Y(n_9898), 
@@ -90940,9 +87572,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341134 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [4]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [4]),
 	.C1(n_9264),
 	.Y(n_9897), 
@@ -90951,9 +87583,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341135 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [4]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [4]),
 	.C1(n_9061),
 	.Y(n_9896), 
@@ -90962,9 +87594,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341136 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [4]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [4]),
 	.C1(n_9139),
 	.Y(n_9895), 
@@ -90973,9 +87605,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341137 (
-	.A1(n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [6]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [6]),
 	.C1(n_9245),
 	.Y(n_9894), 
@@ -90984,9 +87616,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341138 (
-	.A1(n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [6]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [6]),
 	.C1(n_9243),
 	.Y(n_9893), 
@@ -90995,7 +87627,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341139 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [7]),
 	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [7]),
@@ -91006,7 +87638,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341140 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [7]),
 	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [7]),
@@ -91017,7 +87649,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341141 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [7]),
 	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [7]),
@@ -91028,7 +87660,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341142 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [4]),
 	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [4]),
@@ -91039,9 +87671,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341143 (
-	.A1(n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [7]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [7]),
 	.C1(n_9234),
 	.Y(n_9888), 
@@ -91050,9 +87682,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341144 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [5]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [5]),
 	.C1(n_9233),
 	.Y(n_9887), 
@@ -91061,9 +87693,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341145 (
-	.A1(n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [8]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [8]),
 	.C1(n_9230),
 	.Y(n_9886), 
@@ -91072,7 +87704,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341146 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [8]),
 	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [8]),
@@ -91083,9 +87715,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341147 (
-	.A1(n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [8]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [8]),
 	.C1(n_9227),
 	.Y(n_9884), 
@@ -91094,9 +87726,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341148 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [5]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [5]),
 	.C1(n_9225),
 	.Y(n_9883), 
@@ -91105,9 +87737,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341149 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [5]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [5]),
 	.C1(n_9224),
 	.Y(n_9882), 
@@ -91116,9 +87748,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341150 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [6]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [6]),
 	.C1(n_9220),
 	.Y(n_9881), 
@@ -91127,7 +87759,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341151 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [6]),
 	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [6]),
@@ -91138,9 +87770,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341152 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [6]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [6]),
 	.C1(n_9215),
 	.Y(n_9879), 
@@ -91149,7 +87781,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341153 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [6]),
 	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [6]),
@@ -91160,9 +87792,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341154 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [7]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [7]),
 	.C1(n_9210),
 	.Y(n_9877), 
@@ -91171,9 +87803,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341155 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [7]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [7]),
 	.C1(n_9209),
 	.Y(n_9876), 
@@ -91182,9 +87814,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341156 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [7]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [7]),
 	.C1(n_9206),
 	.Y(n_9875), 
@@ -91193,9 +87825,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341157 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [7]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [7]),
 	.C1(n_9204),
 	.Y(n_9874), 
@@ -91204,9 +87836,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341158 (
-	.A1(n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [8]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [8]),
 	.C1(n_9200),
 	.Y(n_9873), 
@@ -91215,9 +87847,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341159 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [8]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [8]),
 	.C1(n_9197),
 	.Y(n_9872), 
@@ -91226,9 +87858,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341160 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [8]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [8]),
 	.C1(n_9195),
 	.Y(n_9871), 
@@ -91237,9 +87869,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341161 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [8]),
-	.B1(n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [8]),
 	.C1(n_9192),
 	.Y(n_9870), 
@@ -91248,9 +87880,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341162 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [1]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [1]),
 	.C1(n_9320),
 	.Y(n_9869), 
@@ -91259,9 +87891,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341163 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [1]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [1]),
 	.C1(n_9327),
 	.Y(n_9868), 
@@ -91270,9 +87902,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341164 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [1]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [1]),
 	.C1(n_9330),
 	.Y(n_9867), 
@@ -91281,9 +87913,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341165 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [1]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [1]),
 	.C1(n_9334),
 	.Y(n_9866), 
@@ -91292,7 +87924,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341166 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [6]),
 	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [6]),
@@ -91303,9 +87935,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341167 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [2]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [2]),
 	.C1(n_9335),
 	.Y(n_9864), 
@@ -91314,9 +87946,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341168 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [2]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [2]),
 	.C1(n_9340),
 	.Y(n_9863), 
@@ -91325,9 +87957,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341169 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [2]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [2]),
 	.C1(n_9345),
 	.Y(n_9862), 
@@ -91336,9 +87968,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341170 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [2]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [2]),
 	.C1(n_9015),
 	.Y(n_9861), 
@@ -91347,9 +87979,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341171 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [3]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [3]),
 	.C1(n_9189),
 	.Y(n_9860), 
@@ -91358,9 +87990,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341172 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [3]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [3]),
 	.C1(n_9185),
 	.Y(n_9859), 
@@ -91369,9 +88001,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341173 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [3]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [3]),
 	.C1(n_9183),
 	.Y(n_9858), 
@@ -91380,9 +88012,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341174 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [3]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [3]),
 	.C1(n_9181),
 	.Y(n_9857), 
@@ -91391,9 +88023,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341175 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [4]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [4]),
 	.C1(n_9178),
 	.Y(n_9856), 
@@ -91402,9 +88034,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341176 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [4]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [4]),
 	.C1(n_9175),
 	.Y(n_9855), 
@@ -91413,9 +88045,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341177 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [4]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [4]),
 	.C1(n_9173),
 	.Y(n_9854), 
@@ -91424,9 +88056,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341178 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [4]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [4]),
 	.C1(n_9171),
 	.Y(n_9853), 
@@ -91435,9 +88067,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341179 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [5]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [5]),
 	.C1(n_9167),
 	.Y(n_9852), 
@@ -91446,9 +88078,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341180 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [5]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [5]),
 	.C1(n_9164),
 	.Y(n_9851), 
@@ -91457,9 +88089,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341181 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [5]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [5]),
 	.C1(n_9160),
 	.Y(n_9850), 
@@ -91468,9 +88100,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341182 (
-	.A1(n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [5]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [5]),
 	.C1(n_9158),
 	.Y(n_9849), 
@@ -91479,9 +88111,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341183 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [6]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [6]),
 	.C1(n_9154),
 	.Y(n_9848), 
@@ -91490,9 +88122,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341184 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [6]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [6]),
 	.C1(n_9153),
 	.Y(n_9847), 
@@ -91501,9 +88133,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341185 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [6]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [6]),
 	.C1(n_9150),
 	.Y(n_9846), 
@@ -91512,9 +88144,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341186 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [6]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [6]),
 	.C1(n_9147),
 	.Y(n_9845), 
@@ -91523,9 +88155,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341187 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [7]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [7]),
 	.C1(n_9145),
 	.Y(n_9844), 
@@ -91534,9 +88166,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341188 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [7]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [7]),
 	.C1(n_9141),
 	.Y(n_9843), 
@@ -91545,9 +88177,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341189 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [7]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [7]),
 	.C1(n_9140),
 	.Y(n_9842), 
@@ -91556,9 +88188,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341190 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [7]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [7]),
 	.C1(n_9136),
 	.Y(n_9841), 
@@ -91567,9 +88199,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341191 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [8]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [8]),
 	.C1(n_9134),
 	.Y(n_9840), 
@@ -91578,9 +88210,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341192 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [8]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [8]),
 	.C1(n_9130),
 	.Y(n_9839), 
@@ -91589,9 +88221,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341193 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [8]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [8]),
 	.C1(n_9129),
 	.Y(n_9838), 
@@ -91609,9 +88241,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341195 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [8]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [8]),
 	.C1(n_9126),
 	.Y(n_9836), 
@@ -91620,9 +88252,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341196 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [1]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [1]),
 	.C1(n_9124),
 	.Y(n_9835), 
@@ -91631,9 +88263,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341197 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [1]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [1]),
 	.C1(n_9122),
 	.Y(n_9834), 
@@ -91642,9 +88274,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341198 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [1]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [1]),
 	.C1(n_9119),
 	.Y(n_9833), 
@@ -91653,9 +88285,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341199 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [1]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [1]),
 	.C1(n_9116),
 	.Y(n_9832), 
@@ -91664,9 +88296,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341200 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [2]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [2]),
 	.C1(n_9112),
 	.Y(n_9831), 
@@ -91675,9 +88307,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341201 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [2]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [2]),
 	.C1(n_9109),
 	.Y(n_9830), 
@@ -91686,9 +88318,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341202 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [2]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [2]),
 	.C1(n_9107),
 	.Y(n_9829), 
@@ -91697,9 +88329,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341203 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [2]),
-	.B1(n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [2]),
 	.C1(n_9103),
 	.Y(n_9828), 
@@ -91708,9 +88340,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341204 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [3]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [3]),
 	.C1(n_9190),
 	.Y(n_9827), 
@@ -91719,9 +88351,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341205 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [3]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [3]),
 	.C1(n_9098),
 	.Y(n_9826), 
@@ -91730,9 +88362,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341206 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [3]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [3]),
 	.C1(n_9097),
 	.Y(n_9825), 
@@ -91741,9 +88373,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341207 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [3]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [3]),
 	.C1(n_9094),
 	.Y(n_9824), 
@@ -91752,9 +88384,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341208 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [4]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [4]),
 	.C1(n_9091),
 	.Y(n_9823), 
@@ -91763,9 +88395,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341209 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [4]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [4]),
 	.C1(n_9089),
 	.Y(n_9822), 
@@ -91774,9 +88406,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341210 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [4]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [4]),
 	.C1(n_9087),
 	.Y(n_9821), 
@@ -91785,9 +88417,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341211 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [4]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [4]),
 	.C1(n_9086),
 	.Y(n_9820), 
@@ -91796,9 +88428,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341212 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [5]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [5]),
 	.C1(n_9084),
 	.Y(n_9819), 
@@ -91807,9 +88439,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341213 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [4]),
-	.B1(n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [4]),
 	.C1(n_9111),
 	.Y(n_9818), 
@@ -91818,9 +88450,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341214 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [5]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [5]),
 	.C1(n_9081),
 	.Y(n_9817), 
@@ -91829,9 +88461,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341215 (
-	.A1(n_8857),
+	.A1(FE_OFN1217_n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [7]),
-	.B1(FE_OFN1023_n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [7]),
 	.C1(n_9239),
 	.Y(n_9816), 
@@ -91840,9 +88472,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341216 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [5]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [5]),
 	.C1(n_9080),
 	.Y(n_9815), 
@@ -91851,9 +88483,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341217 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [5]),
-	.B1(n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [5]),
 	.C1(n_9077),
 	.Y(n_9814), 
@@ -91862,9 +88494,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341218 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [6]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [6]),
 	.C1(n_9075),
 	.Y(n_9813), 
@@ -91873,9 +88505,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341219 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [6]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [6]),
 	.C1(n_9073),
 	.Y(n_9812), 
@@ -91884,9 +88516,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341220 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [6]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [6]),
 	.C1(n_9071),
 	.Y(n_9811), 
@@ -91895,9 +88527,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341221 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [6]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [6]),
 	.C1(n_9070),
 	.Y(n_9810), 
@@ -91906,9 +88538,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341222 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [7]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [7]),
 	.C1(n_9067),
 	.Y(n_9809), 
@@ -91917,9 +88549,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341223 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [7]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [7]),
 	.C1(n_9064),
 	.Y(n_9808), 
@@ -91928,9 +88560,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341224 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [2]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [2]),
 	.Y(n_9807), 
 	.VPWR(vccd1), 
@@ -91938,9 +88570,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341225 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [7]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [7]),
 	.C1(n_9060),
 	.Y(n_9806), 
@@ -91949,9 +88581,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341226 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [8]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [8]),
 	.C1(n_9058),
 	.Y(n_9805), 
@@ -91960,9 +88592,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341227 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [8]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [8]),
 	.C1(n_9055),
 	.Y(n_9804), 
@@ -91971,9 +88603,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341228 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [8]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [8]),
 	.C1(n_9054),
 	.Y(n_9803), 
@@ -91982,9 +88614,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341229 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [8]),
-	.B1(n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [8]),
 	.C1(n_9050),
 	.Y(n_9802), 
@@ -91993,9 +88625,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341230 (
-	.A1(n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [1]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [1]),
 	.C1(n_9049),
 	.Y(n_9801), 
@@ -92004,9 +88636,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341231 (
-	.A1(n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [1]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [1]),
 	.C1(n_9047),
 	.Y(n_9800), 
@@ -92015,9 +88647,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341232 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [1]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [1]),
 	.C1(n_9044),
 	.Y(n_9799), 
@@ -92026,9 +88658,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341233 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [1]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [1]),
 	.C1(n_9043),
 	.Y(n_9798), 
@@ -92037,9 +88669,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341234 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [2]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [2]),
 	.C1(n_9040),
 	.Y(n_9797), 
@@ -92048,9 +88680,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341235 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [2]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [2]),
 	.C1(n_9038),
 	.Y(n_9796), 
@@ -92059,7 +88691,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341236 (
-	.A1(FE_OFN1024_n_8857),
+	.A1(n_8857),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [2]),
 	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [2]),
@@ -92070,9 +88702,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341237 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [2]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [2]),
 	.C1(n_9036),
 	.Y(n_9794), 
@@ -92081,9 +88713,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341238 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [2]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [2]),
 	.C1(n_9034),
 	.Y(n_9793), 
@@ -92092,9 +88724,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341239 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [3]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [3]),
 	.C1(n_9033),
 	.Y(n_9792), 
@@ -92103,9 +88735,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341240 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [3]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [3]),
 	.C1(n_9030),
 	.Y(n_9791), 
@@ -92114,9 +88746,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341241 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [3]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [3]),
 	.C1(n_9028),
 	.Y(n_9790), 
@@ -92125,9 +88757,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341242 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [3]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [3]),
 	.C1(n_9026),
 	.Y(n_9789), 
@@ -92136,9 +88768,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341243 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [4]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [4]),
 	.C1(n_9022),
 	.Y(n_9788), 
@@ -92147,8 +88779,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g341244 (
-	.A1(FE_OFN18959_io_in_28),
-	.A2(FE_OFN154_io_in_29),
+	.A1(FE_OFN18750_io_in_28),
+	.A2(FE_OFN18743_io_in_29),
 	.B1(n_9350),
 	.Y(n_9787), 
 	.VPWR(vccd1), 
@@ -92156,9 +88788,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341245 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [4]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [4]),
 	.C1(n_9020),
 	.Y(n_9786), 
@@ -92167,9 +88799,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341246 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [4]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [4]),
 	.C1(n_9018),
 	.Y(n_9785), 
@@ -92178,9 +88810,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g341247 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [4]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [4]),
 	.C1(n_9016),
 	.Y(n_9784), 
@@ -92209,10 +88841,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341250 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [1]),
-	.A2(FE_OFN880_n_831),
-	.B1(FE_OFN879_n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [1]),
-	.C1(n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [1]),
 	.Y(n_9781), 
 	.VPWR(vccd1), 
@@ -92221,10 +88853,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341251 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [1]),
-	.A2(n_831),
+	.A2(FE_OFN1082_n_831),
 	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [1]),
-	.C1(FE_OFN1024_n_8857),
+	.C1(n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [1]),
 	.Y(n_9780), 
 	.VPWR(vccd1), 
@@ -92233,8 +88865,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341252 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [1]),
-	.A2(FE_OFN880_n_831),
-	.B1(FE_OFN879_n_690),
+	.A2(n_831),
+	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [1]),
 	.C1(n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [1]),
@@ -92248,7 +88880,7 @@
 	.A2(n_831),
 	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [1]),
-	.C1(FE_OFN1024_n_8857),
+	.C1(n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [1]),
 	.Y(n_9778), 
 	.VPWR(vccd1), 
@@ -92258,9 +88890,9 @@
    sky130_fd_sc_hd__a222oi_1 g341254 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [2]),
 	.A2(n_8857),
-	.B1(FE_OFN1023_n_8856),
+	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [2]),
-	.C1(FE_OFN879_n_690),
+	.C1(n_690),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [2]),
 	.Y(n_9777), 
 	.VPWR(vccd1), 
@@ -92269,7 +88901,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341255 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [2]),
-	.A2(FE_OFN1024_n_8857),
+	.A2(n_8857),
 	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [2]),
 	.C1(n_690),
@@ -92281,10 +88913,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341256 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [2]),
-	.A2(FE_OFN880_n_831),
-	.B1(FE_OFN879_n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [2]),
-	.C1(n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [2]),
 	.Y(n_9775), 
 	.VPWR(vccd1), 
@@ -92293,10 +88925,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341257 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [2]),
-	.A2(FE_OFN881_n_831),
-	.B1(n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [2]),
-	.C1(FE_OFN1024_n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [2]),
 	.Y(n_9774), 
 	.VPWR(vccd1), 
@@ -92305,8 +88937,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341258 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [3]),
-	.A2(FE_OFN880_n_831),
-	.B1(FE_OFN879_n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [3]),
 	.C1(n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [3]),
@@ -92317,7 +88949,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341259 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [3]),
-	.A2(FE_OFN1024_n_8857),
+	.A2(n_8857),
 	.B1(n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [3]),
 	.C1(n_690),
@@ -92329,10 +88961,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341260 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [3]),
-	.A2(n_8857),
-	.B1(FE_OFN1023_n_8856),
+	.A2(FE_OFN1217_n_8857),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [3]),
-	.C1(FE_OFN879_n_690),
+	.C1(FE_OFN1081_n_690),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [3]),
 	.Y(n_9771), 
 	.VPWR(vccd1), 
@@ -92341,10 +88973,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341261 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [3]),
-	.A2(FE_OFN881_n_831),
-	.B1(n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [3]),
-	.C1(FE_OFN1024_n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [3]),
 	.Y(n_9770), 
 	.VPWR(vccd1), 
@@ -92353,10 +88985,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341262 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [4]),
-	.A2(FE_OFN880_n_831),
-	.B1(FE_OFN879_n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [4]),
-	.C1(n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [4]),
 	.Y(n_9769), 
 	.VPWR(vccd1), 
@@ -92365,10 +88997,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341263 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [4]),
-	.A2(n_831),
+	.A2(FE_OFN1082_n_831),
 	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [4]),
-	.C1(FE_OFN1024_n_8857),
+	.C1(n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [4]),
 	.Y(n_9768), 
 	.VPWR(vccd1), 
@@ -92377,8 +89009,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341264 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [4]),
-	.A2(FE_OFN880_n_831),
-	.B1(FE_OFN879_n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [4]),
 	.C1(n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [4]),
@@ -92389,10 +89021,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341265 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [4]),
-	.A2(n_831),
-	.B1(n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [4]),
-	.C1(FE_OFN1024_n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [4]),
 	.Y(n_9766), 
 	.VPWR(vccd1), 
@@ -92401,8 +89033,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341266 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [5]),
-	.A2(FE_OFN880_n_831),
-	.B1(FE_OFN879_n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [5]),
 	.C1(n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [5]),
@@ -92413,10 +89045,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341267 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [5]),
-	.A2(FE_OFN881_n_831),
+	.A2(n_831),
 	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [5]),
-	.C1(FE_OFN1024_n_8857),
+	.C1(n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [5]),
 	.Y(n_9764), 
 	.VPWR(vccd1), 
@@ -92425,10 +89057,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341268 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [5]),
-	.A2(FE_OFN880_n_831),
-	.B1(FE_OFN879_n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [5]),
-	.C1(n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [5]),
 	.Y(n_9763), 
 	.VPWR(vccd1), 
@@ -92437,10 +89069,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341269 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [5]),
-	.A2(FE_OFN881_n_831),
-	.B1(n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [5]),
-	.C1(FE_OFN1024_n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [5]),
 	.Y(n_9762), 
 	.VPWR(vccd1), 
@@ -92449,10 +89081,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341270 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [6]),
-	.A2(FE_OFN1024_n_8857),
-	.B1(n_8856),
+	.A2(FE_OFN1217_n_8857),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [6]),
-	.C1(n_690),
+	.C1(FE_OFN1081_n_690),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [6]),
 	.Y(n_9761), 
 	.VPWR(vccd1), 
@@ -92461,10 +89093,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341271 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [6]),
-	.A2(n_8857),
-	.B1(FE_OFN1023_n_8856),
+	.A2(FE_OFN1217_n_8857),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [6]),
-	.C1(FE_OFN879_n_690),
+	.C1(FE_OFN1081_n_690),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [6]),
 	.Y(n_9760), 
 	.VPWR(vccd1), 
@@ -92473,10 +89105,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341272 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [6]),
-	.A2(FE_OFN880_n_831),
-	.B1(FE_OFN879_n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [6]),
-	.C1(n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [6]),
 	.Y(n_9759), 
 	.VPWR(vccd1), 
@@ -92485,10 +89117,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341273 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [6]),
-	.A2(FE_OFN881_n_831),
-	.B1(n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [6]),
-	.C1(FE_OFN1024_n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [6]),
 	.Y(n_9758), 
 	.VPWR(vccd1), 
@@ -92497,10 +89129,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341274 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [7]),
-	.A2(FE_OFN880_n_831),
-	.B1(FE_OFN879_n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [7]),
-	.C1(n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [7]),
 	.Y(n_9757), 
 	.VPWR(vccd1), 
@@ -92510,9 +89142,9 @@
    sky130_fd_sc_hd__a222oi_1 g341275 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [7]),
 	.A2(n_8857),
-	.B1(FE_OFN1023_n_8856),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [7]),
-	.C1(FE_OFN879_n_690),
+	.C1(FE_OFN1081_n_690),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [7]),
 	.Y(n_9756), 
 	.VPWR(vccd1), 
@@ -92521,10 +89153,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341276 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [7]),
-	.A2(FE_OFN881_n_831),
-	.B1(n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [7]),
-	.C1(FE_OFN1024_n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [7]),
 	.Y(n_9755), 
 	.VPWR(vccd1), 
@@ -92533,10 +89165,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341277 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [8]),
-	.A2(FE_OFN880_n_831),
-	.B1(FE_OFN879_n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [8]),
-	.C1(n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [8]),
 	.Y(n_9754), 
 	.VPWR(vccd1), 
@@ -92545,8 +89177,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341278 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [8]),
-	.A2(FE_OFN1024_n_8857),
-	.B1(n_8856),
+	.A2(FE_OFN1217_n_8857),
+	.B1(FE_OFN1216_n_8856),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [8]),
 	.C1(n_690),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [8]),
@@ -92557,8 +89189,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341279 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [8]),
-	.A2(FE_OFN880_n_831),
-	.B1(FE_OFN879_n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [8]),
 	.C1(n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [8]),
@@ -92569,10 +89201,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g341280 (
 	.A1(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [8]),
-	.A2(FE_OFN881_n_831),
-	.B1(n_690),
+	.A2(FE_OFN1083_n_831),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [8]),
-	.C1(FE_OFN1024_n_8857),
+	.C1(FE_OFN1217_n_8857),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [8]),
 	.Y(n_9751), 
 	.VPWR(vccd1), 
@@ -92583,7 +89215,7 @@
 	.A_N(u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
 	.B(n_8992),
 	.C(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
-	.D(FE_PSN4113_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q),
+	.D(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
 	.X(n_13676), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -92592,7 +89224,7 @@
    sky130_fd_sc_hd__a22oi_1 g341283 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [2]),
-	.B1(n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [2]),
 	.Y(n_9749), 
 	.VPWR(vccd1), 
@@ -92602,7 +89234,7 @@
    sky130_fd_sc_hd__a22oi_1 g341284 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [5]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [5]),
 	.Y(n_9748), 
 	.VPWR(vccd1), 
@@ -92610,9 +89242,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341285 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [5]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [5]),
 	.Y(n_9747), 
 	.VPWR(vccd1), 
@@ -92620,9 +89252,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341286 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [5]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [5]),
 	.Y(n_9746), 
 	.VPWR(vccd1), 
@@ -92630,9 +89262,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341287 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [5]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [5]),
 	.Y(n_9745), 
 	.VPWR(vccd1), 
@@ -92650,9 +89282,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341289 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [5]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [5]),
 	.Y(n_9743), 
 	.VPWR(vccd1), 
@@ -92660,9 +89292,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341290 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [5]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [5]),
 	.Y(n_9742), 
 	.VPWR(vccd1), 
@@ -92670,9 +89302,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341291 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [6]),
-	.B1(n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [6]),
 	.Y(n_9741), 
 	.VPWR(vccd1), 
@@ -92680,9 +89312,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341292 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [6]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [6]),
 	.Y(n_9740), 
 	.VPWR(vccd1), 
@@ -92690,9 +89322,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341293 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [6]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [6]),
 	.Y(n_9739), 
 	.VPWR(vccd1), 
@@ -92700,9 +89332,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341294 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [6]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [6]),
 	.Y(n_9738), 
 	.VPWR(vccd1), 
@@ -92710,9 +89342,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341295 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [8]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [8]),
 	.Y(n_9737), 
 	.VPWR(vccd1), 
@@ -92722,7 +89354,7 @@
    sky130_fd_sc_hd__a22oi_1 g341296 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [6]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [6]),
 	.Y(n_9736), 
 	.VPWR(vccd1), 
@@ -92730,9 +89362,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341297 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [6]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [6]),
 	.Y(n_9735), 
 	.VPWR(vccd1), 
@@ -92740,9 +89372,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341298 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [6]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [6]),
 	.Y(n_9734), 
 	.VPWR(vccd1), 
@@ -92750,9 +89382,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341299 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [6]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [6]),
 	.Y(n_9733), 
 	.VPWR(vccd1), 
@@ -92760,9 +89392,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341300 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [6]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [6]),
 	.Y(n_9732), 
 	.VPWR(vccd1), 
@@ -92770,9 +89402,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341301 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [6]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [6]),
 	.Y(n_9731), 
 	.VPWR(vccd1), 
@@ -92782,7 +89414,7 @@
    sky130_fd_sc_hd__a22oi_1 g341302 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [7]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [7]),
 	.Y(n_9730), 
 	.VPWR(vccd1), 
@@ -92790,9 +89422,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341303 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [7]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [7]),
 	.Y(n_9729), 
 	.VPWR(vccd1), 
@@ -92800,9 +89432,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341304 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [7]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [7]),
 	.Y(n_9728), 
 	.VPWR(vccd1), 
@@ -92810,9 +89442,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341305 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [7]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [7]),
 	.Y(n_9727), 
 	.VPWR(vccd1), 
@@ -92820,9 +89452,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341306 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [7]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [7]),
 	.Y(n_9726), 
 	.VPWR(vccd1), 
@@ -92840,9 +89472,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341308 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [1]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [1]),
 	.Y(n_9724), 
 	.VPWR(vccd1), 
@@ -92850,9 +89482,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341309 (
-	.A1(n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [7]),
-	.B1(n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [7]),
 	.Y(n_9723), 
 	.VPWR(vccd1), 
@@ -92860,9 +89492,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341310 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [7]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [7]),
 	.Y(n_9722), 
 	.VPWR(vccd1), 
@@ -92872,7 +89504,7 @@
    sky130_fd_sc_hd__a22oi_1 g341311 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [1]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [1]),
 	.Y(n_9721), 
 	.VPWR(vccd1), 
@@ -92880,9 +89512,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341312 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [7]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [7]),
 	.Y(n_9720), 
 	.VPWR(vccd1), 
@@ -92890,9 +89522,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341313 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [7]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [7]),
 	.Y(n_9719), 
 	.VPWR(vccd1), 
@@ -92900,9 +89532,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341314 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [8]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [8]),
 	.Y(n_9718), 
 	.VPWR(vccd1), 
@@ -92910,9 +89542,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341315 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [8]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [8]),
 	.Y(n_9717), 
 	.VPWR(vccd1), 
@@ -92922,7 +89554,7 @@
    sky130_fd_sc_hd__a22oi_1 g341316 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [1]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [1]),
 	.Y(n_9716), 
 	.VPWR(vccd1), 
@@ -92930,9 +89562,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341317 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [1]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [1]),
 	.Y(n_9715), 
 	.VPWR(vccd1), 
@@ -92940,9 +89572,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341318 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [8]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [8]),
 	.Y(n_9714), 
 	.VPWR(vccd1), 
@@ -92950,9 +89582,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341319 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [8]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [8]),
 	.Y(n_9713), 
 	.VPWR(vccd1), 
@@ -92960,9 +89592,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341320 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [8]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [8]),
 	.Y(n_9712), 
 	.VPWR(vccd1), 
@@ -92972,7 +89604,7 @@
    sky130_fd_sc_hd__a22oi_1 g341321 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [8]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [8]),
 	.Y(n_9711), 
 	.VPWR(vccd1), 
@@ -92980,9 +89612,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341322 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [8]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [8]),
 	.Y(n_9710), 
 	.VPWR(vccd1), 
@@ -92992,7 +89624,7 @@
    sky130_fd_sc_hd__a22oi_1 g341323 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [1]),
-	.B1(n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [1]),
 	.Y(n_9709), 
 	.VPWR(vccd1), 
@@ -93000,9 +89632,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341324 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [8]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [8]),
 	.Y(n_9708), 
 	.VPWR(vccd1), 
@@ -93010,9 +89642,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341325 (
-	.A1(n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [1]),
-	.B1(n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [1]),
 	.Y(n_9707), 
 	.VPWR(vccd1), 
@@ -93020,9 +89652,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341326 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [8]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [8]),
 	.Y(n_9706), 
 	.VPWR(vccd1), 
@@ -93030,9 +89662,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341327 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [8]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [8]),
 	.Y(n_9705), 
 	.VPWR(vccd1), 
@@ -93040,9 +89672,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341328 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [1]),
-	.B1(n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [1]),
 	.Y(n_9704), 
 	.VPWR(vccd1), 
@@ -93050,9 +89682,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341329 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [1]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [1]),
 	.Y(n_9703), 
 	.VPWR(vccd1), 
@@ -93060,9 +89692,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341330 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [1]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [1]),
 	.Y(n_9702), 
 	.VPWR(vccd1), 
@@ -93072,7 +89704,7 @@
    sky130_fd_sc_hd__a22oi_1 g341331 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [1]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [1]),
 	.Y(n_9701), 
 	.VPWR(vccd1), 
@@ -93080,9 +89712,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341332 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [1]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [1]),
 	.Y(n_9700), 
 	.VPWR(vccd1), 
@@ -93090,9 +89722,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341333 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [1]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [1]),
 	.Y(n_9699), 
 	.VPWR(vccd1), 
@@ -93100,9 +89732,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341334 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [1]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [1]),
 	.Y(n_9698), 
 	.VPWR(vccd1), 
@@ -93110,9 +89742,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341335 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [1]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [1]),
 	.Y(n_9697), 
 	.VPWR(vccd1), 
@@ -93120,9 +89752,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341336 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [1]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [1]),
 	.Y(n_9696), 
 	.VPWR(vccd1), 
@@ -93130,9 +89762,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341337 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [2]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [2]),
 	.Y(n_9695), 
 	.VPWR(vccd1), 
@@ -93140,9 +89772,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341338 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [1]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [1]),
 	.Y(n_9694), 
 	.VPWR(vccd1), 
@@ -93150,9 +89782,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341339 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [1]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [1]),
 	.Y(n_9693), 
 	.VPWR(vccd1), 
@@ -93162,7 +89794,7 @@
    sky130_fd_sc_hd__a22oi_1 g341340 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [2]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [2]),
 	.Y(n_9692), 
 	.VPWR(vccd1), 
@@ -93170,9 +89802,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341341 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [1]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [1]),
 	.Y(n_9691), 
 	.VPWR(vccd1), 
@@ -93190,9 +89822,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341343 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [2]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [2]),
 	.Y(n_9689), 
 	.VPWR(vccd1), 
@@ -93200,9 +89832,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341344 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [2]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [2]),
 	.Y(n_9688), 
 	.VPWR(vccd1), 
@@ -93210,9 +89842,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341345 (
-	.A1(FE_OFN1553_n_8973),
+	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [2]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [2]),
 	.Y(n_9687), 
 	.VPWR(vccd1), 
@@ -93220,9 +89852,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341346 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [2]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [2]),
 	.Y(n_9686), 
 	.VPWR(vccd1), 
@@ -93230,9 +89862,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341347 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [2]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [2]),
 	.Y(n_9685), 
 	.VPWR(vccd1), 
@@ -93240,9 +89872,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341348 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [2]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [2]),
 	.Y(n_9684), 
 	.VPWR(vccd1), 
@@ -93250,9 +89882,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341349 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [2]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [2]),
 	.Y(n_9683), 
 	.VPWR(vccd1), 
@@ -93260,9 +89892,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341350 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [2]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [2]),
 	.Y(n_9682), 
 	.VPWR(vccd1), 
@@ -93270,9 +89902,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341351 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [2]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [2]),
 	.Y(n_9681), 
 	.VPWR(vccd1), 
@@ -93280,9 +89912,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341352 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [7]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [7]),
 	.Y(n_9680), 
 	.VPWR(vccd1), 
@@ -93290,9 +89922,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341353 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [2]),
-	.B1(n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [2]),
 	.Y(n_9679), 
 	.VPWR(vccd1), 
@@ -93300,9 +89932,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341354 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [2]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [2]),
 	.Y(n_9678), 
 	.VPWR(vccd1), 
@@ -93310,9 +89942,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341355 (
-	.A1(n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [2]),
-	.B1(n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [2]),
 	.Y(n_9677), 
 	.VPWR(vccd1), 
@@ -93320,34 +89952,25 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341356 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [2]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [2]),
 	.Y(n_9676), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_4 g341357 (
-	.A1(n_8950),
-	.A2(u_soc_u_top_u_core_pc_set),
-	.B1(n_8884),
-	.Y(n_13362), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g341358 (
 	.A_N(n_13473),
-	.B(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.B(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10),
 	.Y(n_13480), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g341359 (
-	.A(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.A(FE_OFN18472_u_soc_u_top_u_core_alu_operand_b_ex_11),
 	.B(n_30),
 	.C(n_8986),
 	.Y(n_9951), 
@@ -93389,7 +90012,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g341364 (
 	.A(n_13325),
-	.B(FE_OFN878_n_641),
+	.B(n_641),
 	.Y(n_13318), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -93411,11 +90034,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341367 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(n_13405),
+   sky130_fd_sc_hd__a221o_1 g341367 (
+	.A1(FE_OFN1602_n_8867),
+	.A2(FE_PSN3971_n_13405),
 	.B1(n_8863),
-	.B2(FE_COEN4304_n_13397),
+	.B2(n_13397),
 	.C1(n_9117),
 	.X(\u_soc_xbar_to_dccm[a_data] [5]), 
 	.VPWR(vccd1), 
@@ -93423,32 +90046,32 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_2 g341368 (
-	.A1(FE_OFN1534_n_8867),
+	.A1(FE_OFN1602_n_8867),
 	.A2(n_13415),
 	.B1(n_8863),
-	.B2(FE_PSN4147_n_13407),
+	.B2(n_13407),
 	.C1(n_9168),
 	.X(\u_soc_xbar_to_dccm[a_data] [15]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341369 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_OFN18312_n_13393),
+   sky130_fd_sc_hd__a221o_1 g341369 (
+	.A1(n_8867),
+	.A2(FE_OFN18241_n_13393),
 	.B1(n_8863),
-	.B2(FE_OFN18484_n_13416),
+	.B2(FE_OFN18520_n),
 	.C1(n_9202),
 	.X(\u_soc_xbar_to_dccm[a_data] [25]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341370 (
-	.A1(FE_OFN1534_n_8867),
+   sky130_fd_sc_hd__a221o_1 g341370 (
+	.A1(n_8867),
 	.A2(n_13404),
 	.B1(n_8863),
-	.B2(FE_PSN4777_FE_OFN1082_n_13075),
+	.B2(FE_OFN1249_n_13075),
 	.C1(n_9110),
 	.X(\u_soc_xbar_to_dccm[a_data] [4]), 
 	.VPWR(vccd1), 
@@ -93456,8 +90079,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_2 g341371 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_OFN1089_n_13086),
+	.A1(FE_OFN1602_n_8867),
+	.A2(FE_OFN1257_n_13086),
 	.B1(n_8863),
 	.B2(n_13406),
 	.C1(n_9162),
@@ -93466,22 +90089,22 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341372 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_PSN4341_FE_OFN18495_n_13389),
+   sky130_fd_sc_hd__a221o_1 g341372 (
+	.A1(FE_OFN1602_n_8867),
+	.A2(FE_OFN18230_n),
 	.B1(n_8863),
-	.B2(FE_OFN1093_n_13090),
+	.B2(FE_OFN1260_n_13090),
 	.C1(n_9337),
 	.X(\u_soc_xbar_to_dccm[a_data] [21]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341373 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_OFN1086_n_13082),
+   sky130_fd_sc_hd__a221o_1 g341373 (
+	.A1(n_8867),
+	.A2(FE_OFN1253_n_13082),
 	.B1(n_8863),
-	.B2(FE_PSN4129_n_13395),
+	.B2(FE_OFN19017_n_13074),
 	.C1(n_9105),
 	.X(\u_soc_xbar_to_dccm[a_data] [3]), 
 	.VPWR(vccd1), 
@@ -93497,41 +90120,41 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_2 g341375 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_OFN1083_n_13076),
+	.A1(n_8867),
+	.A2(FE_OFN1250_n_13076),
 	.B1(n_8863),
-	.B2(FE_PSN4380_n_13390),
+	.B2(FE_OFN18427_n_13390),
 	.C1(n_9201),
 	.X(\u_soc_xbar_to_dccm[a_data] [30]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341376 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_OFN1093_n_13090),
+   sky130_fd_sc_hd__a221o_1 g341376 (
+	.A1(FE_OFN1602_n_8867),
+	.A2(FE_OFN1260_n_13090),
 	.B1(n_8863),
-	.B2(n_13405),
+	.B2(FE_PSN3971_n_13405),
 	.C1(n_9156),
 	.X(\u_soc_xbar_to_dccm[a_data] [13]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341377 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_COEN4329_n_13402),
+   sky130_fd_sc_hd__a221o_1 g341377 (
+	.A1(n_8867),
+	.A2(n_13402),
 	.B1(n_8863),
-	.B2(FE_OFN19544_n_13394),
+	.B2(FE_OFN18244_n_13394),
 	.C1(n_9100),
 	.X(\u_soc_xbar_to_dccm[a_data] [2]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341378 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_OFN18594_n),
+   sky130_fd_sc_hd__a221o_1 g341378 (
+	.A1(FE_OFN1602_n_8867),
+	.A2(FE_OFN18431_n_13388),
 	.B1(n_8863),
 	.B2(n_13412),
 	.C1(n_9347),
@@ -93541,18 +90164,18 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_2 g341379 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_COEN4335_n_13401),
+	.A1(n_8867),
+	.A2(FE_OFN19043_n_13401),
 	.B1(n_8863),
-	.B2(FE_OFN18312_n_13393),
+	.B2(FE_OFN18241_n_13393),
 	.C1(n_9095),
 	.X(\u_soc_xbar_to_dccm[a_data] [1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341380 (
-	.A1(FE_OFN1534_n_8867),
+   sky130_fd_sc_hd__a221o_1 g341380 (
+	.A1(n_8867),
 	.A2(n_13412),
 	.B1(n_8863),
 	.B2(n_13404),
@@ -93562,11 +90185,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341381 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_PSN4129_n_13395),
+   sky130_fd_sc_hd__a221o_1 g341381 (
+	.A1(n_8867),
+	.A2(FE_OFN19017_n_13074),
 	.B1(n_8863),
-	.B2(FE_OFN19555_n_13387),
+	.B2(FE_OFN18450_n_13387),
 	.C1(n_9214),
 	.X(\u_soc_xbar_to_dccm[a_data] [27]), 
 	.VPWR(vccd1), 
@@ -93581,31 +90204,31 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341383 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_COEN4275_n_13392),
+   sky130_fd_sc_hd__a221o_1 g341383 (
+	.A1(FE_OFN1602_n_8867),
+	.A2(FE_OFN1256_n_13085),
 	.B1(n_8863),
-	.B2(FE_OFN18318_n_15946),
+	.B2(FE_OFN18428_n),
 	.C1(n_9194),
 	.X(\u_soc_xbar_to_dccm[a_data] [24]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341384 (
-	.A1(FE_OFN1534_n_8867),
+   sky130_fd_sc_hd__a221o_1 g341384 (
+	.A1(n_8867),
 	.A2(n_13411),
 	.B1(n_8863),
-	.B2(FE_OFN1086_n_13082),
+	.B2(FE_OFN1253_n_13082),
 	.C1(n_9146),
 	.X(\u_soc_xbar_to_dccm[a_data] [11]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341385 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_OFN19555_n_13387),
+   sky130_fd_sc_hd__a221o_1 g341385 (
+	.A1(FE_OFN1602_n_8867),
+	.A2(FE_OFN18450_n_13387),
 	.B1(n_8863),
 	.B2(n_13411),
 	.C1(n_9187),
@@ -93614,11 +90237,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341386 (
-	.A1(FE_OFN1534_n_8867),
+   sky130_fd_sc_hd__a221o_1 g341386 (
+	.A1(n_8867),
 	.A2(n_13400),
 	.B1(n_8863),
-	.B2(FE_COEN4275_n_13392),
+	.B2(FE_OFN1256_n_13085),
 	.C1(n_9252),
 	.X(\u_soc_xbar_to_dccm[a_data] [0]), 
 	.VPWR(vccd1), 
@@ -93626,30 +90249,30 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_2 g341387 (
-	.A1(FE_OFN1534_n_8867),
+	.A1(n_8867),
 	.A2(n_13410),
 	.B1(n_8863),
-	.B2(FE_COEN4329_n_13402),
+	.B2(n_13402),
 	.C1(n_9142),
 	.X(\u_soc_xbar_to_dccm[a_data] [10]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341388 (
-	.A1(FE_OFN1534_n_8867),
+   sky130_fd_sc_hd__a221o_1 g341388 (
+	.A1(FE_OFN1602_n_8867),
 	.A2(n_13399),
 	.B1(n_8863),
-	.B2(FE_OFN19539_n_13391),
+	.B2(FE_OFN1652_n_13391),
 	.C1(n_9248),
 	.X(\u_soc_xbar_to_dccm[a_data] [31]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341389 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_OFN18487_n_13386),
+   sky130_fd_sc_hd__a221o_1 g341389 (
+	.A1(n_8867),
+	.A2(FE_OFN19054_n_13386),
 	.B1(n_8863),
 	.B2(n_13410),
 	.C1(n_9182),
@@ -93659,19 +90282,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_2 g341390 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_PSN4168_n_13409),
+	.A1(n_8867),
+	.A2(FE_PSN3951_n_13409),
 	.B1(n_8863),
-	.B2(FE_COEN4335_n_13401),
+	.B2(FE_OFN19043_n_13401),
 	.C1(n_9137),
 	.X(\u_soc_xbar_to_dccm[a_data] [9]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341391 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_OFN19539_n_13391),
+   sky130_fd_sc_hd__a221o_1 g341391 (
+	.A1(FE_OFN1602_n_8867),
+	.A2(FE_OFN1652_n_13391),
 	.B1(n_8863),
 	.B2(n_13415),
 	.C1(n_9326),
@@ -93680,8 +90303,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341392 (
-	.A1(FE_OFN1534_n_8867),
+   sky130_fd_sc_hd__a221o_1 g341392 (
+	.A1(FE_OFN1602_n_8867),
 	.A2(n_13408),
 	.B1(n_8863),
 	.B2(n_13400),
@@ -93691,22 +90314,22 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341393 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_OFN19544_n_13394),
+   sky130_fd_sc_hd__a221o_1 g341393 (
+	.A1(n_8867),
+	.A2(FE_OFN18244_n_13394),
 	.B1(n_8863),
-	.B2(FE_OFN18487_n_13386),
+	.B2(FE_OFN19054_n_13386),
 	.C1(n_9207),
 	.X(\u_soc_xbar_to_dccm[a_data] [26]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341394 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_OFN18484_n_13416),
+   sky130_fd_sc_hd__a221o_1 g341394 (
+	.A1(n_8867),
+	.A2(FE_OFN18520_n),
 	.B1(n_8863),
-	.B2(FE_PSN4168_n_13409),
+	.B2(FE_PSN3951_n_13409),
 	.C1(n_9179),
 	.X(\u_soc_xbar_to_dccm[a_data] [17]), 
 	.VPWR(vccd1), 
@@ -93714,16 +90337,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g341395 (
-	.A(FE_OFN18959_io_in_28),
+	.A(FE_OFN18750_io_in_28),
 	.B_N(n_9350),
 	.Y(n_9950), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341396 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_PSN4147_n_13407),
+   sky130_fd_sc_hd__a221o_1 g341396 (
+	.A1(FE_OFN1602_n_8867),
+	.A2(n_13407),
 	.B1(n_8863),
 	.B2(n_13399),
 	.C1(n_9127),
@@ -93732,9 +90355,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341397 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_OFN18318_n_15946),
+   sky130_fd_sc_hd__a221o_1 g341397 (
+	.A1(FE_OFN1602_n_8867),
+	.A2(FE_OFN18428_n),
 	.B1(n_8863),
 	.B2(n_13408),
 	.C1(n_9174),
@@ -93744,10 +90367,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_2 g341398 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_PSN4380_n_13390),
+	.A1(FE_OFN1602_n_8867),
+	.A2(FE_OFN18427_n_13390),
 	.B1(n_8863),
-	.B2(FE_OFN1089_n_13086),
+	.B2(FE_OFN1257_n_13086),
 	.C1(n_9332),
 	.X(\u_soc_xbar_to_dccm[a_data] [22]), 
 	.VPWR(vccd1), 
@@ -93755,21 +90378,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_2 g341399 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_PSN4777_FE_OFN1082_n_13075),
+	.A1(n_8867),
+	.A2(FE_OFN1249_n_13075),
 	.B1(n_8863),
-	.B2(FE_OFN18594_n),
+	.B2(FE_OFN18431_n_13388),
 	.C1(n_9222),
 	.X(\u_soc_xbar_to_dccm[a_data] [28]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_2 g341400 (
-	.A1(FE_OFN1534_n_8867),
+   sky130_fd_sc_hd__a221o_1 g341400 (
+	.A1(n_8867),
 	.A2(n_13406),
 	.B1(n_8863),
-	.B2(FE_OFN1083_n_13076),
+	.B2(FE_OFN1250_n_13076),
 	.C1(n_9121),
 	.X(\u_soc_xbar_to_dccm[a_data] [6]), 
 	.VPWR(vccd1), 
@@ -93777,10 +90400,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_2 g341401 (
-	.A1(FE_OFN1534_n_8867),
-	.A2(FE_COEN4304_n_13397),
+	.A1(FE_OFN1602_n_8867),
+	.A2(n_13397),
 	.B1(n_8863),
-	.B2(FE_PSN4341_FE_OFN18495_n_13389),
+	.B2(FE_OFN18230_n),
 	.C1(n_9231),
 	.X(\u_soc_xbar_to_dccm[a_data] [29]), 
 	.VPWR(vccd1), 
@@ -93788,9 +90411,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341402 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [3]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [3]),
 	.Y(n_9675), 
 	.VPWR(vccd1), 
@@ -93798,9 +90421,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341403 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [3]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [3]),
 	.Y(n_9674), 
 	.VPWR(vccd1), 
@@ -93808,9 +90431,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341404 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [3]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [3]),
 	.Y(n_9673), 
 	.VPWR(vccd1), 
@@ -93818,9 +90441,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341405 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [3]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [3]),
 	.Y(n_9672), 
 	.VPWR(vccd1), 
@@ -93828,9 +90451,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341406 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [3]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [3]),
 	.Y(n_9671), 
 	.VPWR(vccd1), 
@@ -93838,9 +90461,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341407 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [3]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [3]),
 	.Y(n_9670), 
 	.VPWR(vccd1), 
@@ -93848,9 +90471,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341408 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [3]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [3]),
 	.Y(n_9669), 
 	.VPWR(vccd1), 
@@ -93858,9 +90481,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341409 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [3]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [3]),
 	.Y(n_9668), 
 	.VPWR(vccd1), 
@@ -93870,7 +90493,7 @@
    sky130_fd_sc_hd__a22oi_1 g341410 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [3]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [3]),
 	.Y(n_9667), 
 	.VPWR(vccd1), 
@@ -93880,7 +90503,7 @@
    sky130_fd_sc_hd__a22o_1 g341411 (
 	.A1(n_9008),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [3]),
-	.B1(n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [3]),
 	.X(n_9666), 
 	.VPWR(vccd1), 
@@ -93888,9 +90511,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341412 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [4]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [4]),
 	.Y(n_9665), 
 	.VPWR(vccd1), 
@@ -93898,9 +90521,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341413 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [4]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [4]),
 	.Y(n_9664), 
 	.VPWR(vccd1), 
@@ -93910,7 +90533,7 @@
    sky130_fd_sc_hd__a22oi_1 g341414 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [3]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [3]),
 	.Y(n_9663), 
 	.VPWR(vccd1), 
@@ -93918,9 +90541,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341415 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [4]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [4]),
 	.Y(n_9662), 
 	.VPWR(vccd1), 
@@ -93928,7 +90551,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341416 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [3]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [3]),
@@ -93938,9 +90561,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341417 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [4]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [4]),
 	.Y(n_9660), 
 	.VPWR(vccd1), 
@@ -93948,9 +90571,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341418 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [4]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [4]),
 	.Y(n_9659), 
 	.VPWR(vccd1), 
@@ -93958,9 +90581,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341419 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [4]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [4]),
 	.Y(n_9658), 
 	.VPWR(vccd1), 
@@ -93968,9 +90591,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341420 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [4]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [4]),
 	.Y(n_9657), 
 	.VPWR(vccd1), 
@@ -93978,9 +90601,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341421 (
-	.A1(n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [3]),
-	.B1(n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [3]),
 	.Y(n_9656), 
 	.VPWR(vccd1), 
@@ -93988,9 +90611,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341422 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [4]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [4]),
 	.Y(n_9655), 
 	.VPWR(vccd1), 
@@ -94000,7 +90623,7 @@
    sky130_fd_sc_hd__a22oi_1 g341423 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [3]),
-	.B1(n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [3]),
 	.Y(n_9654), 
 	.VPWR(vccd1), 
@@ -94008,9 +90631,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341424 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [4]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [4]),
 	.Y(n_9653), 
 	.VPWR(vccd1), 
@@ -94018,9 +90641,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341425 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [4]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [4]),
 	.Y(n_9652), 
 	.VPWR(vccd1), 
@@ -94030,7 +90653,7 @@
    sky130_fd_sc_hd__a22o_1 g341426 (
 	.A1(n_9008),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [4]),
-	.B1(n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [4]),
 	.X(n_9651), 
 	.VPWR(vccd1), 
@@ -94038,9 +90661,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341427 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [5]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [5]),
 	.Y(n_9650), 
 	.VPWR(vccd1), 
@@ -94048,9 +90671,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341428 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [3]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [3]),
 	.Y(n_9649), 
 	.VPWR(vccd1), 
@@ -94058,9 +90681,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341429 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [3]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [3]),
 	.Y(n_9648), 
 	.VPWR(vccd1), 
@@ -94070,7 +90693,7 @@
    sky130_fd_sc_hd__a22oi_1 g341430 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [3]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [3]),
 	.Y(n_9647), 
 	.VPWR(vccd1), 
@@ -94078,9 +90701,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341431 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [5]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [5]),
 	.Y(n_9646), 
 	.VPWR(vccd1), 
@@ -94088,9 +90711,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341432 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [5]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [5]),
 	.Y(n_9645), 
 	.VPWR(vccd1), 
@@ -94098,9 +90721,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341433 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [5]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [5]),
 	.Y(n_9644), 
 	.VPWR(vccd1), 
@@ -94108,9 +90731,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341434 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [5]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [5]),
 	.Y(n_9643), 
 	.VPWR(vccd1), 
@@ -94120,7 +90743,7 @@
    sky130_fd_sc_hd__a22oi_1 g341435 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [4]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [4]),
 	.Y(n_9642), 
 	.VPWR(vccd1), 
@@ -94128,9 +90751,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341436 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [4]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [4]),
 	.Y(n_9641), 
 	.VPWR(vccd1), 
@@ -94138,9 +90761,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341437 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [5]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [5]),
 	.Y(n_9640), 
 	.VPWR(vccd1), 
@@ -94148,9 +90771,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341438 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [5]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [5]),
 	.Y(n_9639), 
 	.VPWR(vccd1), 
@@ -94158,9 +90781,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341439 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [5]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [5]),
 	.Y(n_9638), 
 	.VPWR(vccd1), 
@@ -94168,9 +90791,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341440 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [4]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [4]),
 	.Y(n_9637), 
 	.VPWR(vccd1), 
@@ -94180,7 +90803,7 @@
    sky130_fd_sc_hd__a22o_1 g341441 (
 	.A1(n_9008),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [5]),
-	.B1(n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [5]),
 	.X(n_9636), 
 	.VPWR(vccd1), 
@@ -94188,9 +90811,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341442 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [6]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [6]),
 	.Y(n_9635), 
 	.VPWR(vccd1), 
@@ -94198,9 +90821,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341443 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [6]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [6]),
 	.Y(n_9634), 
 	.VPWR(vccd1), 
@@ -94208,9 +90831,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341444 (
-	.A1(n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [6]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [6]),
 	.Y(n_9633), 
 	.VPWR(vccd1), 
@@ -94218,9 +90841,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341445 (
-	.A1(n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [4]),
-	.B1(n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [4]),
 	.Y(n_9632), 
 	.VPWR(vccd1), 
@@ -94228,9 +90851,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341446 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [6]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [6]),
 	.Y(n_9631), 
 	.VPWR(vccd1), 
@@ -94238,9 +90861,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341447 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [6]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [6]),
 	.Y(n_9630), 
 	.VPWR(vccd1), 
@@ -94248,9 +90871,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341448 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [6]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [6]),
 	.Y(n_9629), 
 	.VPWR(vccd1), 
@@ -94258,9 +90881,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341449 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [6]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [6]),
 	.Y(n_9628), 
 	.VPWR(vccd1), 
@@ -94268,9 +90891,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341450 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [4]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [4]),
 	.Y(n_9627), 
 	.VPWR(vccd1), 
@@ -94278,9 +90901,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341451 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [6]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [6]),
 	.Y(n_9626), 
 	.VPWR(vccd1), 
@@ -94288,9 +90911,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341452 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [6]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [6]),
 	.Y(n_9625), 
 	.VPWR(vccd1), 
@@ -94298,9 +90921,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341453 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [4]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [4]),
 	.Y(n_9624), 
 	.VPWR(vccd1), 
@@ -94310,7 +90933,7 @@
    sky130_fd_sc_hd__a22o_1 g341454 (
 	.A1(n_9008),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [6]),
-	.B1(n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [6]),
 	.X(n_9623), 
 	.VPWR(vccd1), 
@@ -94320,7 +90943,7 @@
    sky130_fd_sc_hd__a22oi_1 g341455 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [4]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [4]),
 	.Y(n_9622), 
 	.VPWR(vccd1), 
@@ -94328,9 +90951,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341456 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [7]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [7]),
 	.Y(n_9621), 
 	.VPWR(vccd1), 
@@ -94338,9 +90961,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341457 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [7]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [7]),
 	.Y(n_9620), 
 	.VPWR(vccd1), 
@@ -94348,9 +90971,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341458 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [4]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [4]),
 	.Y(n_9619), 
 	.VPWR(vccd1), 
@@ -94358,9 +90981,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341459 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [8]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [8]),
 	.Y(n_9618), 
 	.VPWR(vccd1), 
@@ -94368,9 +90991,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341460 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [5]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [5]),
 	.Y(n_9617), 
 	.VPWR(vccd1), 
@@ -94378,9 +91001,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341461 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [7]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [7]),
 	.Y(n_9616), 
 	.VPWR(vccd1), 
@@ -94388,9 +91011,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341462 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [5]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [5]),
 	.Y(n_9615), 
 	.VPWR(vccd1), 
@@ -94398,9 +91021,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341463 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [7]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [7]),
 	.Y(n_9614), 
 	.VPWR(vccd1), 
@@ -94410,7 +91033,7 @@
    sky130_fd_sc_hd__a22oi_1 g341464 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [5]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [5]),
 	.Y(n_9613), 
 	.VPWR(vccd1), 
@@ -94420,7 +91043,7 @@
    sky130_fd_sc_hd__a22o_1 g341465 (
 	.A1(n_9008),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [7]),
-	.B1(n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [7]),
 	.X(n_9612), 
 	.VPWR(vccd1), 
@@ -94428,9 +91051,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341466 (
-	.A1(n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [5]),
-	.B1(n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [5]),
 	.Y(n_9611), 
 	.VPWR(vccd1), 
@@ -94438,9 +91061,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341467 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [5]),
-	.B1(n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [5]),
 	.Y(n_9610), 
 	.VPWR(vccd1), 
@@ -94448,9 +91071,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341468 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [8]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [8]),
 	.Y(n_9609), 
 	.VPWR(vccd1), 
@@ -94460,7 +91083,7 @@
    sky130_fd_sc_hd__a22oi_1 g341469 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [5]),
-	.B1(n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [5]),
 	.Y(n_9608), 
 	.VPWR(vccd1), 
@@ -94468,9 +91091,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341470 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [8]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [8]),
 	.Y(n_9607), 
 	.VPWR(vccd1), 
@@ -94478,9 +91101,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341471 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [8]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [8]),
 	.Y(n_9606), 
 	.VPWR(vccd1), 
@@ -94488,9 +91111,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341472 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [8]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [8]),
 	.Y(n_9605), 
 	.VPWR(vccd1), 
@@ -94498,9 +91121,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341473 (
-	.A1(FE_OFN1560_n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [8]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [8]),
 	.Y(n_9604), 
 	.VPWR(vccd1), 
@@ -94510,7 +91133,7 @@
    sky130_fd_sc_hd__a22oi_1 g341474 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [5]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [5]),
 	.Y(n_9603), 
 	.VPWR(vccd1), 
@@ -94518,9 +91141,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341475 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [8]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [8]),
 	.Y(n_9602), 
 	.VPWR(vccd1), 
@@ -94528,9 +91151,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341476 (
-	.A1(FE_OFN1561_n_8981),
+	.A1(FE_OFN1627_n_8981),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [8]),
-	.B1(FE_OFN1559_n_8979),
+	.B1(FE_OFN1625_n_8979),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [8]),
 	.Y(n_9601), 
 	.VPWR(vccd1), 
@@ -94540,7 +91163,7 @@
    sky130_fd_sc_hd__a22o_1 g341477 (
 	.A1(n_9008),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [8]),
-	.B1(n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [8]),
 	.X(n_9600), 
 	.VPWR(vccd1), 
@@ -94548,9 +91171,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341478 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [5]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [5]),
 	.Y(n_9599), 
 	.VPWR(vccd1), 
@@ -94560,7 +91183,7 @@
    sky130_fd_sc_hd__a22oi_1 g341479 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [5]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [5]),
 	.Y(n_9598), 
 	.VPWR(vccd1), 
@@ -94570,7 +91193,7 @@
    sky130_fd_sc_hd__a22oi_1 g341480 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [6]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [6]),
 	.Y(n_9597), 
 	.VPWR(vccd1), 
@@ -94578,9 +91201,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341481 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [6]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [6]),
 	.Y(n_9596), 
 	.VPWR(vccd1), 
@@ -94588,9 +91211,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341482 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [6]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [6]),
 	.Y(n_9595), 
 	.VPWR(vccd1), 
@@ -94600,7 +91223,7 @@
    sky130_fd_sc_hd__a22oi_1 g341483 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [6]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [6]),
 	.Y(n_9594), 
 	.VPWR(vccd1), 
@@ -94608,9 +91231,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341484 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [4]),
-	.B1(n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [4]),
 	.Y(n_9593), 
 	.VPWR(vccd1), 
@@ -94620,7 +91243,7 @@
    sky130_fd_sc_hd__a22oi_1 g341485 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [6]),
-	.B1(n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [6]),
 	.Y(n_9592), 
 	.VPWR(vccd1), 
@@ -94628,9 +91251,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341486 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [6]),
-	.B1(n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [6]),
 	.Y(n_9591), 
 	.VPWR(vccd1), 
@@ -94638,9 +91261,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341487 (
-	.A1(n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [6]),
-	.B1(n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [6]),
 	.Y(n_9590), 
 	.VPWR(vccd1), 
@@ -94650,7 +91273,7 @@
    sky130_fd_sc_hd__a22oi_1 g341488 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [6]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [6]),
 	.Y(n_9589), 
 	.VPWR(vccd1), 
@@ -94658,9 +91281,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341489 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [6]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [6]),
 	.Y(n_9588), 
 	.VPWR(vccd1), 
@@ -94668,9 +91291,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341490 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [6]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [6]),
 	.Y(n_9587), 
 	.VPWR(vccd1), 
@@ -94678,9 +91301,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341491 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [7]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [7]),
 	.Y(n_9586), 
 	.VPWR(vccd1), 
@@ -94690,7 +91313,7 @@
    sky130_fd_sc_hd__a22oi_1 g341492 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [7]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [7]),
 	.Y(n_9585), 
 	.VPWR(vccd1), 
@@ -94698,9 +91321,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341493 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [5]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [5]),
 	.Y(n_9584), 
 	.VPWR(vccd1), 
@@ -94708,9 +91331,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341494 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [7]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [7]),
 	.Y(n_9583), 
 	.VPWR(vccd1), 
@@ -94720,7 +91343,7 @@
    sky130_fd_sc_hd__a22oi_1 g341495 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [7]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [7]),
 	.Y(n_9582), 
 	.VPWR(vccd1), 
@@ -94728,9 +91351,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341496 (
-	.A1(n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [7]),
-	.B1(n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [7]),
 	.Y(n_9581), 
 	.VPWR(vccd1), 
@@ -94740,7 +91363,7 @@
    sky130_fd_sc_hd__a22oi_1 g341497 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [7]),
-	.B1(n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [7]),
 	.Y(n_9580), 
 	.VPWR(vccd1), 
@@ -94748,9 +91371,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341498 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [7]),
-	.B1(n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [7]),
 	.Y(n_9579), 
 	.VPWR(vccd1), 
@@ -94758,9 +91381,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341499 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [7]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [7]),
 	.Y(n_9578), 
 	.VPWR(vccd1), 
@@ -94768,9 +91391,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341500 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [7]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [7]),
 	.Y(n_9577), 
 	.VPWR(vccd1), 
@@ -94780,7 +91403,7 @@
    sky130_fd_sc_hd__a22oi_1 g341501 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [7]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [7]),
 	.Y(n_9576), 
 	.VPWR(vccd1), 
@@ -94788,9 +91411,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341502 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [8]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [8]),
 	.Y(n_9575), 
 	.VPWR(vccd1), 
@@ -94798,9 +91421,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341503 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [8]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [8]),
 	.Y(n_9574), 
 	.VPWR(vccd1), 
@@ -94810,7 +91433,7 @@
    sky130_fd_sc_hd__a22oi_1 g341504 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [8]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [8]),
 	.Y(n_9573), 
 	.VPWR(vccd1), 
@@ -94820,7 +91443,7 @@
    sky130_fd_sc_hd__a22oi_1 g341505 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [8]),
-	.B1(n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [8]),
 	.Y(n_9572), 
 	.VPWR(vccd1), 
@@ -94828,9 +91451,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341506 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [8]),
-	.B1(n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [8]),
 	.Y(n_9571), 
 	.VPWR(vccd1), 
@@ -94838,9 +91461,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341507 (
-	.A1(n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [8]),
-	.B1(n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [8]),
 	.Y(n_9570), 
 	.VPWR(vccd1), 
@@ -94848,7 +91471,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341508 (
-	.A1(n_8970),
+	.A1(FE_OFN1617_n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [8]),
 	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [8]),
@@ -94860,7 +91483,7 @@
    sky130_fd_sc_hd__a22oi_1 g341509 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [8]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [8]),
 	.Y(n_9568), 
 	.VPWR(vccd1), 
@@ -94868,9 +91491,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341510 (
-	.A1(n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [8]),
-	.B1(n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [8]),
 	.Y(n_9567), 
 	.VPWR(vccd1), 
@@ -94878,9 +91501,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341511 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [8]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [8]),
 	.Y(n_9566), 
 	.VPWR(vccd1), 
@@ -94888,9 +91511,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341512 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [1]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [1]),
 	.Y(n_9565), 
 	.VPWR(vccd1), 
@@ -94900,7 +91523,7 @@
    sky130_fd_sc_hd__a22oi_1 g341513 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [1]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [1]),
 	.Y(n_9564), 
 	.VPWR(vccd1), 
@@ -94910,7 +91533,7 @@
    sky130_fd_sc_hd__a22oi_1 g341514 (
 	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [4]),
-	.B1(n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [4]),
 	.Y(n_9563), 
 	.VPWR(vccd1), 
@@ -94918,9 +91541,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341515 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [1]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [1]),
 	.Y(n_9562), 
 	.VPWR(vccd1), 
@@ -94928,9 +91551,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341516 (
-	.A1(n_8968),
+	.A1(FE_OFN1615_n_8968),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [1]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [1]),
 	.Y(n_9561), 
 	.VPWR(vccd1), 
@@ -94938,9 +91561,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341517 (
-	.A1(FE_OFN1541_n_8964),
+	.A1(FE_OFN1609_n_8964),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [1]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [1]),
 	.Y(n_9560), 
 	.VPWR(vccd1), 
@@ -94948,9 +91571,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341518 (
-	.A1(FE_OFN1540_n_8963),
+	.A1(FE_OFN1608_n_8963),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [1]),
-	.B1(FE_OFN1543_n_8965),
+	.B1(FE_OFN1611_n_8965),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [1]),
 	.Y(n_9559), 
 	.VPWR(vccd1), 
@@ -94958,9 +91581,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341519 (
-	.A1(FE_OFN1562_n_8982),
+	.A1(FE_OFN1628_n_8982),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [6]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [6]),
 	.Y(n_9558), 
 	.VPWR(vccd1), 
@@ -94968,9 +91591,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341520 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [1]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [1]),
 	.Y(n_9557), 
 	.VPWR(vccd1), 
@@ -94988,9 +91611,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341522 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [1]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [1]),
 	.Y(n_9555), 
 	.VPWR(vccd1), 
@@ -94998,9 +91621,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341523 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [1]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [1]),
 	.Y(n_9554), 
 	.VPWR(vccd1), 
@@ -95008,9 +91631,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341524 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [2]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [2]),
 	.Y(n_9553), 
 	.VPWR(vccd1), 
@@ -95018,9 +91641,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341525 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [2]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [2]),
 	.Y(n_9552), 
 	.VPWR(vccd1), 
@@ -95028,9 +91651,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341526 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [2]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [2]),
 	.Y(n_9551), 
 	.VPWR(vccd1), 
@@ -95038,9 +91661,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341527 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [2]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [2]),
 	.Y(n_9550), 
 	.VPWR(vccd1), 
@@ -95048,9 +91671,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341528 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [2]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [2]),
 	.Y(n_9549), 
 	.VPWR(vccd1), 
@@ -95058,9 +91681,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341529 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [2]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [2]),
 	.Y(n_9548), 
 	.VPWR(vccd1), 
@@ -95068,9 +91691,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341530 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [2]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [2]),
 	.Y(n_9547), 
 	.VPWR(vccd1), 
@@ -95078,9 +91701,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341531 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [3]),
-	.B1(n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [3]),
 	.Y(n_9546), 
 	.VPWR(vccd1), 
@@ -95088,9 +91711,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341532 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [2]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [2]),
 	.Y(n_9545), 
 	.VPWR(vccd1), 
@@ -95098,9 +91721,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341533 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [2]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [2]),
 	.Y(n_9544), 
 	.VPWR(vccd1), 
@@ -95108,9 +91731,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341534 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [2]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [2]),
 	.Y(n_9543), 
 	.VPWR(vccd1), 
@@ -95118,9 +91741,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341535 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [3]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [3]),
 	.Y(n_9542), 
 	.VPWR(vccd1), 
@@ -95128,9 +91751,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341536 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [3]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [3]),
 	.Y(n_9541), 
 	.VPWR(vccd1), 
@@ -95138,9 +91761,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341537 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [3]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [3]),
 	.Y(n_9540), 
 	.VPWR(vccd1), 
@@ -95148,9 +91771,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341538 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [3]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [3]),
 	.Y(n_9539), 
 	.VPWR(vccd1), 
@@ -95158,9 +91781,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341539 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [3]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [3]),
 	.Y(n_9538), 
 	.VPWR(vccd1), 
@@ -95168,9 +91791,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341540 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [3]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [3]),
 	.Y(n_9537), 
 	.VPWR(vccd1), 
@@ -95178,9 +91801,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341541 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [3]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [3]),
 	.Y(n_9536), 
 	.VPWR(vccd1), 
@@ -95188,9 +91811,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341542 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [3]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [3]),
 	.Y(n_9535), 
 	.VPWR(vccd1), 
@@ -95198,9 +91821,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341543 (
-	.A1(n_8963),
+	.A1(FE_OFN1607_n_8963),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [3]),
-	.B1(n_8965),
+	.B1(FE_OFN1611_n_8965),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [3]),
 	.Y(n_9534), 
 	.VPWR(vccd1), 
@@ -95208,9 +91831,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341544 (
-	.A1(FE_OFN1549_n_8968),
+	.A1(FE_OFN1615_n_8968),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [3]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [3]),
 	.Y(n_9533), 
 	.VPWR(vccd1), 
@@ -95228,9 +91851,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341546 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [4]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [4]),
 	.Y(n_9531), 
 	.VPWR(vccd1), 
@@ -95238,9 +91861,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341547 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [4]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [4]),
 	.Y(n_9530), 
 	.VPWR(vccd1), 
@@ -95248,9 +91871,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341548 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [4]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [4]),
 	.Y(n_9529), 
 	.VPWR(vccd1), 
@@ -95258,9 +91881,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341549 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [4]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [4]),
 	.Y(n_9528), 
 	.VPWR(vccd1), 
@@ -95268,9 +91891,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341550 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [4]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [4]),
 	.Y(n_9527), 
 	.VPWR(vccd1), 
@@ -95278,9 +91901,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341551 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [4]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [4]),
 	.Y(n_9526), 
 	.VPWR(vccd1), 
@@ -95288,9 +91911,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341552 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [4]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [4]),
 	.Y(n_9525), 
 	.VPWR(vccd1), 
@@ -95300,7 +91923,7 @@
    sky130_fd_sc_hd__a22oi_1 g341553 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [4]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [4]),
 	.Y(n_9524), 
 	.VPWR(vccd1), 
@@ -95308,9 +91931,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341554 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [4]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [4]),
 	.Y(n_9523), 
 	.VPWR(vccd1), 
@@ -95318,9 +91941,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341555 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [5]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [5]),
 	.Y(n_9522), 
 	.VPWR(vccd1), 
@@ -95328,9 +91951,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341556 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [5]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [5]),
 	.Y(n_9521), 
 	.VPWR(vccd1), 
@@ -95348,9 +91971,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341558 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [5]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [5]),
 	.Y(n_9519), 
 	.VPWR(vccd1), 
@@ -95358,9 +91981,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341559 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [5]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [5]),
 	.Y(n_9518), 
 	.VPWR(vccd1), 
@@ -95368,9 +91991,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341560 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [5]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [5]),
 	.Y(n_9517), 
 	.VPWR(vccd1), 
@@ -95380,7 +92003,7 @@
    sky130_fd_sc_hd__a22oi_1 g341561 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [5]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [5]),
 	.Y(n_9516), 
 	.VPWR(vccd1), 
@@ -95388,9 +92011,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341562 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [5]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [5]),
 	.Y(n_9515), 
 	.VPWR(vccd1), 
@@ -95398,9 +92021,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341563 (
-	.A1(n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [5]),
-	.B1(n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [5]),
 	.Y(n_9514), 
 	.VPWR(vccd1), 
@@ -95408,9 +92031,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341564 (
-	.A1(FE_OFN1550_n_8970),
+	.A1(n_8970),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [5]),
-	.B1(FE_OFN1552_n_8972),
+	.B1(n_8972),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [5]),
 	.Y(n_9513), 
 	.VPWR(vccd1), 
@@ -95418,9 +92041,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341565 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [6]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [6]),
 	.Y(n_9512), 
 	.VPWR(vccd1), 
@@ -95428,9 +92051,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341566 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [6]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [6]),
 	.Y(n_9511), 
 	.VPWR(vccd1), 
@@ -95438,9 +92061,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341567 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [6]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [6]),
 	.Y(n_9510), 
 	.VPWR(vccd1), 
@@ -95448,9 +92071,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341568 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [6]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [6]),
 	.Y(n_9509), 
 	.VPWR(vccd1), 
@@ -95458,9 +92081,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341569 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [6]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [6]),
 	.Y(n_9508), 
 	.VPWR(vccd1), 
@@ -95468,9 +92091,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341570 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [6]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [6]),
 	.Y(n_9507), 
 	.VPWR(vccd1), 
@@ -95478,9 +92101,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341571 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [5]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [5]),
 	.Y(n_9506), 
 	.VPWR(vccd1), 
@@ -95488,9 +92111,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341572 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [6]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [6]),
 	.Y(n_9505), 
 	.VPWR(vccd1), 
@@ -95498,9 +92121,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341573 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [6]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [6]),
 	.Y(n_9504), 
 	.VPWR(vccd1), 
@@ -95510,7 +92133,7 @@
    sky130_fd_sc_hd__a22oi_1 g341574 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [6]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [6]),
 	.Y(n_9503), 
 	.VPWR(vccd1), 
@@ -95518,9 +92141,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341575 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [6]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [6]),
 	.Y(n_9502), 
 	.VPWR(vccd1), 
@@ -95538,9 +92161,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341577 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [7]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [7]),
 	.Y(n_9500), 
 	.VPWR(vccd1), 
@@ -95548,9 +92171,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341578 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [7]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [7]),
 	.Y(n_9499), 
 	.VPWR(vccd1), 
@@ -95558,9 +92181,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341579 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [7]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [7]),
 	.Y(n_9498), 
 	.VPWR(vccd1), 
@@ -95568,9 +92191,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341580 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [7]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [7]),
 	.Y(n_9497), 
 	.VPWR(vccd1), 
@@ -95578,9 +92201,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341581 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [7]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [7]),
 	.Y(n_9496), 
 	.VPWR(vccd1), 
@@ -95588,9 +92211,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341582 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [7]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [7]),
 	.Y(n_9495), 
 	.VPWR(vccd1), 
@@ -95598,9 +92221,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341583 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [7]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [7]),
 	.Y(n_9494), 
 	.VPWR(vccd1), 
@@ -95610,7 +92233,7 @@
    sky130_fd_sc_hd__a22oi_1 g341584 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [7]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [7]),
 	.Y(n_9493), 
 	.VPWR(vccd1), 
@@ -95618,9 +92241,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341585 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [7]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [7]),
 	.Y(n_9492), 
 	.VPWR(vccd1), 
@@ -95628,9 +92251,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341586 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [8]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [8]),
 	.Y(n_9491), 
 	.VPWR(vccd1), 
@@ -95638,9 +92261,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341587 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [8]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [8]),
 	.Y(n_9490), 
 	.VPWR(vccd1), 
@@ -95658,9 +92281,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341589 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [8]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [8]),
 	.Y(n_9488), 
 	.VPWR(vccd1), 
@@ -95668,9 +92291,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341590 (
-	.A1(n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [8]),
-	.B1(n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [8]),
 	.Y(n_9487), 
 	.VPWR(vccd1), 
@@ -95678,9 +92301,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341591 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [8]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [8]),
 	.Y(n_9486), 
 	.VPWR(vccd1), 
@@ -95688,9 +92311,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341592 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [8]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [8]),
 	.Y(n_9485), 
 	.VPWR(vccd1), 
@@ -95698,9 +92321,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341593 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [8]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [8]),
 	.Y(n_9484), 
 	.VPWR(vccd1), 
@@ -95708,9 +92331,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341594 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [8]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [8]),
 	.Y(n_9483), 
 	.VPWR(vccd1), 
@@ -95720,7 +92343,7 @@
    sky130_fd_sc_hd__a22oi_1 g341595 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [8]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [8]),
 	.Y(n_9482), 
 	.VPWR(vccd1), 
@@ -95728,9 +92351,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341596 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [1]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [1]),
 	.Y(n_9481), 
 	.VPWR(vccd1), 
@@ -95738,9 +92361,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341597 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [1]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [1]),
 	.Y(n_9480), 
 	.VPWR(vccd1), 
@@ -95758,9 +92381,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341599 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [1]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [1]),
 	.Y(n_9478), 
 	.VPWR(vccd1), 
@@ -95768,9 +92391,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341600 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [1]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [1]),
 	.Y(n_9477), 
 	.VPWR(vccd1), 
@@ -95778,9 +92401,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341601 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [1]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [1]),
 	.Y(n_9476), 
 	.VPWR(vccd1), 
@@ -95788,9 +92411,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341602 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [1]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [1]),
 	.Y(n_9475), 
 	.VPWR(vccd1), 
@@ -95808,9 +92431,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341604 (
-	.A1(FE_OFN1557_n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [1]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [1]),
 	.Y(n_9473), 
 	.VPWR(vccd1), 
@@ -95818,9 +92441,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341605 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [1]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [1]),
 	.Y(n_9472), 
 	.VPWR(vccd1), 
@@ -95828,9 +92451,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341606 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [1]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [1]),
 	.Y(n_9471), 
 	.VPWR(vccd1), 
@@ -95838,9 +92461,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341607 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [2]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [2]),
 	.Y(n_9470), 
 	.VPWR(vccd1), 
@@ -95858,9 +92481,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341609 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [2]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [2]),
 	.Y(n_9468), 
 	.VPWR(vccd1), 
@@ -95868,9 +92491,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341610 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [2]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [2]),
 	.Y(n_9467), 
 	.VPWR(vccd1), 
@@ -95878,9 +92501,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341611 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [2]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [2]),
 	.Y(n_9466), 
 	.VPWR(vccd1), 
@@ -95898,9 +92521,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341613 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [2]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [2]),
 	.Y(n_9464), 
 	.VPWR(vccd1), 
@@ -95908,9 +92531,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341614 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [2]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [2]),
 	.Y(n_9463), 
 	.VPWR(vccd1), 
@@ -95918,9 +92541,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341615 (
-	.A1(n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [2]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [2]),
 	.Y(n_9462), 
 	.VPWR(vccd1), 
@@ -95928,9 +92551,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341616 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [2]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [2]),
 	.Y(n_9461), 
 	.VPWR(vccd1), 
@@ -95938,9 +92561,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341617 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [3]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [3]),
 	.Y(n_9460), 
 	.VPWR(vccd1), 
@@ -95958,9 +92581,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341619 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [3]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [3]),
 	.Y(n_9458), 
 	.VPWR(vccd1), 
@@ -95968,9 +92591,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341620 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [3]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [3]),
 	.Y(n_9457), 
 	.VPWR(vccd1), 
@@ -95978,9 +92601,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341621 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [3]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [3]),
 	.Y(n_9456), 
 	.VPWR(vccd1), 
@@ -95998,9 +92621,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341623 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [3]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [3]),
 	.Y(n_9454), 
 	.VPWR(vccd1), 
@@ -96008,9 +92631,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341624 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [1]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [1]),
 	.Y(n_9453), 
 	.VPWR(vccd1), 
@@ -96018,9 +92641,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341625 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [3]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [3]),
 	.Y(n_9452), 
 	.VPWR(vccd1), 
@@ -96028,9 +92651,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341626 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [3]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [3]),
 	.Y(n_9451), 
 	.VPWR(vccd1), 
@@ -96038,9 +92661,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341627 (
-	.A1(n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [3]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [3]),
 	.Y(n_9450), 
 	.VPWR(vccd1), 
@@ -96048,9 +92671,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341628 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [4]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [4]),
 	.Y(n_9449), 
 	.VPWR(vccd1), 
@@ -96058,9 +92681,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341629 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [4]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [4]),
 	.Y(n_9448), 
 	.VPWR(vccd1), 
@@ -96078,9 +92701,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341631 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [4]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [4]),
 	.Y(n_9446), 
 	.VPWR(vccd1), 
@@ -96098,9 +92721,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341633 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [4]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [4]),
 	.Y(n_9444), 
 	.VPWR(vccd1), 
@@ -96108,9 +92731,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341634 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [4]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [4]),
 	.Y(n_9443), 
 	.VPWR(vccd1), 
@@ -96118,9 +92741,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341635 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [4]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [4]),
 	.Y(n_9442), 
 	.VPWR(vccd1), 
@@ -96128,9 +92751,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341636 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [4]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [4]),
 	.Y(n_9441), 
 	.VPWR(vccd1), 
@@ -96138,9 +92761,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341637 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [4]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [4]),
 	.Y(n_9440), 
 	.VPWR(vccd1), 
@@ -96148,9 +92771,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341638 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [5]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [5]),
 	.Y(n_9439), 
 	.VPWR(vccd1), 
@@ -96158,9 +92781,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341639 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [5]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [5]),
 	.Y(n_9438), 
 	.VPWR(vccd1), 
@@ -96168,9 +92791,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341640 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [5]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [5]),
 	.Y(n_9437), 
 	.VPWR(vccd1), 
@@ -96178,9 +92801,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341641 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [5]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [5]),
 	.Y(n_9436), 
 	.VPWR(vccd1), 
@@ -96198,9 +92821,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341643 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [5]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [5]),
 	.Y(n_9434), 
 	.VPWR(vccd1), 
@@ -96208,9 +92831,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341644 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [5]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [5]),
 	.Y(n_9433), 
 	.VPWR(vccd1), 
@@ -96218,9 +92841,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341645 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [5]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [5]),
 	.Y(n_9432), 
 	.VPWR(vccd1), 
@@ -96228,9 +92851,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341646 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [5]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [5]),
 	.Y(n_9431), 
 	.VPWR(vccd1), 
@@ -96238,9 +92861,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341647 (
-	.A1(n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [5]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [5]),
 	.Y(n_9430), 
 	.VPWR(vccd1), 
@@ -96258,9 +92881,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341649 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [6]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [6]),
 	.Y(n_9428), 
 	.VPWR(vccd1), 
@@ -96268,9 +92891,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341650 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [6]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [6]),
 	.Y(n_9427), 
 	.VPWR(vccd1), 
@@ -96278,9 +92901,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341651 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [6]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [6]),
 	.Y(n_9426), 
 	.VPWR(vccd1), 
@@ -96288,9 +92911,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341652 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [6]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [6]),
 	.Y(n_9425), 
 	.VPWR(vccd1), 
@@ -96298,9 +92921,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341653 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [6]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [6]),
 	.Y(n_9424), 
 	.VPWR(vccd1), 
@@ -96318,9 +92941,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341655 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [6]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [6]),
 	.Y(n_9422), 
 	.VPWR(vccd1), 
@@ -96328,9 +92951,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341656 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [6]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [6]),
 	.Y(n_9421), 
 	.VPWR(vccd1), 
@@ -96338,9 +92961,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341657 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [6]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [6]),
 	.Y(n_9420), 
 	.VPWR(vccd1), 
@@ -96358,9 +92981,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341659 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [7]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [7]),
 	.Y(n_9418), 
 	.VPWR(vccd1), 
@@ -96368,9 +92991,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341660 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [7]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [7]),
 	.Y(n_9417), 
 	.VPWR(vccd1), 
@@ -96378,9 +93001,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341661 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [7]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [7]),
 	.Y(n_9416), 
 	.VPWR(vccd1), 
@@ -96388,9 +93011,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341662 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [7]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [7]),
 	.Y(n_9415), 
 	.VPWR(vccd1), 
@@ -96408,9 +93031,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341664 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [7]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [7]),
 	.Y(n_9413), 
 	.VPWR(vccd1), 
@@ -96418,9 +93041,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341665 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [7]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [7]),
 	.Y(n_9412), 
 	.VPWR(vccd1), 
@@ -96428,9 +93051,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341666 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [7]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [7]),
 	.Y(n_9411), 
 	.VPWR(vccd1), 
@@ -96438,9 +93061,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341667 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [7]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [7]),
 	.Y(n_9410), 
 	.VPWR(vccd1), 
@@ -96448,9 +93071,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341668 (
-	.A1(n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [7]),
-	.B1(FE_OFN1563_n_9004),
+	.B1(FE_OFN1629_n_9004),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [7]),
 	.Y(n_9409), 
 	.VPWR(vccd1), 
@@ -96458,9 +93081,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341669 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [8]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [8]),
 	.Y(n_9408), 
 	.VPWR(vccd1), 
@@ -96478,9 +93101,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341671 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [8]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [8]),
 	.Y(n_9406), 
 	.VPWR(vccd1), 
@@ -96488,9 +93111,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341672 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [8]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [8]),
 	.Y(n_9405), 
 	.VPWR(vccd1), 
@@ -96498,9 +93121,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341673 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [8]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [8]),
 	.Y(n_9404), 
 	.VPWR(vccd1), 
@@ -96518,9 +93141,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341675 (
-	.A1(FE_OFN1546_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [8]),
-	.B1(FE_OFN1544_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [8]),
 	.Y(n_9402), 
 	.VPWR(vccd1), 
@@ -96528,9 +93151,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341676 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(FE_OFN1604_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [8]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(FE_OFN1616_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [8]),
 	.Y(n_9401), 
 	.VPWR(vccd1), 
@@ -96538,9 +93161,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341677 (
-	.A1(FE_OFN1543_n_8965),
+	.A1(n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [8]),
-	.B1(FE_OFN1538_n_8962),
+	.B1(n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [8]),
 	.Y(n_9400), 
 	.VPWR(vccd1), 
@@ -96548,9 +93171,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341678 (
-	.A1(n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [8]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [8]),
 	.Y(n_9399), 
 	.VPWR(vccd1), 
@@ -96558,9 +93181,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341679 (
-	.A1(n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [1]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [1]),
 	.Y(n_9398), 
 	.VPWR(vccd1), 
@@ -96568,9 +93191,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341680 (
-	.A1(FE_OFN1553_n_8973),
+	.A1(n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [1]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [1]),
 	.Y(n_9397), 
 	.VPWR(vccd1), 
@@ -96578,9 +93201,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341681 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [1]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [1]),
 	.Y(n_9396), 
 	.VPWR(vccd1), 
@@ -96588,9 +93211,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341682 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [1]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [1]),
 	.Y(n_9395), 
 	.VPWR(vccd1), 
@@ -96598,9 +93221,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341683 (
-	.A1(FE_OFN1553_n_8973),
+	.A1(FE_OFN1619_n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [1]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [1]),
 	.Y(n_9394), 
 	.VPWR(vccd1), 
@@ -96608,9 +93231,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341684 (
-	.A1(n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [1]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [1]),
 	.Y(n_9393), 
 	.VPWR(vccd1), 
@@ -96618,9 +93241,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341685 (
-	.A1(FE_OFN1553_n_8973),
+	.A1(FE_OFN1619_n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [1]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [1]),
 	.Y(n_9392), 
 	.VPWR(vccd1), 
@@ -96628,9 +93251,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341686 (
-	.A1(FE_OFN1555_n_8975),
+	.A1(FE_OFN1621_n_8975),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [1]),
-	.B1(FE_OFN1556_n_8976),
+	.B1(FE_OFN1622_n_8976),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [1]),
 	.Y(n_9391), 
 	.VPWR(vccd1), 
@@ -96638,9 +93261,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341687 (
-	.A1(n_8980),
+	.A1(FE_OFN1626_n_8980),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [8]),
-	.B1(FE_OFN1558_n_8978),
+	.B1(FE_OFN1624_n_8978),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [8]),
 	.Y(n_9390), 
 	.VPWR(vccd1), 
@@ -96648,9 +93271,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341688 (
-	.A1(n_8977),
+	.A1(FE_OFN1623_n_8977),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [1]),
-	.B1(FE_OFN1554_n_8974),
+	.B1(FE_OFN1620_n_8974),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [1]),
 	.Y(n_9389), 
 	.VPWR(vccd1), 
@@ -96658,9 +93281,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341689 (
-	.A1(FE_OFN1553_n_8973),
+	.A1(FE_OFN1619_n_8973),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [1]),
-	.B1(FE_OFN1551_n_8971),
+	.B1(FE_OFN1618_n_8971),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [1]),
 	.Y(n_9388), 
 	.VPWR(vccd1), 
@@ -96668,9 +93291,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341690 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [2]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [2]),
 	.Y(n_9387), 
 	.VPWR(vccd1), 
@@ -96678,9 +93301,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341691 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [2]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [2]),
 	.Y(n_9386), 
 	.VPWR(vccd1), 
@@ -96688,9 +93311,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341692 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [2]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [2]),
 	.Y(n_9385), 
 	.VPWR(vccd1), 
@@ -96710,7 +93333,7 @@
    sky130_fd_sc_hd__a22oi_1 g341694 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [2]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [2]),
 	.Y(n_9383), 
 	.VPWR(vccd1), 
@@ -96718,9 +93341,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341695 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [2]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [2]),
 	.Y(n_9382), 
 	.VPWR(vccd1), 
@@ -96728,9 +93351,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341696 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [2]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [2]),
 	.Y(n_9381), 
 	.VPWR(vccd1), 
@@ -96738,9 +93361,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341697 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [2]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [2]),
 	.Y(n_9380), 
 	.VPWR(vccd1), 
@@ -96748,9 +93371,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341698 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [2]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [2]),
 	.Y(n_9379), 
 	.VPWR(vccd1), 
@@ -96758,9 +93381,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341699 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [2]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [2]),
 	.Y(n_9378), 
 	.VPWR(vccd1), 
@@ -96778,9 +93401,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341701 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [3]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [3]),
 	.Y(n_9376), 
 	.VPWR(vccd1), 
@@ -96788,9 +93411,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341702 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [3]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [3]),
 	.Y(n_9375), 
 	.VPWR(vccd1), 
@@ -96798,9 +93421,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341703 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [3]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [3]),
 	.Y(n_9374), 
 	.VPWR(vccd1), 
@@ -96808,9 +93431,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341704 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [3]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [3]),
 	.Y(n_9373), 
 	.VPWR(vccd1), 
@@ -96820,7 +93443,7 @@
    sky130_fd_sc_hd__a22oi_1 g341705 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [3]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [3]),
 	.Y(n_9372), 
 	.VPWR(vccd1), 
@@ -96828,9 +93451,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341706 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [3]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [3]),
 	.Y(n_9371), 
 	.VPWR(vccd1), 
@@ -96838,9 +93461,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341707 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [3]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [3]),
 	.Y(n_9370), 
 	.VPWR(vccd1), 
@@ -96848,9 +93471,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341708 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [3]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [3]),
 	.Y(n_9369), 
 	.VPWR(vccd1), 
@@ -96858,9 +93481,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341709 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [3]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [3]),
 	.Y(n_9368), 
 	.VPWR(vccd1), 
@@ -96868,9 +93491,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341710 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [4]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [4]),
 	.Y(n_9367), 
 	.VPWR(vccd1), 
@@ -96878,9 +93501,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341711 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [4]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [4]),
 	.Y(n_9366), 
 	.VPWR(vccd1), 
@@ -96898,9 +93521,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341713 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN19010_FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [4]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [4]),
 	.Y(n_9364), 
 	.VPWR(vccd1), 
@@ -96908,9 +93531,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341714 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [4]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [4]),
 	.Y(n_9363), 
 	.VPWR(vccd1), 
@@ -96918,9 +93541,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341715 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [4]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [4]),
 	.Y(n_9362), 
 	.VPWR(vccd1), 
@@ -96930,7 +93553,7 @@
    sky130_fd_sc_hd__a22oi_1 g341716 (
 	.A1(n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [4]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [4]),
 	.Y(n_9361), 
 	.VPWR(vccd1), 
@@ -96938,9 +93561,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341717 (
-	.A1(FE_OFN1547_n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [4]),
-	.B1(n_8966),
+	.B1(FE_OFN1612_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [4]),
 	.Y(n_9360), 
 	.VPWR(vccd1), 
@@ -96948,9 +93571,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341718 (
-	.A1(n_8965),
+	.A1(FE_OFN1611_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [4]),
-	.B1(n_8962),
+	.B1(FE_OFN1606_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [4]),
 	.Y(n_9359), 
 	.VPWR(vccd1), 
@@ -96958,9 +93581,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341719 (
-	.A1(FE_OFN1535_n_8961),
+	.A1(FE_OFN1603_n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [4]),
-	.B1(FE_OFN1548_n_8968),
+	.B1(FE_OFN1615_n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [4]),
 	.Y(n_9358), 
 	.VPWR(vccd1), 
@@ -96968,9 +93591,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341720 (
-	.A1(FE_OFN1536_n_8961),
+	.A1(n_8961),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [5]),
-	.B1(FE_OFN1549_n_8968),
+	.B1(n_8968),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [5]),
 	.Y(n_9357), 
 	.VPWR(vccd1), 
@@ -96978,9 +93601,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341721 (
-	.A1(n_8967),
+	.A1(FE_OFN1614_n_8967),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [5]),
-	.B1(FE_OFN1545_n_8966),
+	.B1(FE_OFN1613_n_8966),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [5]),
 	.Y(n_9356), 
 	.VPWR(vccd1), 
@@ -96988,9 +93611,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341722 (
-	.A1(FE_OFN1542_n_8965),
+	.A1(FE_OFN1610_n_8965),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [5]),
-	.B1(FE_OFN1537_n_8962),
+	.B1(FE_OFN1605_n_8962),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [5]),
 	.Y(n_9355), 
 	.VPWR(vccd1), 
@@ -97005,9 +93628,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341724 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [5]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [5]),
 	.X(n_9348), 
 	.VPWR(vccd1), 
@@ -97015,19 +93638,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341725 (
-	.A1(FE_OFN1533_n_8862),
+	.A1(FE_OFN1601_n_8862),
 	.A2(n_13404),
 	.B1(n_8865),
-	.B2(FE_PSN4777_FE_OFN1082_n_13075),
+	.B2(FE_OFN1249_n_13075),
 	.X(n_9347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341726 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [2]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [2]),
 	.X(n_9346), 
 	.VPWR(vccd1), 
@@ -97035,9 +93658,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341727 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [2]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [2]),
 	.X(n_9345), 
 	.VPWR(vccd1), 
@@ -97046,15 +93669,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g341728 (
 	.A(n_13752),
-	.B(FE_OFN1045_n_8983),
+	.B(FE_OFN1232_n_8983),
 	.Y(n_9344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g341729 (
+   sky130_fd_sc_hd__nand2_2 g341729 (
 	.A(n_13753),
-	.B(FE_OFN1045_n_8983),
+	.B(FE_OFN1232_n_8983),
 	.Y(n_9343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -97062,7 +93685,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g341730 (
 	.A(n_13750),
-	.B(FE_OFN1045_n_8983),
+	.B(FE_OFN1232_n_8983),
 	.Y(n_9342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -97070,16 +93693,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g341731 (
 	.A(n_13751),
-	.B(FE_OFN1045_n_8983),
+	.B(FE_OFN1232_n_8983),
 	.Y(n_9341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341732 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [2]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [2]),
 	.X(n_9340), 
 	.VPWR(vccd1), 
@@ -97103,9 +93726,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341735 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [2]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [2]),
 	.X(n_9338), 
 	.VPWR(vccd1), 
@@ -97113,19 +93736,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341736 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(n_13405),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_PSN3971_n_13405),
 	.B1(n_8865),
-	.B2(FE_COEN4304_n_13397),
+	.B2(n_13397),
 	.X(n_9337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341737 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [2]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [2]),
 	.X(n_9336), 
 	.VPWR(vccd1), 
@@ -97133,9 +93756,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341738 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [2]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [2]),
 	.X(n_9335), 
 	.VPWR(vccd1), 
@@ -97143,9 +93766,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341739 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [1]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [1]),
 	.X(n_9334), 
 	.VPWR(vccd1), 
@@ -97153,9 +93776,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341740 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [1]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [1]),
 	.X(n_9333), 
 	.VPWR(vccd1), 
@@ -97163,10 +93786,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341741 (
-	.A1(FE_OFN1533_n_8862),
+	.A1(FE_OFN1601_n_8862),
 	.A2(n_13406),
 	.B1(n_8865),
-	.B2(FE_OFN1083_n_13076),
+	.B2(FE_OFN1250_n_13076),
 	.X(n_9332), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -97181,9 +93804,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341743 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [1]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [1]),
 	.X(n_9330), 
 	.VPWR(vccd1), 
@@ -97191,9 +93814,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341744 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [1]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [1]),
 	.X(n_9329), 
 	.VPWR(vccd1), 
@@ -97201,9 +93824,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341745 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [1]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [1]),
 	.X(n_9328), 
 	.VPWR(vccd1), 
@@ -97211,9 +93834,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341746 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [1]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [1]),
 	.X(n_9327), 
 	.VPWR(vccd1), 
@@ -97221,8 +93844,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341747 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_PSN4147_n_13407),
+	.A1(FE_OFN1601_n_8862),
+	.A2(n_13407),
 	.B1(n_8865),
 	.B2(n_13399),
 	.X(n_9326), 
@@ -97231,8 +93854,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g341748 (
-	.A1(FE_OFN156_io_in_27),
-	.A2(FE_OFN18959_io_in_28),
+	.A1(FE_OFN163_io_in_27),
+	.A2(FE_OFN18750_io_in_28),
 	.B1(n_8987),
 	.Y(n_9325), 
 	.VPWR(vccd1), 
@@ -97241,7 +93864,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g341749 (
 	.A1(u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q),
-	.A2(n_8862),
+	.A2(FE_OFN1601_n_8862),
 	.B1(n_8875),
 	.Y(n_9324), 
 	.VPWR(vccd1), 
@@ -97249,7 +93872,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g341750 (
-	.A1(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.A1(u_soc_u_top_u_core_alu_adder_result_ex[1]),
 	.A2(n_8875),
 	.B1(n_8877),
 	.Y(n_9323), 
@@ -97258,9 +93881,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341753 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [1]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [1]),
 	.X(n_9320), 
 	.VPWR(vccd1), 
@@ -97268,9 +93891,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341754 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [5]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [5]),
 	.X(n_9319), 
 	.VPWR(vccd1), 
@@ -97278,9 +93901,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341755 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [3]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [3]),
 	.X(n_9318), 
 	.VPWR(vccd1), 
@@ -97288,9 +93911,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341756 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [5]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [5]),
 	.X(n_9317), 
 	.VPWR(vccd1), 
@@ -97298,9 +93921,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341757 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [5]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [5]),
 	.X(n_9316), 
 	.VPWR(vccd1), 
@@ -97308,9 +93931,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341758 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [5]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [5]),
 	.X(n_9315), 
 	.VPWR(vccd1), 
@@ -97318,9 +93941,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341759 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [5]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [5]),
 	.X(n_9314), 
 	.VPWR(vccd1), 
@@ -97328,9 +93951,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341760 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [5]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [5]),
 	.X(n_9313), 
 	.VPWR(vccd1), 
@@ -97338,9 +93961,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341761 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [5]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [5]),
 	.X(n_9312), 
 	.VPWR(vccd1), 
@@ -97348,9 +93971,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341762 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [6]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [6]),
 	.X(n_9311), 
 	.VPWR(vccd1), 
@@ -97358,9 +93981,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341763 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [6]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [6]),
 	.X(n_9310), 
 	.VPWR(vccd1), 
@@ -97368,9 +93991,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341764 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [6]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [6]),
 	.X(n_9309), 
 	.VPWR(vccd1), 
@@ -97378,9 +94001,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341765 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [6]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [6]),
 	.X(n_9308), 
 	.VPWR(vccd1), 
@@ -97388,9 +94011,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341766 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [6]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [6]),
 	.X(n_9307), 
 	.VPWR(vccd1), 
@@ -97398,9 +94021,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341767 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [6]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [6]),
 	.X(n_9306), 
 	.VPWR(vccd1), 
@@ -97408,9 +94031,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341768 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [6]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [6]),
 	.X(n_9305), 
 	.VPWR(vccd1), 
@@ -97418,9 +94041,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341769 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [6]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [6]),
 	.X(n_9304), 
 	.VPWR(vccd1), 
@@ -97428,9 +94051,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341770 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [7]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [7]),
 	.X(n_9303), 
 	.VPWR(vccd1), 
@@ -97438,9 +94061,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341771 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [7]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [7]),
 	.X(n_9302), 
 	.VPWR(vccd1), 
@@ -97448,9 +94071,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341772 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [7]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [7]),
 	.X(n_9301), 
 	.VPWR(vccd1), 
@@ -97458,9 +94081,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341773 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [7]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [7]),
 	.X(n_9300), 
 	.VPWR(vccd1), 
@@ -97468,19 +94091,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341774 (
-	.A1(FE_PSN4140_n_14),
-	.A2(FE_OFN19364_u_soc_u_iccm_rdata3_1),
+	.A1(FE_OFN1072_n_14),
+	.A2(u_soc_u_iccm_rdata3[1]),
 	.B1(n_495),
-	.B2(FE_COEN4320_FE_OFN18983_u_soc_u_iccm_rdata4_1),
+	.B2(FE_OFN820_u_soc_u_iccm_rdata4_1),
 	.Y(n_9299), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341775 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [7]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [7]),
 	.X(n_9298), 
 	.VPWR(vccd1), 
@@ -97488,29 +94111,29 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341776 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [7]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [7]),
 	.X(n_9297), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_4 g341777 (
-	.A1(FE_COEN4874_n_496),
-	.A2(FE_OFN617_u_soc_u_iccm_rdata1_1),
-	.B1(FE_PSN4125_n_15),
-	.B2(FE_OFN19300_u_soc_u_iccm_rdata2_1),
+   sky130_fd_sc_hd__a22oi_1 g341777 (
+	.A1(FE_OFN1079_n_496),
+	.A2(FE_OFN777_u_soc_u_iccm_rdata1_1),
+	.B1(FE_OFN1073_n_15),
+	.B2(u_soc_u_iccm_rdata2[1]),
 	.Y(n_9296), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341778 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [7]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [7]),
 	.X(n_9295), 
 	.VPWR(vccd1), 
@@ -97518,9 +94141,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341779 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [1]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [1]),
 	.X(n_9294), 
 	.VPWR(vccd1), 
@@ -97528,9 +94151,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341780 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [7]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [7]),
 	.X(n_9293), 
 	.VPWR(vccd1), 
@@ -97538,9 +94161,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341781 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [1]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [1]),
 	.X(n_9292), 
 	.VPWR(vccd1), 
@@ -97548,9 +94171,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341782 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [8]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [8]),
 	.X(n_9291), 
 	.VPWR(vccd1), 
@@ -97558,9 +94181,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341783 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [8]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [8]),
 	.X(n_9290), 
 	.VPWR(vccd1), 
@@ -97568,9 +94191,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341784 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [1]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [1]),
 	.X(n_9289), 
 	.VPWR(vccd1), 
@@ -97578,9 +94201,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341785 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [8]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [8]),
 	.X(n_9288), 
 	.VPWR(vccd1), 
@@ -97588,9 +94211,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341786 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [1]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [1]),
 	.X(n_9287), 
 	.VPWR(vccd1), 
@@ -97598,9 +94221,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341787 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [8]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [8]),
 	.X(n_9286), 
 	.VPWR(vccd1), 
@@ -97608,9 +94231,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341788 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [8]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [8]),
 	.X(n_9285), 
 	.VPWR(vccd1), 
@@ -97618,9 +94241,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341789 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [8]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [8]),
 	.X(n_9284), 
 	.VPWR(vccd1), 
@@ -97628,9 +94251,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341790 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [8]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [8]),
 	.X(n_9283), 
 	.VPWR(vccd1), 
@@ -97638,9 +94261,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341791 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [1]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [1]),
 	.X(n_9282), 
 	.VPWR(vccd1), 
@@ -97648,9 +94271,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341792 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [1]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [1]),
 	.X(n_9281), 
 	.VPWR(vccd1), 
@@ -97658,19 +94281,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g341793 (
-	.A1(FE_PSN4140_n_14),
-	.A2(FE_OFN19419_u_soc_u_iccm_rdata3_16),
+	.A1(FE_OFN1072_n_14),
+	.A2(u_soc_u_iccm_rdata3[16]),
 	.B1(n_495),
-	.B2(FE_COEN4319_FE_OFN19442_u_soc_u_iccm_rdata4_16),
+	.B2(FE_OFN804_u_soc_u_iccm_rdata4_16),
 	.Y(n_9280), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341794 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [1]),
-	.B1(FE_OFN879_n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [1]),
 	.X(n_9279), 
 	.VPWR(vccd1), 
@@ -97678,7 +94301,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341795 (
-	.A1(FE_OFN881_n_831),
+	.A1(FE_OFN1082_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [1]),
 	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [1]),
@@ -97687,20 +94310,20 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g341796 (
-	.A1(FE_COEN4874_n_496),
-	.A2(FE_COEN4321_FE_OFN601_u_soc_u_iccm_rdata1_16),
-	.B1(FE_PSN4125_n_15),
-	.B2(FE_OFN19352_u_soc_u_iccm_rdata2_16),
+   sky130_fd_sc_hd__a22oi_2 g341796 (
+	.A1(FE_OFN1079_n_496),
+	.A2(FE_OFN757_u_soc_u_iccm_rdata1_16),
+	.B1(FE_OFN1073_n_15),
+	.B2(u_soc_u_iccm_rdata2[16]),
 	.Y(n_9277), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341797 (
-	.A1(n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [1]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [1]),
 	.X(n_9276), 
 	.VPWR(vccd1), 
@@ -97708,9 +94331,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341798 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [1]),
-	.B1(FE_OFN879_n_690),
+	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [1]),
 	.X(n_9275), 
 	.VPWR(vccd1), 
@@ -97718,9 +94341,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341799 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [8]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [8]),
 	.X(n_9274), 
 	.VPWR(vccd1), 
@@ -97728,9 +94351,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341800 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [2]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [2]),
 	.X(n_9273), 
 	.VPWR(vccd1), 
@@ -97738,9 +94361,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341801 (
-	.A1(FE_OFN880_n_831),
+	.A1(n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [2]),
-	.B1(FE_OFN879_n_690),
+	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [2]),
 	.X(n_9272), 
 	.VPWR(vccd1), 
@@ -97748,7 +94371,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341802 (
-	.A1(FE_OFN881_n_831),
+	.A1(n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [2]),
 	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [2]),
@@ -97758,7 +94381,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341803 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [2]),
 	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [2]),
@@ -97768,9 +94391,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341804 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [2]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [2]),
 	.X(n_9269), 
 	.VPWR(vccd1), 
@@ -97778,9 +94401,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341805 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [2]),
-	.B1(FE_OFN879_n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [2]),
 	.X(n_9268), 
 	.VPWR(vccd1), 
@@ -97788,9 +94411,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341806 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [2]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [2]),
 	.X(n_9267), 
 	.VPWR(vccd1), 
@@ -97798,9 +94421,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341807 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [2]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [2]),
 	.X(n_9266), 
 	.VPWR(vccd1), 
@@ -97808,9 +94431,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341808 (
-	.A1(FE_OFN880_n_831),
+	.A1(n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [3]),
-	.B1(FE_OFN879_n_690),
+	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [3]),
 	.X(n_9265), 
 	.VPWR(vccd1), 
@@ -97818,9 +94441,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341809 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [4]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [4]),
 	.X(n_9264), 
 	.VPWR(vccd1), 
@@ -97828,7 +94451,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341810 (
-	.A1(FE_OFN881_n_831),
+	.A1(n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [3]),
 	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [3]),
@@ -97838,9 +94461,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341811 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [2]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [2]),
 	.X(n_9262), 
 	.VPWR(vccd1), 
@@ -97848,9 +94471,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341812 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [1]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [1]),
 	.X(n_9261), 
 	.VPWR(vccd1), 
@@ -97858,9 +94481,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341813 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [3]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [3]),
 	.X(n_9260), 
 	.VPWR(vccd1), 
@@ -97868,9 +94491,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341814 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [4]),
-	.B1(FE_OFN879_n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [4]),
 	.X(n_9259), 
 	.VPWR(vccd1), 
@@ -97878,9 +94501,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341815 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [3]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [3]),
 	.X(n_9258), 
 	.VPWR(vccd1), 
@@ -97888,9 +94511,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341816 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [3]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [3]),
 	.X(n_9257), 
 	.VPWR(vccd1), 
@@ -97898,9 +94521,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341817 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [4]),
-	.B1(FE_OFN879_n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [4]),
 	.X(n_9256), 
 	.VPWR(vccd1), 
@@ -97908,9 +94531,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341818 (
-	.A1(FE_OFN880_n_831),
+	.A1(n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [5]),
-	.B1(FE_OFN879_n_690),
+	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [5]),
 	.X(n_9255), 
 	.VPWR(vccd1), 
@@ -97918,9 +94541,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341819 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [3]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [3]),
 	.X(n_9254), 
 	.VPWR(vccd1), 
@@ -97928,7 +94551,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341820 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [2]),
 	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [2]),
@@ -97938,8 +94561,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341821 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_OFN18318_n_15946),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN18428_n),
 	.B1(n_8865),
 	.B2(n_13408),
 	.X(n_9252), 
@@ -97958,9 +94581,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341823 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [3]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [3]),
 	.X(n_9250), 
 	.VPWR(vccd1), 
@@ -97968,9 +94591,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341824 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [2]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [2]),
 	.X(n_9249), 
 	.VPWR(vccd1), 
@@ -97978,19 +94601,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341825 (
-	.A1(FE_OFN1533_n_8862),
+	.A1(FE_OFN1601_n_8862),
 	.A2(n_13415),
 	.B1(n_8865),
-	.B2(FE_PSN4147_n_13407),
+	.B2(n_13407),
 	.X(n_9248), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341826 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [5]),
-	.B1(FE_OFN879_n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [5]),
 	.X(n_9247), 
 	.VPWR(vccd1), 
@@ -97998,7 +94621,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341827 (
-	.A1(n_831),
+	.A1(FE_OFN1082_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [6]),
 	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [6]),
@@ -98008,9 +94631,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341828 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [6]),
-	.B1(FE_OFN879_n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [6]),
 	.X(n_9245), 
 	.VPWR(vccd1), 
@@ -98018,9 +94641,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341829 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [4]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [4]),
 	.X(n_9244), 
 	.VPWR(vccd1), 
@@ -98028,9 +94651,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341830 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [6]),
-	.B1(FE_OFN879_n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [6]),
 	.X(n_9243), 
 	.VPWR(vccd1), 
@@ -98038,7 +94661,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341831 (
-	.A1(FE_OFN881_n_831),
+	.A1(FE_OFN1082_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [7]),
 	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [7]),
@@ -98048,9 +94671,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341832 (
-	.A1(n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [4]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [4]),
 	.X(n_9241), 
 	.VPWR(vccd1), 
@@ -98058,7 +94681,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341833 (
-	.A1(FE_OFN881_n_831),
+	.A1(FE_OFN1082_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [7]),
 	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [7]),
@@ -98068,9 +94691,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341834 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [7]),
-	.B1(FE_OFN879_n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [7]),
 	.X(n_9239), 
 	.VPWR(vccd1), 
@@ -98078,9 +94701,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341835 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [4]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [4]),
 	.X(n_9238), 
 	.VPWR(vccd1), 
@@ -98088,9 +94711,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341836 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [4]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [4]),
 	.X(n_9237), 
 	.VPWR(vccd1), 
@@ -98098,9 +94721,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341837 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [1]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [1]),
 	.X(n_9236), 
 	.VPWR(vccd1), 
@@ -98108,9 +94731,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341838 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [3]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [3]),
 	.X(n_9235), 
 	.VPWR(vccd1), 
@@ -98118,9 +94741,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341839 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [7]),
-	.B1(FE_OFN879_n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [7]),
 	.X(n_9234), 
 	.VPWR(vccd1), 
@@ -98128,9 +94751,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341840 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [5]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [5]),
 	.X(n_9233), 
 	.VPWR(vccd1), 
@@ -98138,9 +94761,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341841 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [5]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [5]),
 	.X(n_9232), 
 	.VPWR(vccd1), 
@@ -98148,19 +94771,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341842 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_OFN1093_n_13090),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN1260_n_13090),
 	.B1(n_8865),
-	.B2(n_13405),
+	.B2(FE_PSN3971_n_13405),
 	.X(n_9231), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341843 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [8]),
-	.B1(FE_OFN879_n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [8]),
 	.X(n_9230), 
 	.VPWR(vccd1), 
@@ -98168,9 +94791,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341844 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [5]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [5]),
 	.X(n_9229), 
 	.VPWR(vccd1), 
@@ -98178,7 +94801,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341845 (
-	.A1(n_831),
+	.A1(FE_OFN1082_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [8]),
 	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [8]),
@@ -98188,9 +94811,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341846 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [8]),
-	.B1(FE_OFN879_n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [8]),
 	.X(n_9227), 
 	.VPWR(vccd1), 
@@ -98198,9 +94821,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341847 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [5]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [5]),
 	.X(n_9226), 
 	.VPWR(vccd1), 
@@ -98208,9 +94831,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341848 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [5]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [5]),
 	.X(n_9225), 
 	.VPWR(vccd1), 
@@ -98218,9 +94841,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341849 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [5]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [5]),
 	.X(n_9224), 
 	.VPWR(vccd1), 
@@ -98228,9 +94851,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341850 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [5]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [5]),
 	.X(n_9223), 
 	.VPWR(vccd1), 
@@ -98238,7 +94861,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341851 (
-	.A1(FE_OFN1533_n_8862),
+	.A1(FE_OFN1601_n_8862),
 	.A2(n_13412),
 	.B1(n_8865),
 	.B2(n_13404),
@@ -98248,9 +94871,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341852 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [6]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [6]),
 	.X(n_9221), 
 	.VPWR(vccd1), 
@@ -98258,9 +94881,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341853 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [6]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [6]),
 	.X(n_9220), 
 	.VPWR(vccd1), 
@@ -98268,9 +94891,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341854 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [6]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [6]),
 	.X(n_9219), 
 	.VPWR(vccd1), 
@@ -98278,9 +94901,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341855 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [6]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [6]),
 	.X(n_9218), 
 	.VPWR(vccd1), 
@@ -98288,9 +94911,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341856 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [6]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [6]),
 	.X(n_9217), 
 	.VPWR(vccd1), 
@@ -98298,9 +94921,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341857 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [5]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [5]),
 	.X(n_9216), 
 	.VPWR(vccd1), 
@@ -98308,9 +94931,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341858 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [6]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [6]),
 	.X(n_9215), 
 	.VPWR(vccd1), 
@@ -98318,19 +94941,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341859 (
-	.A1(FE_OFN1533_n_8862),
+	.A1(FE_OFN1601_n_8862),
 	.A2(n_13411),
 	.B1(n_8865),
-	.B2(FE_OFN1086_n_13082),
+	.B2(FE_OFN1253_n_13082),
 	.X(n_9214), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341860 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [6]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [6]),
 	.X(n_9213), 
 	.VPWR(vccd1), 
@@ -98338,7 +94961,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341861 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [6]),
 	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [6]),
@@ -98348,9 +94971,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341862 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [7]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [7]),
 	.X(n_9211), 
 	.VPWR(vccd1), 
@@ -98358,9 +94981,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341863 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [7]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [7]),
 	.X(n_9210), 
 	.VPWR(vccd1), 
@@ -98368,9 +94991,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341864 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [7]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [7]),
 	.X(n_9209), 
 	.VPWR(vccd1), 
@@ -98378,9 +95001,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341865 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [7]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [7]),
 	.X(n_9208), 
 	.VPWR(vccd1), 
@@ -98388,19 +95011,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341866 (
-	.A1(FE_OFN1533_n_8862),
+	.A1(FE_OFN1601_n_8862),
 	.A2(n_13410),
 	.B1(n_8865),
-	.B2(FE_COEN4329_n_13402),
+	.B2(n_13402),
 	.X(n_9207), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341867 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [7]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [7]),
 	.X(n_9206), 
 	.VPWR(vccd1), 
@@ -98408,9 +95031,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341868 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [7]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [7]),
 	.X(n_9205), 
 	.VPWR(vccd1), 
@@ -98418,9 +95041,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341869 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [7]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [7]),
 	.X(n_9204), 
 	.VPWR(vccd1), 
@@ -98428,9 +95051,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341870 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [7]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [7]),
 	.X(n_9203), 
 	.VPWR(vccd1), 
@@ -98438,18 +95061,18 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341871 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_PSN4168_n_13409),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_PSN3951_n_13409),
 	.B1(n_8865),
-	.B2(FE_COEN4335_n_13401),
+	.B2(FE_OFN19043_n_13401),
 	.X(n_9202), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341872 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_OFN1089_n_13086),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN1257_n_13086),
 	.B1(n_8865),
 	.B2(n_13406),
 	.X(n_9201), 
@@ -98458,7 +95081,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341873 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [8]),
 	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [8]),
@@ -98468,9 +95091,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341874 (
-	.A1(n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [8]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [8]),
 	.X(n_9199), 
 	.VPWR(vccd1), 
@@ -98478,9 +95101,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341875 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [8]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [8]),
 	.X(n_9198), 
 	.VPWR(vccd1), 
@@ -98488,9 +95111,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341876 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [8]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [8]),
 	.X(n_9197), 
 	.VPWR(vccd1), 
@@ -98498,9 +95121,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341877 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [8]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [8]),
 	.X(n_9196), 
 	.VPWR(vccd1), 
@@ -98508,9 +95131,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341878 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [8]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [8]),
 	.X(n_9195), 
 	.VPWR(vccd1), 
@@ -98518,7 +95141,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341879 (
-	.A1(FE_OFN1533_n_8862),
+	.A1(FE_OFN1601_n_8862),
 	.A2(n_13408),
 	.B1(n_8865),
 	.B2(n_13400),
@@ -98528,9 +95151,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341880 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [8]),
-	.B1(FE_OFN1008_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [8]),
 	.X(n_9193), 
 	.VPWR(vccd1), 
@@ -98538,9 +95161,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341881 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [8]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [8]),
 	.X(n_9192), 
 	.VPWR(vccd1), 
@@ -98581,7 +95204,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g341886 (
-	.A(n_8862),
+	.A(FE_OFN1601_n_8862),
 	.B(n_9011),
 	.Y(n_13340), 
 	.VPWR(vccd1), 
@@ -98639,7 +95262,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and3_1 g341893 (
-	.A(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.A(FE_PDN4101_u_soc_xbar_to_lsu_d_valid),
 	.B(n_8878),
 	.C(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
 	.X(n_13491), 
@@ -98649,14 +95272,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g341894 (
 	.A(n_9013),
-	.B(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.B(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
 	.Y(n_9351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g341895 (
-	.A(FE_OFN156_io_in_27),
+	.A(FE_OFN163_io_in_27),
 	.B_N(n_8987),
 	.Y(n_9350), 
 	.VPWR(vccd1), 
@@ -98664,7 +95287,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or2_0 g341896 (
-	.A(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.A(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10),
 	.B(n_8986),
 	.X(n_13482), 
 	.VPWR(vccd1), 
@@ -98672,7 +95295,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or2_2 g341897 (
-	.A(FE_COEN4295_u_soc_u_top_u_core_alu_operand_b_ex_3),
+	.A(FE_OFN18113_n_142),
 	.B(n_8986),
 	.X(n_13473), 
 	.VPWR(vccd1), 
@@ -98689,16 +95312,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g341899 (
 	.A_N(n_8992),
-	.B(FE_PSN4113_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_valid_q),
+	.B(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
 	.Y(u_soc_u_top_u_core_ready_wb), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341900 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [3]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [3]),
 	.X(n_9190), 
 	.VPWR(vccd1), 
@@ -98706,9 +95329,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341901 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [3]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [3]),
 	.X(n_9189), 
 	.VPWR(vccd1), 
@@ -98716,9 +95339,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341902 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [3]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [3]),
 	.X(n_9188), 
 	.VPWR(vccd1), 
@@ -98726,19 +95349,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341903 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_OFN1086_n_13082),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN1253_n_13082),
 	.B1(n_8865),
-	.B2(FE_PSN4129_n_13395),
+	.B2(FE_OFN19017_n_13074),
 	.X(n_9187), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341904 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [3]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [3]),
 	.X(n_9186), 
 	.VPWR(vccd1), 
@@ -98746,9 +95369,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341905 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [3]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [3]),
 	.X(n_9185), 
 	.VPWR(vccd1), 
@@ -98756,9 +95379,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341906 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [3]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [3]),
 	.X(n_9184), 
 	.VPWR(vccd1), 
@@ -98766,9 +95389,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341907 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [3]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [3]),
 	.X(n_9183), 
 	.VPWR(vccd1), 
@@ -98776,19 +95399,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341908 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_COEN4329_n_13402),
+	.A1(FE_OFN1601_n_8862),
+	.A2(n_13402),
 	.B1(n_8865),
-	.B2(FE_OFN19544_n_13394),
+	.B2(FE_OFN18244_n_13394),
 	.X(n_9182), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341909 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [3]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [3]),
 	.X(n_9181), 
 	.VPWR(vccd1), 
@@ -98796,9 +95419,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341910 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [3]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [3]),
 	.X(n_9180), 
 	.VPWR(vccd1), 
@@ -98806,19 +95429,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341911 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_COEN4335_n_13401),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN19043_n_13401),
 	.B1(n_8865),
-	.B2(FE_OFN18312_n_13393),
+	.B2(FE_OFN18241_n_13393),
 	.X(n_9179), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341912 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [4]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [4]),
 	.X(n_9178), 
 	.VPWR(vccd1), 
@@ -98826,9 +95449,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341913 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [4]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [4]),
 	.X(n_9177), 
 	.VPWR(vccd1), 
@@ -98836,9 +95459,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341914 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [4]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [4]),
 	.X(n_9176), 
 	.VPWR(vccd1), 
@@ -98846,9 +95469,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341915 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [4]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [4]),
 	.X(n_9175), 
 	.VPWR(vccd1), 
@@ -98856,19 +95479,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341916 (
-	.A1(FE_OFN1533_n_8862),
+	.A1(FE_OFN1601_n_8862),
 	.A2(n_13400),
 	.B1(n_8865),
-	.B2(FE_COEN4275_n_13392),
+	.B2(FE_OFN1256_n_13085),
 	.X(n_9174), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341917 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [4]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [4]),
 	.X(n_9173), 
 	.VPWR(vccd1), 
@@ -98876,9 +95499,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341918 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [4]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [4]),
 	.X(n_9172), 
 	.VPWR(vccd1), 
@@ -98886,9 +95509,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341919 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [4]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [4]),
 	.X(n_9171), 
 	.VPWR(vccd1), 
@@ -98896,9 +95519,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341920 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [4]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [4]),
 	.X(n_9170), 
 	.VPWR(vccd1), 
@@ -98906,9 +95529,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341921 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [4]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [4]),
 	.X(n_9169), 
 	.VPWR(vccd1), 
@@ -98916,19 +95539,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341922 (
-	.A1(FE_OFN1533_n_8862),
+	.A1(FE_OFN1601_n_8862),
 	.A2(n_13399),
 	.B1(n_8865),
-	.B2(FE_OFN19539_n_13391),
+	.B2(FE_OFN1652_n_13391),
 	.X(n_9168), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341923 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [5]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [5]),
 	.X(n_9167), 
 	.VPWR(vccd1), 
@@ -98936,9 +95559,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341924 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [5]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [5]),
 	.X(n_9166), 
 	.VPWR(vccd1), 
@@ -98946,9 +95569,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341925 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [5]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [5]),
 	.X(n_9165), 
 	.VPWR(vccd1), 
@@ -98956,39 +95579,39 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341926 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [5]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [5]),
 	.X(n_9164), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g341927 (
-	.A1(FE_COEN4874_n_496),
-	.A2(FE_OFN600_u_soc_u_iccm_rdata1_17),
-	.B1(FE_PSN4125_n_15),
-	.B2(FE_OFN19308_u_soc_u_iccm_rdata2_17),
+   sky130_fd_sc_hd__a22oi_2 g341927 (
+	.A1(FE_OFN1079_n_496),
+	.A2(FE_OFN756_u_soc_u_iccm_rdata1_17),
+	.B1(FE_OFN1073_n_15),
+	.B2(u_soc_u_iccm_rdata2[17]),
 	.Y(n_9163), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341928 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_OFN1083_n_13076),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN1250_n_13076),
 	.B1(n_8865),
-	.B2(FE_PSN4380_n_13390),
+	.B2(FE_OFN18427_n_13390),
 	.X(n_9162), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341929 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [5]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [5]),
 	.X(n_9161), 
 	.VPWR(vccd1), 
@@ -98996,29 +95619,29 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341930 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [5]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [5]),
 	.X(n_9160), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_1 g341931 (
-	.A1(FE_COEN4874_n_496),
-	.A2(FE_COEN4328_FE_PSN4106_FE_OFN618_u_soc_u_iccm_rdata1_0),
-	.B1(FE_PSN4125_n_15),
-	.B2(FE_OFN19345_u_soc_u_iccm_rdata2_0),
+   sky130_fd_sc_hd__a22oi_2 g341931 (
+	.A1(FE_OFN1079_n_496),
+	.A2(FE_OFN778_u_soc_u_iccm_rdata1_0),
+	.B1(FE_OFN3116_n_15),
+	.B2(u_soc_u_iccm_rdata2[0]),
 	.Y(n_9159), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341932 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [5]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [5]),
 	.X(n_9158), 
 	.VPWR(vccd1), 
@@ -99026,9 +95649,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341933 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [5]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [5]),
 	.X(n_9157), 
 	.VPWR(vccd1), 
@@ -99036,19 +95659,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341934 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_COEN4304_n_13397),
+	.A1(FE_OFN1601_n_8862),
+	.A2(n_13397),
 	.B1(n_8865),
-	.B2(FE_PSN4341_FE_OFN18495_n_13389),
+	.B2(FE_OFN18230_n),
 	.X(n_9156), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341935 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [6]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [6]),
 	.X(n_9155), 
 	.VPWR(vccd1), 
@@ -99056,9 +95679,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341936 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [6]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [6]),
 	.X(n_9154), 
 	.VPWR(vccd1), 
@@ -99066,9 +95689,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341937 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [6]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [6]),
 	.X(n_9153), 
 	.VPWR(vccd1), 
@@ -99076,9 +95699,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341938 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [6]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [6]),
 	.X(n_9152), 
 	.VPWR(vccd1), 
@@ -99086,19 +95709,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341939 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_PSN4777_FE_OFN1082_n_13075),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN1249_n_13075),
 	.B1(n_8865),
-	.B2(FE_OFN18594_n),
+	.B2(FE_OFN18431_n_13388),
 	.X(n_9151), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341940 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [6]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [6]),
 	.X(n_9150), 
 	.VPWR(vccd1), 
@@ -99106,9 +95729,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341941 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [6]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [6]),
 	.X(n_9149), 
 	.VPWR(vccd1), 
@@ -99116,9 +95739,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341942 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [6]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [6]),
 	.X(n_9148), 
 	.VPWR(vccd1), 
@@ -99126,9 +95749,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341943 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [6]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [6]),
 	.X(n_9147), 
 	.VPWR(vccd1), 
@@ -99136,19 +95759,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341944 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_PSN4129_n_13395),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN19017_n_13074),
 	.B1(n_8865),
-	.B2(FE_OFN19555_n_13387),
+	.B2(FE_OFN18450_n_13387),
 	.X(n_9146), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341945 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [7]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [7]),
 	.X(n_9145), 
 	.VPWR(vccd1), 
@@ -99156,9 +95779,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341946 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [7]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [7]),
 	.X(n_9144), 
 	.VPWR(vccd1), 
@@ -99166,9 +95789,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341947 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [7]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [7]),
 	.X(n_9143), 
 	.VPWR(vccd1), 
@@ -99176,19 +95799,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341948 (
-	.A1(n_8862),
-	.A2(FE_OFN19544_n_13394),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN18244_n_13394),
 	.B1(n_8865),
-	.B2(FE_OFN18487_n_13386),
+	.B2(FE_OFN19054_n_13386),
 	.X(n_9142), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341949 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [7]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [7]),
 	.X(n_9141), 
 	.VPWR(vccd1), 
@@ -99196,9 +95819,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341950 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [7]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [7]),
 	.X(n_9140), 
 	.VPWR(vccd1), 
@@ -99206,9 +95829,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341951 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [4]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [4]),
 	.X(n_9139), 
 	.VPWR(vccd1), 
@@ -99216,9 +95839,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341952 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [7]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [7]),
 	.X(n_9138), 
 	.VPWR(vccd1), 
@@ -99226,19 +95849,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341953 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_OFN18312_n_13393),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN18241_n_13393),
 	.B1(n_8865),
-	.B2(FE_OFN18484_n_13416),
+	.B2(FE_OFN18520_n),
 	.X(n_9137), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341954 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [7]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [7]),
 	.X(n_9136), 
 	.VPWR(vccd1), 
@@ -99246,9 +95869,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341955 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [7]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [7]),
 	.X(n_9135), 
 	.VPWR(vccd1), 
@@ -99256,9 +95879,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341956 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [8]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [8]),
 	.X(n_9134), 
 	.VPWR(vccd1), 
@@ -99266,9 +95889,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341957 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [8]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [8]),
 	.X(n_9133), 
 	.VPWR(vccd1), 
@@ -99276,19 +95899,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341958 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_COEN4275_n_13392),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN1256_n_13085),
 	.B1(n_8865),
-	.B2(FE_OFN18318_n_15946),
+	.B2(FE_OFN18428_n),
 	.X(n_9132), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341959 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [8]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [8]),
 	.X(n_9131), 
 	.VPWR(vccd1), 
@@ -99296,9 +95919,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341960 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [8]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [8]),
 	.X(n_9130), 
 	.VPWR(vccd1), 
@@ -99306,9 +95929,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341961 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [8]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [8]),
 	.X(n_9129), 
 	.VPWR(vccd1), 
@@ -99316,9 +95939,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341962 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [8]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [8]),
 	.X(n_9128), 
 	.VPWR(vccd1), 
@@ -99326,8 +95949,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341963 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_OFN19539_n_13391),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN1652_n_13391),
 	.B1(n_8865),
 	.B2(n_13415),
 	.X(n_9127), 
@@ -99336,9 +95959,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341964 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [8]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [8]),
 	.X(n_9126), 
 	.VPWR(vccd1), 
@@ -99346,9 +95969,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341965 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [8]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [8]),
 	.X(n_9125), 
 	.VPWR(vccd1), 
@@ -99356,9 +95979,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341966 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [1]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [1]),
 	.X(n_9124), 
 	.VPWR(vccd1), 
@@ -99366,9 +95989,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341967 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [1]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [1]),
 	.X(n_9123), 
 	.VPWR(vccd1), 
@@ -99376,9 +95999,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341968 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [1]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [1]),
 	.X(n_9122), 
 	.VPWR(vccd1), 
@@ -99386,19 +96009,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341969 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_PSN4380_n_13390),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN18427_n_13390),
 	.B1(n_8865),
-	.B2(FE_OFN1089_n_13086),
+	.B2(FE_OFN1257_n_13086),
 	.X(n_9121), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341970 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [1]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [1]),
 	.X(n_9120), 
 	.VPWR(vccd1), 
@@ -99406,9 +96029,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341971 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [1]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [1]),
 	.X(n_9119), 
 	.VPWR(vccd1), 
@@ -99416,9 +96039,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341972 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [1]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [1]),
 	.X(n_9118), 
 	.VPWR(vccd1), 
@@ -99426,19 +96049,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341973 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_PSN4341_FE_OFN18495_n_13389),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN18230_n),
 	.B1(n_8865),
-	.B2(FE_OFN1093_n_13090),
+	.B2(FE_OFN1260_n_13090),
 	.X(n_9117), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341974 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [1]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [1]),
 	.X(n_9116), 
 	.VPWR(vccd1), 
@@ -99446,9 +96069,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341975 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [1]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [1]),
 	.X(n_9115), 
 	.VPWR(vccd1), 
@@ -99456,29 +96079,29 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341976 (
-	.A1(FE_OFN1043_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [2]),
-	.B1(FE_OFN1013_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [2]),
 	.X(n_9114), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_2 g341977 (
-	.A1(FE_PSN4140_n_14),
-	.A2(FE_OFN19326_u_soc_u_iccm_rdata3_0),
+   sky130_fd_sc_hd__a22oi_1 g341977 (
+	.A1(FE_OFN1072_n_14),
+	.A2(u_soc_u_iccm_rdata3[0]),
 	.B1(n_495),
-	.B2(FE_OFN700_u_soc_u_iccm_rdata4_0),
+	.B2(FE_OFN821_u_soc_u_iccm_rdata4_0),
 	.Y(n_9113), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341978 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [2]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [2]),
 	.X(n_9112), 
 	.VPWR(vccd1), 
@@ -99486,7 +96109,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341979 (
-	.A1(FE_OFN881_n_831),
+	.A1(FE_OFN1082_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [4]),
 	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [4]),
@@ -99496,8 +96119,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341980 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_OFN18594_n),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN18431_n_13388),
 	.B1(n_8865),
 	.B2(n_13412),
 	.X(n_9110), 
@@ -99506,9 +96129,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341981 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [2]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [2]),
 	.X(n_9109), 
 	.VPWR(vccd1), 
@@ -99516,9 +96139,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341982 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [2]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [2]),
 	.X(n_9108), 
 	.VPWR(vccd1), 
@@ -99526,9 +96149,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341983 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [2]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [2]),
 	.X(n_9107), 
 	.VPWR(vccd1), 
@@ -99536,9 +96159,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341984 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [2]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [2]),
 	.X(n_9106), 
 	.VPWR(vccd1), 
@@ -99546,8 +96169,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341985 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_OFN19555_n_13387),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN18450_n_13387),
 	.B1(n_8865),
 	.B2(n_13411),
 	.X(n_9105), 
@@ -99556,9 +96179,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341986 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [2]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [2]),
 	.X(n_9104), 
 	.VPWR(vccd1), 
@@ -99566,9 +96189,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341987 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [2]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [2]),
 	.X(n_9103), 
 	.VPWR(vccd1), 
@@ -99576,9 +96199,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341988 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [2]),
-	.B1(FE_OFN19495_FE_OFN1032_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [2]),
 	.X(n_9102), 
 	.VPWR(vccd1), 
@@ -99586,9 +96209,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341989 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [3]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [3]),
 	.X(n_9101), 
 	.VPWR(vccd1), 
@@ -99596,8 +96219,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341990 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_OFN18487_n_13386),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN19054_n_13386),
 	.B1(n_8865),
 	.B2(n_13410),
 	.X(n_9100), 
@@ -99606,9 +96229,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341991 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [3]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [3]),
 	.X(n_9099), 
 	.VPWR(vccd1), 
@@ -99616,9 +96239,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341992 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [3]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [3]),
 	.X(n_9098), 
 	.VPWR(vccd1), 
@@ -99626,9 +96249,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341993 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [3]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [3]),
 	.X(n_9097), 
 	.VPWR(vccd1), 
@@ -99636,9 +96259,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341994 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [3]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [3]),
 	.X(n_9096), 
 	.VPWR(vccd1), 
@@ -99646,19 +96269,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341995 (
-	.A1(FE_OFN1533_n_8862),
-	.A2(FE_OFN18484_n_13416),
+	.A1(FE_OFN1601_n_8862),
+	.A2(FE_OFN18520_n),
 	.B1(n_8865),
-	.B2(FE_PSN4168_n_13409),
+	.B2(FE_PSN3951_n_13409),
 	.X(n_9095), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341996 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [3]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [3]),
 	.X(n_9094), 
 	.VPWR(vccd1), 
@@ -99666,9 +96289,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341997 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [3]),
-	.B1(n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [3]),
 	.X(n_9093), 
 	.VPWR(vccd1), 
@@ -99676,9 +96299,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341998 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [4]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [4]),
 	.X(n_9092), 
 	.VPWR(vccd1), 
@@ -99686,9 +96309,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g341999 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [4]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [4]),
 	.X(n_9091), 
 	.VPWR(vccd1), 
@@ -99696,9 +96319,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342000 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1209_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [4]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1222_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [4]),
 	.X(n_9090), 
 	.VPWR(vccd1), 
@@ -99706,9 +96329,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342001 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [4]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [4]),
 	.X(n_9089), 
 	.VPWR(vccd1), 
@@ -99716,9 +96339,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342002 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [4]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [4]),
 	.X(n_9088), 
 	.VPWR(vccd1), 
@@ -99726,9 +96349,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342003 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [4]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [4]),
 	.X(n_9087), 
 	.VPWR(vccd1), 
@@ -99736,9 +96359,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342004 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [4]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [4]),
 	.X(n_9086), 
 	.VPWR(vccd1), 
@@ -99746,9 +96369,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342005 (
-	.A1(n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [4]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [4]),
 	.X(n_9085), 
 	.VPWR(vccd1), 
@@ -99756,9 +96379,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342006 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [5]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [5]),
 	.X(n_9084), 
 	.VPWR(vccd1), 
@@ -99766,9 +96389,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342007 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [5]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [5]),
 	.X(n_9083), 
 	.VPWR(vccd1), 
@@ -99776,9 +96399,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342008 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [5]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [5]),
 	.X(n_9082), 
 	.VPWR(vccd1), 
@@ -99786,9 +96409,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342009 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [5]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [5]),
 	.X(n_9081), 
 	.VPWR(vccd1), 
@@ -99796,9 +96419,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342010 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [5]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [5]),
 	.X(n_9080), 
 	.VPWR(vccd1), 
@@ -99806,9 +96429,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342011 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [5]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [5]),
 	.X(n_9079), 
 	.VPWR(vccd1), 
@@ -99816,9 +96439,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342012 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [5]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [5]),
 	.X(n_9078), 
 	.VPWR(vccd1), 
@@ -99826,9 +96449,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342013 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [5]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [5]),
 	.X(n_9077), 
 	.VPWR(vccd1), 
@@ -99836,9 +96459,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342014 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [6]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [6]),
 	.X(n_9076), 
 	.VPWR(vccd1), 
@@ -99846,9 +96469,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342015 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [6]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [6]),
 	.X(n_9075), 
 	.VPWR(vccd1), 
@@ -99856,9 +96479,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342016 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [6]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [6]),
 	.X(n_9074), 
 	.VPWR(vccd1), 
@@ -99866,9 +96489,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342017 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [6]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [6]),
 	.X(n_9073), 
 	.VPWR(vccd1), 
@@ -99876,9 +96499,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342018 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [6]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [6]),
 	.X(n_9072), 
 	.VPWR(vccd1), 
@@ -99886,9 +96509,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342019 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [6]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [6]),
 	.X(n_9071), 
 	.VPWR(vccd1), 
@@ -99896,9 +96519,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342020 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [6]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [6]),
 	.X(n_9070), 
 	.VPWR(vccd1), 
@@ -99906,9 +96529,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342021 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [6]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [6]),
 	.X(n_9069), 
 	.VPWR(vccd1), 
@@ -99916,7 +96539,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342022 (
-	.A1(FE_OFN881_n_831),
+	.A1(FE_OFN1082_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [7]),
 	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [7]),
@@ -99926,9 +96549,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342023 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [7]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [7]),
 	.X(n_9067), 
 	.VPWR(vccd1), 
@@ -99936,9 +96559,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342024 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [7]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [7]),
 	.X(n_9066), 
 	.VPWR(vccd1), 
@@ -99946,9 +96569,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342025 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [7]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [7]),
 	.X(n_9065), 
 	.VPWR(vccd1), 
@@ -99956,9 +96579,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342026 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [7]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [7]),
 	.X(n_9064), 
 	.VPWR(vccd1), 
@@ -99966,9 +96589,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342027 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [7]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [7]),
 	.X(n_9063), 
 	.VPWR(vccd1), 
@@ -99976,9 +96599,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342028 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [7]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [7]),
 	.X(n_9062), 
 	.VPWR(vccd1), 
@@ -99986,9 +96609,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342029 (
-	.A1(FE_OFN1039_n_8921),
+	.A1(FE_OFN1224_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [4]),
-	.B1(FE_OFN1012_n_8835),
+	.B1(n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [4]),
 	.X(n_9061), 
 	.VPWR(vccd1), 
@@ -99996,9 +96619,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342030 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [7]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [7]),
 	.X(n_9060), 
 	.VPWR(vccd1), 
@@ -100006,9 +96629,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342031 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [7]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [7]),
 	.X(n_9059), 
 	.VPWR(vccd1), 
@@ -100016,9 +96639,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342032 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [8]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [8]),
 	.X(n_9058), 
 	.VPWR(vccd1), 
@@ -100026,9 +96649,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342033 (
-	.A1(FE_OFN1018_n_8844),
+	.A1(FE_OFN1210_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [8]),
-	.B1(FE_OFN1036_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [8]),
 	.X(n_9057), 
 	.VPWR(vccd1), 
@@ -100036,9 +96659,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342034 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [8]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [8]),
 	.X(n_9056), 
 	.VPWR(vccd1), 
@@ -100046,9 +96669,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342035 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [8]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [8]),
 	.X(n_9055), 
 	.VPWR(vccd1), 
@@ -100056,9 +96679,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342036 (
-	.A1(FE_OFN1041_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [8]),
-	.B1(FE_OFN1010_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [8]),
 	.X(n_9054), 
 	.VPWR(vccd1), 
@@ -100066,9 +96689,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342037 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [8]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [8]),
 	.X(n_9053), 
 	.VPWR(vccd1), 
@@ -100076,9 +96699,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342038 (
-	.A1(FE_OFN1015_n_8844),
+	.A1(n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [3]),
-	.B1(FE_OFN1034_n_8911),
+	.B1(n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [3]),
 	.X(n_9052), 
 	.VPWR(vccd1), 
@@ -100086,9 +96709,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342039 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1206_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [8]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1220_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [8]),
 	.X(n_9051), 
 	.VPWR(vccd1), 
@@ -100096,9 +96719,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342040 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [8]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [8]),
 	.X(n_9050), 
 	.VPWR(vccd1), 
@@ -100106,9 +96729,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342041 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [1]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [1]),
 	.X(n_9049), 
 	.VPWR(vccd1), 
@@ -100116,9 +96739,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342042 (
-	.A1(n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [1]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [1]),
 	.X(n_9048), 
 	.VPWR(vccd1), 
@@ -100126,9 +96749,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342043 (
-	.A1(FE_OFN1037_n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [1]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [1]),
 	.X(n_9047), 
 	.VPWR(vccd1), 
@@ -100136,9 +96759,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342044 (
-	.A1(n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [1]),
-	.B1(n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [1]),
 	.X(n_9046), 
 	.VPWR(vccd1), 
@@ -100146,9 +96769,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342045 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1208_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [1]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1219_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [1]),
 	.X(n_9045), 
 	.VPWR(vccd1), 
@@ -100156,9 +96779,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342046 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [1]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [1]),
 	.X(n_9044), 
 	.VPWR(vccd1), 
@@ -100166,9 +96789,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342047 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [1]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [1]),
 	.X(n_9043), 
 	.VPWR(vccd1), 
@@ -100176,9 +96799,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342048 (
-	.A1(n_8921),
+	.A1(FE_OFN1227_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [1]),
-	.B1(n_8835),
+	.B1(FE_OFN1203_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [1]),
 	.X(n_9042), 
 	.VPWR(vccd1), 
@@ -100186,9 +96809,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342049 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [2]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [2]),
 	.X(n_9041), 
 	.VPWR(vccd1), 
@@ -100196,9 +96819,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342050 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [2]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [2]),
 	.X(n_9040), 
 	.VPWR(vccd1), 
@@ -100206,9 +96829,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342051 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [2]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [2]),
 	.X(n_9039), 
 	.VPWR(vccd1), 
@@ -100216,9 +96839,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342052 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [2]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [2]),
 	.X(n_9038), 
 	.VPWR(vccd1), 
@@ -100226,9 +96849,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342053 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [2]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [2]),
 	.X(n_9037), 
 	.VPWR(vccd1), 
@@ -100236,9 +96859,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342054 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [2]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [2]),
 	.X(n_9036), 
 	.VPWR(vccd1), 
@@ -100246,9 +96869,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342055 (
-	.A1(FE_OFN1014_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [2]),
-	.B1(FE_OFN1032_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [2]),
 	.X(n_9035), 
 	.VPWR(vccd1), 
@@ -100256,9 +96879,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342056 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [2]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [2]),
 	.X(n_9034), 
 	.VPWR(vccd1), 
@@ -100266,9 +96889,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342057 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [3]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [3]),
 	.X(n_9033), 
 	.VPWR(vccd1), 
@@ -100276,9 +96899,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342058 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [3]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [3]),
 	.X(n_9032), 
 	.VPWR(vccd1), 
@@ -100286,9 +96909,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342059 (
-	.A1(FE_OFN880_n_831),
+	.A1(FE_OFN1083_n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [3]),
-	.B1(FE_OFN879_n_690),
+	.B1(FE_OFN1081_n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [3]),
 	.X(n_9031), 
 	.VPWR(vccd1), 
@@ -100296,9 +96919,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342060 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [3]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [3]),
 	.X(n_9030), 
 	.VPWR(vccd1), 
@@ -100306,9 +96929,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342061 (
-	.A1(FE_OFN1016_n_8844),
+	.A1(FE_OFN1211_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [3]),
-	.B1(FE_OFN1033_n_8911),
+	.B1(FE_OFN1223_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [3]),
 	.X(n_9029), 
 	.VPWR(vccd1), 
@@ -100316,9 +96939,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342062 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [3]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [3]),
 	.X(n_9028), 
 	.VPWR(vccd1), 
@@ -100326,9 +96949,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342063 (
-	.A1(FE_OFN1017_n_8844),
+	.A1(FE_OFN1207_n_8844),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [3]),
-	.B1(FE_OFN1035_n_8911),
+	.B1(FE_OFN1221_n_8911),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [3]),
 	.X(n_9027), 
 	.VPWR(vccd1), 
@@ -100336,9 +96959,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342064 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [3]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [3]),
 	.X(n_9026), 
 	.VPWR(vccd1), 
@@ -100346,19 +96969,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g342065 (
-	.A1(FE_PSN4140_n_14),
-	.A2(FE_OFN19365_u_soc_u_iccm_rdata3_17),
+	.A1(FE_OFN1072_n_14),
+	.A2(u_soc_u_iccm_rdata3[17]),
 	.B1(n_495),
-	.B2(FE_COEN4323_FE_OFN19381_u_soc_u_iccm_rdata4_17),
+	.B2(FE_OFN803_u_soc_u_iccm_rdata4_17),
 	.Y(n_9025), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342066 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [3]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [3]),
 	.X(n_9024), 
 	.VPWR(vccd1), 
@@ -100366,9 +96989,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342067 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [4]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [4]),
 	.X(n_9023), 
 	.VPWR(vccd1), 
@@ -100376,9 +96999,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342068 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [4]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [4]),
 	.X(n_9022), 
 	.VPWR(vccd1), 
@@ -100386,9 +97009,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342069 (
-	.A1(FE_OFN1038_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [4]),
-	.B1(FE_OFN1007_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [4]),
 	.X(n_9021), 
 	.VPWR(vccd1), 
@@ -100396,9 +97019,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342070 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1225_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [4]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1202_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [4]),
 	.X(n_9020), 
 	.VPWR(vccd1), 
@@ -100406,9 +97029,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342071 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [4]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [4]),
 	.X(n_9019), 
 	.VPWR(vccd1), 
@@ -100416,9 +97039,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342072 (
-	.A1(FE_OFN1042_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [4]),
-	.B1(FE_OFN1011_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [4]),
 	.X(n_9018), 
 	.VPWR(vccd1), 
@@ -100426,9 +97049,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342073 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1226_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [4]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1201_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [4]),
 	.X(n_9017), 
 	.VPWR(vccd1), 
@@ -100436,9 +97059,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342074 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1229_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [4]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1205_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [4]),
 	.X(n_9016), 
 	.VPWR(vccd1), 
@@ -100446,9 +97069,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342075 (
-	.A1(FE_OFN1040_n_8921),
+	.A1(FE_OFN1228_n_8921),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [2]),
-	.B1(FE_OFN1009_n_8835),
+	.B1(FE_OFN1204_n_8835),
 	.B2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [2]),
 	.X(n_9015), 
 	.VPWR(vccd1), 
@@ -100465,7 +97088,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g342077 (
+   sky130_fd_sc_hd__inv_6 g342077 (
 	.A(u_soc_iccm_adapter_instr_weD),
 	.Y(u_soc_instr_we), 
 	.VPWR(vccd1), 
@@ -100494,14 +97117,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g342083 (
-	.A(FE_OFN1048_n_9002),
+	.A(FE_OFN1236_n_9002),
 	.Y(n_9001), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g342117 (
-	.A(FE_OFN1534_n_8867),
+	.A(n_8867),
 	.B(u_soc_u_top_u_core_lsu_type[1]),
 	.Y(n_8998), 
 	.VPWR(vccd1), 
@@ -100509,7 +97132,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g342118 (
-	.A(n_8862),
+	.A(FE_OFN1601_n_8862),
 	.B(u_soc_u_top_u_core_lsu_type[1]),
 	.Y(n_8997), 
 	.VPWR(vccd1), 
@@ -100541,7 +97164,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g342122 (
-	.A(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.A(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2),
 	.B(n_13476),
 	.Y(n_9013), 
 	.VPWR(vccd1), 
@@ -100580,7 +97203,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g342127 (
+   sky130_fd_sc_hd__nor2_2 g342127 (
 	.A(u_soc_u_uart_u_uart_core_fifo_read_size[6]),
 	.B(n_13329),
 	.Y(n_9008), 
@@ -100596,7 +97219,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g342129 (
+   sky130_fd_sc_hd__nor2b_2 g342129 (
 	.A(n_13472),
 	.B_N(u_soc_u_top_u_core_pc_mux_id[2]),
 	.Y(n_13534), 
@@ -100668,7 +97291,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g342140 (
-	.A(FE_OFN1045_n_8983),
+	.A(FE_OFN1232_n_8983),
 	.Y(n_13470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -100782,17 +97405,17 @@
    sky130_fd_sc_hd__and3_1 g342155 (
 	.A(u_soc_u_uart_u_uart_core_tx_en),
 	.B(\u_soc_u_uart_u_uart_core_write_fifo_rdata_o[0]_5752 ),
-	.C(io_in[32]),
+	.C(FE_OFN159_io_in_32),
 	.X(u_soc_u_uart_u_uart_core_n_186), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2bb2ai_4 g342156 (
-	.A1_N(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
-	.A2_N(FE_OFN18361_n),
-	.B1(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
-	.B2(FE_OFN18361_n),
+   sky130_fd_sc_hd__o2bb2ai_2 g342156 (
+	.A1_N(FE_OFN908_u_soc_main_swith_host_lsu_dev_select_outstanding_1),
+	.A2_N(FE_OFN18379_u_soc_lsu_to_xbar_a_address__30),
+	.B1(FE_OFN908_u_soc_main_swith_host_lsu_dev_select_outstanding_1),
+	.B2(FE_OFN18379_u_soc_lsu_to_xbar_a_address__30),
 	.Y(n_8947), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -100816,7 +97439,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342159 (
-	.A1(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.A1(FE_PDN4101_u_soc_xbar_to_lsu_d_valid),
 	.A2(n_8822),
 	.B1(n_13465),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
@@ -100827,7 +97450,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__mux2_2 g342160 (
 	.A0(io_in[36]),
-	.A1(FE_OFN162_io_in_5),
+	.A1(FE_OFN18742_io_in_5),
 	.S(io_in[35]),
 	.X(rx), 
 	.VPWR(vccd1), 
@@ -100835,7 +97458,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g342161 (
-	.A1(FE_COEN4293_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_instr_type_q_0),
+	.A1(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
 	.A2(n_8816),
 	.B1(n_13365),
 	.Y(n_8992), 
@@ -100844,10 +97467,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4bb_1 g342162 (
-	.A_N(FE_OFN19549_u_soc_u_top_u_core_instr_rdata_id_26),
-	.B_N(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31),
-	.C(FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29),
-	.D(FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28),
+	.A_N(u_soc_u_top_u_core_instr_rdata_id[26]),
+	.B_N(FE_OFN18163_u_soc_u_top_u_core_instr_rdata_id_31),
+	.C(FE_OFN835_u_soc_u_top_u_core_instr_rdata_id_29),
+	.D(FE_OFN836_u_soc_u_top_u_core_instr_rdata_id_28),
 	.Y(n_8991), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -100878,9 +97501,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g342166 (
-	.A(FE_OFN158_io_in_25),
-	.B(FE_OFN157_io_in_26),
-	.C(FE_OFN160_io_in_24),
+	.A(FE_OFN165_io_in_25),
+	.B(FE_OFN164_io_in_26),
+	.C(FE_OFN166_io_in_24),
 	.Y(n_8987), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -100903,9 +97526,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or3b_1 g342169 (
-	.A(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.A(FE_OFN18276_u_soc_u_top_u_core_alu_operand_b_ex_6),
 	.B(n_8879),
-	.C_N(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8),
+	.C_N(FE_OFN18327_u_soc_u_top_u_core_alu_operand_b_ex_8),
 	.X(n_8986), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -100930,9 +97553,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3b_1 g342172 (
-	.A_N(FE_OFN158_io_in_25),
+	.A_N(FE_OFN165_io_in_25),
 	.B(n_8815),
-	.C(FE_OFN157_io_in_26),
+	.C(FE_OFN164_io_in_26),
 	.Y(n_8985), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -100997,7 +97620,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g342180 (
-	.A(FE_OFN1019_n_8853),
+	.A(n_8853),
 	.B(n_8847),
 	.Y(n_8977), 
 	.VPWR(vccd1), 
@@ -101005,7 +97628,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g342181 (
-	.A(FE_OFN1020_n_8853),
+	.A(n_8853),
 	.B(n_8838),
 	.Y(n_8976), 
 	.VPWR(vccd1), 
@@ -101013,7 +97636,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g342182 (
-	.A(FE_OFN1020_n_8853),
+	.A(n_8853),
 	.B(n_8924),
 	.Y(n_8975), 
 	.VPWR(vccd1), 
@@ -101021,7 +97644,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g342183 (
-	.A(FE_OFN1020_n_8853),
+	.A(n_8853),
 	.B(n_8914),
 	.Y(n_8974), 
 	.VPWR(vccd1), 
@@ -101029,15 +97652,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_2 g342184 (
-	.A(FE_OFN1018_n_8844),
+	.A(FE_OFN1207_n_8844),
 	.B(n_8854),
 	.X(n_8973), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g342185 (
-	.A(FE_OFN1008_n_8835),
+   sky130_fd_sc_hd__and2_4 g342185 (
+	.A(FE_OFN1202_n_8835),
 	.B(n_8854),
 	.X(n_8972), 
 	.VPWR(vccd1), 
@@ -101045,15 +97668,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g342186 (
-	.A(FE_OFN1022_n_8855),
+	.A(n_8855),
 	.B(n_8914),
 	.Y(n_8971), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g342187 (
-	.A(FE_OFN1043_n_8921),
+   sky130_fd_sc_hd__and2_1 g342187 (
+	.A(FE_OFN1225_n_8921),
 	.B(n_8854),
 	.X(n_8970), 
 	.VPWR(vccd1), 
@@ -101061,23 +97684,23 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_2 g342188 (
-	.A(FE_OFN1015_n_8844),
+	.A(FE_OFN1208_n_8844),
 	.B(n_8931),
 	.X(n_8968), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g342189 (
-	.A(FE_OFN1043_n_8921),
+   sky130_fd_sc_hd__and2_4 g342189 (
+	.A(FE_OFN1227_n_8921),
 	.B(n_8931),
 	.X(n_8967), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g342190 (
-	.A(FE_OFN1034_n_8911),
+   sky130_fd_sc_hd__and2_1 g342190 (
+	.A(FE_OFN1219_n_8911),
 	.B(n_8931),
 	.X(n_8966), 
 	.VPWR(vccd1), 
@@ -101085,23 +97708,23 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_2 g342191 (
-	.A(FE_OFN1014_n_8844),
+	.A(FE_OFN1206_n_8844),
 	.B(n_8859),
 	.X(n_8965), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g342192 (
-	.A(n_8921),
+   sky130_fd_sc_hd__and2_4 g342192 (
+	.A(FE_OFN1226_n_8921),
 	.B(n_8859),
 	.X(n_8964), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g342193 (
-	.A(n_8835),
+   sky130_fd_sc_hd__and2_1 g342193 (
+	.A(FE_OFN1201_n_8835),
 	.B(n_8859),
 	.X(n_8963), 
 	.VPWR(vccd1), 
@@ -101109,7 +97732,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_2 g342194 (
-	.A(FE_OFN1032_n_8911),
+	.A(n_8911),
 	.B(n_8859),
 	.X(n_8962), 
 	.VPWR(vccd1), 
@@ -101117,7 +97740,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_2 g342195 (
-	.A(FE_OFN1008_n_8835),
+	.A(FE_OFN1203_n_8835),
 	.B(n_8931),
 	.X(n_8961), 
 	.VPWR(vccd1), 
@@ -101153,35 +97776,35 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g342202 (
-	.A(FE_OFN1044_n_8932),
+	.A(FE_OFN1230_n_8932),
 	.Y(n_8931), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g342203 (
-	.A(n_831),
+	.A(FE_OFN1082_n_831),
 	.Y(n_13329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g342211 (
-	.A(FE_OFN1043_n_8921),
+	.A(FE_OFN1225_n_8921),
 	.Y(n_8924), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g342220 (
-	.A(FE_OFN1036_n_8911),
+	.A(FE_OFN1221_n_8911),
 	.Y(n_8914), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342222 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[9]),
 	.Y(n_8910), 
 	.VPWR(vccd1), 
@@ -101189,7 +97812,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342223 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[2]),
 	.Y(n_8909), 
 	.VPWR(vccd1), 
@@ -101197,7 +97820,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342224 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[25]),
 	.Y(n_8908), 
 	.VPWR(vccd1), 
@@ -101205,7 +97828,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342225 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[23]),
 	.Y(n_8907), 
 	.VPWR(vccd1), 
@@ -101213,7 +97836,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342226 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[0]),
 	.Y(n_8906), 
 	.VPWR(vccd1), 
@@ -101221,7 +97844,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342227 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[6]),
 	.Y(n_8905), 
 	.VPWR(vccd1), 
@@ -101229,7 +97852,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342228 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[8]),
 	.Y(n_8904), 
 	.VPWR(vccd1), 
@@ -101237,7 +97860,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342229 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[12]),
 	.Y(n_8903), 
 	.VPWR(vccd1), 
@@ -101245,7 +97868,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342230 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[16]),
 	.Y(n_8902), 
 	.VPWR(vccd1), 
@@ -101253,7 +97876,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342231 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[18]),
 	.Y(n_8901), 
 	.VPWR(vccd1), 
@@ -101261,7 +97884,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342232 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[20]),
 	.Y(n_8900), 
 	.VPWR(vccd1), 
@@ -101269,7 +97892,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342233 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[7]),
 	.Y(n_8899), 
 	.VPWR(vccd1), 
@@ -101277,7 +97900,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342234 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[24]),
 	.Y(n_8898), 
 	.VPWR(vccd1), 
@@ -101285,7 +97908,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342235 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[27]),
 	.Y(n_8897), 
 	.VPWR(vccd1), 
@@ -101293,7 +97916,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342236 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[29]),
 	.Y(n_8896), 
 	.VPWR(vccd1), 
@@ -101301,7 +97924,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342237 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[31]),
 	.Y(n_8895), 
 	.VPWR(vccd1), 
@@ -101309,7 +97932,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342238 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[4]),
 	.Y(n_8894), 
 	.VPWR(vccd1), 
@@ -101317,7 +97940,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342239 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[30]),
 	.Y(n_8893), 
 	.VPWR(vccd1), 
@@ -101325,7 +97948,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342240 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[28]),
 	.Y(n_8892), 
 	.VPWR(vccd1), 
@@ -101333,7 +97956,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342241 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[19]),
 	.Y(n_8891), 
 	.VPWR(vccd1), 
@@ -101341,7 +97964,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342242 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[22]),
 	.Y(n_8890), 
 	.VPWR(vccd1), 
@@ -101349,7 +97972,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342243 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[1]),
 	.Y(n_8889), 
 	.VPWR(vccd1), 
@@ -101357,7 +97980,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342244 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[3]),
 	.Y(n_8888), 
 	.VPWR(vccd1), 
@@ -101365,7 +97988,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342245 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[21]),
 	.Y(n_8887), 
 	.VPWR(vccd1), 
@@ -101373,7 +97996,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342246 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[5]),
 	.Y(n_8886), 
 	.VPWR(vccd1), 
@@ -101381,8 +98004,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g342247 (
-	.A(FE_OFN157_io_in_26),
-	.B(FE_OFN156_io_in_27),
+	.A(FE_OFN164_io_in_26),
+	.B(FE_OFN163_io_in_27),
 	.Y(n_8885), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -101414,7 +98037,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g342251 (
 	.A(n_8815),
-	.B(FE_OFN158_io_in_25),
+	.B(FE_OFN165_io_in_25),
 	.Y(n_8942), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -101430,7 +98053,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g342253 (
 	.A(u_soc_iccm_adapter_rvalid),
-	.B(FE_OFN878_n_641),
+	.B(n_641),
 	.Y(n_13458), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -101445,8 +98068,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or2_2 g342255 (
-	.A(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
-	.B(FE_PSN4395_n_15943),
+	.A(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18432_n_15943),
 	.X(n_13484), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -101461,16 +98084,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_4 g342257 (
-	.A(u_soc_u_iccm_bank_sel[1]),
-	.B_N(FE_PSN4853_u_soc_u_iccm_bank_sel_0),
+	.A(FE_OFN18558_u_soc_u_iccm_bank_sel_1),
+	.B_N(FE_OCPN19072_u_soc_u_iccm_bank_sel_0),
 	.Y(n_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 g342258 (
-	.A(u_soc_u_iccm_bank_sel[1]),
-	.B(FE_PSN4853_u_soc_u_iccm_bank_sel_0),
+   sky130_fd_sc_hd__nor2_1 g342258 (
+	.A(FE_OFN18558_u_soc_u_iccm_bank_sel_1),
+	.B(FE_OCPN19072_u_soc_u_iccm_bank_sel_0),
 	.Y(n_496), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -101486,13 +98109,13 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_4 g342260 (
 	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.B(FE_PSN4115_u_soc_u_top_u_core_pc_set),
+	.B(FE_PSBN19224_u_soc_u_top_u_core_pc_set),
 	.Y(n_8934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_2 g342261 (
+   sky130_fd_sc_hd__nand2b_1 g342261 (
 	.A_N(u_soc_u_uart_u_uart_core_fifo_read_size[1]),
 	.B(u_soc_u_uart_u_uart_core_fifo_read_size[5]),
 	.Y(n_8933), 
@@ -101547,7 +98170,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g342268 (
-	.A(FE_PSN4140_n_14),
+	.A(FE_OFN1072_n_14),
 	.Y(n_13359), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -101560,8 +98183,15 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g342273 (
+	.A(FE_OFN1601_n_8862),
+	.Y(n_8861), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g342274 (
-	.A(n_8860),
+	.A(FE_OFN1218_n_8860),
 	.Y(n_8859), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -101582,21 +98212,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g342277 (
-	.A(FE_OFN1023_n_8856),
+	.A(n_8856),
 	.Y(n_13449), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g342294 (
-	.A(FE_OFN1008_n_8835),
+	.A(FE_OFN1202_n_8835),
 	.Y(n_8838), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342295 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[11]),
 	.Y(n_8834), 
 	.VPWR(vccd1), 
@@ -101604,7 +98234,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342296 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[26]),
 	.Y(n_8833), 
 	.VPWR(vccd1), 
@@ -101612,15 +98242,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g342298 (
-	.A(FE_OFN19533_n_577),
-	.B(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.A(FE_OFN19016_n_577),
+	.B(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2),
 	.Y(n_8832), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342299 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[10]),
 	.Y(n_8831), 
 	.VPWR(vccd1), 
@@ -101628,31 +98258,31 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g342300 (
-	.A(FE_OFN18488_n_15943),
-	.B(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A(FE_OFN18432_n_15943),
+	.B(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_8830), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342301 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[17]),
 	.Y(n_8829), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g342302 (
+   sky130_fd_sc_hd__and2_1 g342302 (
 	.A(u_soc_u_top_u_core_core_clock_gate_i_en_latch),
-	.B(CTS_96),
+	.B(CTS_99),
 	.X(u_soc_u_top_u_core_clk), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342303 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[13]),
 	.Y(n_8828), 
 	.VPWR(vccd1), 
@@ -101660,15 +98290,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g342304 (
-	.A(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8),
-	.B(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.A(FE_OFN18327_u_soc_u_top_u_core_alu_operand_b_ex_8),
+	.B(FE_OFN18276_u_soc_u_top_u_core_alu_operand_b_ex_6),
 	.Y(n_8827), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342305 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[15]),
 	.Y(n_8826), 
 	.VPWR(vccd1), 
@@ -101676,7 +98306,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g342306 (
-	.A(u_soc_prog_rst_ni),
+	.A(FE_PDN3657_FE_OFN1284_u_soc_prog_rst_ni),
 	.B_N(u_soc_iccm_ctrl_data[14]),
 	.Y(n_8825), 
 	.VPWR(vccd1), 
@@ -101685,7 +98315,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g342307 (
 	.A(n_633),
-	.B(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.B(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7),
 	.Y(n_13476), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -101716,8 +98346,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g342311 (
-	.A(FE_COEN4295_u_soc_u_top_u_core_alu_operand_b_ex_3),
-	.B(FE_OFN18488_n_15943),
+	.A(FE_OFN18113_n_142),
+	.B(FE_OFN18432_n_15943),
 	.Y(n_8882), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -101732,23 +98362,23 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g342313 (
-	.A(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
-	.B(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.A(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.B(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7),
 	.Y(n_8881), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g342314 (
-	.A(FE_OFN19533_n_577),
-	.B(FE_COEN4295_u_soc_u_top_u_core_alu_operand_b_ex_3),
+	.A(FE_OFN19016_n_577),
+	.B(FE_OFN18113_n_142),
 	.Y(n_8880), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g342315 (
-	.A(FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9),
+	.A(FE_OFN18252_u_soc_u_top_u_core_alu_operand_b_ex_9),
 	.B(u_soc_u_top_u_core_csr_access),
 	.Y(n_8879), 
 	.VPWR(vccd1), 
@@ -101795,17 +98425,17 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g342321 (
-	.A(FE_PSN4853_u_soc_u_iccm_bank_sel_0),
-	.B_N(u_soc_u_iccm_bank_sel[1]),
+   sky130_fd_sc_hd__nor2b_1 g342321 (
+	.A(FE_OCPN19072_u_soc_u_iccm_bank_sel_0),
+	.B_N(FE_OFN18558_u_soc_u_iccm_bank_sel_1),
 	.Y(n_14), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g342322 (
-	.A(FE_PSN4853_u_soc_u_iccm_bank_sel_0),
-	.B(u_soc_u_iccm_bank_sel[1]),
+	.A(FE_OCPN19072_u_soc_u_iccm_bank_sel_0),
+	.B(FE_OFN18558_u_soc_u_iccm_bank_sel_1),
 	.Y(n_13358), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -101835,23 +98465,23 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g342326 (
-	.A(u_soc_u_top_u_core_pc_set),
+   sky130_fd_sc_hd__nand2_8 g342326 (
+	.A(FE_PSBN19224_u_soc_u_top_u_core_pc_set),
 	.B(n_8819),
 	.Y(n_13363), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g342327 (
-	.A(FE_OFN18279_n_16012),
-	.B_N(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+   sky130_fd_sc_hd__nor2b_2 g342327 (
+	.A(n_16012),
+	.B_N(u_soc_u_top_u_core_alu_adder_result_ex[1]),
 	.Y(n_8867), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_2 g342328 (
+   sky130_fd_sc_hd__or2_4 g342328 (
 	.A(u_soc_u_uart_u_uart_core_fifo_read_size[5]),
 	.B(u_soc_u_uart_u_uart_core_fifo_read_size[1]),
 	.X(n_8866), 
@@ -101861,23 +98491,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g342329 (
 	.A(n_8810),
-	.B(FE_OFN18279_n_16012),
+	.B(n_16012),
 	.X(n_8865), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g342330 (
-	.A(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
-	.B(FE_OFN18279_n_16012),
+	.A(u_soc_u_top_u_core_alu_adder_result_ex[1]),
+	.B(n_16012),
 	.Y(n_8864), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g342331 (
-	.A(FE_OFN18279_n_16012),
-	.B(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.A(n_16012),
+	.B(u_soc_u_top_u_core_alu_adder_result_ex[1]),
 	.Y(n_8862), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -101931,7 +98561,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_4 g342338 (
+   sky130_fd_sc_hd__and2b_2 g342338 (
 	.A_N(u_soc_u_uart_u_uart_core_read_fifo_raddr[6]),
 	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[7]),
 	.X(n_8844), 
@@ -101939,7 +98569,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_2 g342339 (
+   sky130_fd_sc_hd__and2b_4 g342339 (
 	.A_N(u_soc_u_uart_u_uart_core_read_fifo_raddr[7]),
 	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[6]),
 	.X(n_8835), 
@@ -101969,7 +98599,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g342349 (
-	.A(FE_OFN160_io_in_24),
+	.A(FE_OFN166_io_in_24),
 	.Y(n_8815), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -101983,21 +98613,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g342352 (
-	.A(FE_OFN1142_u_soc_u_top_data_we),
+	.A(FE_OFN1290_u_soc_u_top_data_we),
 	.Y(n_640), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g342354 (
-	.A(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.A(u_soc_u_top_u_core_alu_adder_result_ex[1]),
 	.Y(n_8810), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g342355 (
-	.A(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.A(FE_OFN18472_u_soc_u_top_u_core_alu_operand_b_ex_11),
 	.Y(n_633), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102019,7 +98649,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g276906 (
 	.A1(n_13555),
-	.A2(FE_OFN18534_n),
+	.A2(FE_OFN18441_u_soc_u_top_u_core_instr_rdata_id_20),
 	.B1(n_8804),
 	.B2(u_soc_u_top_u_core_instr_rdata_id[7]),
 	.C1(n_8805),
@@ -102039,7 +98669,7 @@
 	.A1(u_soc_u_top_u_core_instr_rdata_id[8]),
 	.A2(n_8804),
 	.B1(n_8802),
-	.B2(FE_OFN19558_u_soc_u_top_u_core_instr_rdata_id_21),
+	.B2(FE_OFN843_u_soc_u_top_u_core_instr_rdata_id_21),
 	.C1(n_13556),
 	.C2(u_soc_u_top_u_core_instr_is_compressed_id),
 	.Y(n_8807), 
@@ -102060,7 +98690,7 @@
 	.A1(n_8804),
 	.A2(u_soc_u_top_u_core_instr_rdata_id[11]),
 	.B1(n_8802),
-	.B2(FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24),
+	.B2(FE_OFN18285_u_soc_u_top_u_core_instr_rdata_id_24),
 	.X(n_13539), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102070,7 +98700,7 @@
 	.A1(n_8804),
 	.A2(u_soc_u_top_u_core_instr_rdata_id[10]),
 	.B1(n_8802),
-	.B2(FE_OFN18267_u_soc_u_top_u_core_instr_rdata_id_23),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[23]),
 	.X(n_13538), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102080,7 +98710,7 @@
 	.A1(n_8804),
 	.A2(u_soc_u_top_u_core_instr_rdata_id[9]),
 	.B1(n_8802),
-	.B2(FE_OFN18530_u_soc_u_top_u_core_instr_rdata_id_22),
+	.B2(FE_PSN3973_u_soc_u_top_u_core_instr_rdata_id_22),
 	.Y(n_8806), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102096,7 +98726,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g276914 (
 	.A(n_13556),
-	.B_N(FE_OFN713_u_soc_u_top_u_core_instr_rdata_id_29),
+	.B_N(FE_OFN835_u_soc_u_top_u_core_instr_rdata_id_29),
 	.Y(n_13544), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102104,7 +98734,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g276915 (
 	.A(n_13556),
-	.B_N(FE_OFN714_u_soc_u_top_u_core_instr_rdata_id_28),
+	.B_N(FE_OFN836_u_soc_u_top_u_core_instr_rdata_id_28),
 	.Y(n_13543), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102112,7 +98742,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g276916 (
 	.A(n_13556),
-	.B_N(FE_OFN19549_u_soc_u_top_u_core_instr_rdata_id_26),
+	.B_N(u_soc_u_top_u_core_instr_rdata_id[26]),
 	.Y(n_13541), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102120,14 +98750,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g276917 (
 	.A(n_13556),
-	.B_N(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
+	.B_N(FE_OFN837_u_soc_u_top_u_core_instr_rdata_id_27),
 	.Y(n_13542), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g276918 (
-	.A(FE_PSN4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.A(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
 	.B(n_13353),
 	.Y(n_8805), 
 	.VPWR(vccd1), 
@@ -102136,7 +98766,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g276919 (
 	.A(n_13556),
-	.B_N(FE_OFN18414_n),
+	.B_N(FE_OFN18142_u_soc_u_top_u_core_instr_rdata_id_25),
 	.Y(n_13540), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102151,7 +98781,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276922 (
 	.A1(n_13426),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(n_8791),
 	.B2(u_soc_u_top_u_core_pc_id[9]),
 	.X(n_13783), 
@@ -102160,8 +98790,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276923 (
-	.A1(FE_PSN4789_FE_OFN18315_n_13425),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(FE_OFN1878_n_13425),
+	.A2(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(n_8791),
 	.B2(u_soc_u_top_u_core_pc_id[8]),
 	.X(n_13782), 
@@ -102171,7 +98801,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276924 (
 	.A1(n_13427),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(n_8791),
 	.B2(u_soc_u_top_u_core_pc_id[10]),
 	.X(n_13784), 
@@ -102190,7 +98820,7 @@
    sky130_fd_sc_hd__or3b_1 g276926 (
 	.A(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
 	.B(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
-	.C_N(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31),
+	.C_N(FE_OFN18163_u_soc_u_top_u_core_instr_rdata_id_31),
 	.X(n_13353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102199,7 +98829,7 @@
    sky130_fd_sc_hd__nor3b_1 g276927 (
 	.A(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
 	.B(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
-	.C_N(FE_PSN4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.C_N(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
 	.Y(n_8804), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102207,7 +98837,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g276928 (
 	.A1(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
-	.A2(FE_PSN4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.A2(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
 	.B1(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
 	.Y(n_8803), 
 	.VPWR(vccd1), 
@@ -102215,8 +98845,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276929 (
-	.A1(FE_PSN4436_FE_OFN18492_n_13420),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(n_13420),
+	.A2(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(n_8791),
 	.B2(u_soc_u_top_u_core_pc_id[3]),
 	.X(n_13777), 
@@ -102226,7 +98856,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276930 (
 	.A1(n_13423),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(n_8791),
 	.B2(u_soc_u_top_u_core_pc_id[6]),
 	.X(n_13780), 
@@ -102235,8 +98865,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276931 (
-	.A1(FE_PSN4396_FE_OFN1585_n_13422),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(FE_OFN1654_n_13422),
+	.A2(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(n_8791),
 	.B2(u_soc_u_top_u_core_pc_id[5]),
 	.X(n_13779), 
@@ -102245,8 +98875,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276932 (
-	.A1(FE_OFN18294_n_13073),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(FE_OFN1247_n_13073),
+	.A2(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(n_8791),
 	.B2(u_soc_u_top_u_core_pc_id[4]),
 	.X(n_13778), 
@@ -102255,8 +98885,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276933 (
-	.A1(FE_PSN4350_FE_OFN1586_n_13424),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(FE_OFN18245_n_13424),
+	.A2(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(n_8791),
 	.B2(u_soc_u_top_u_core_pc_id[7]),
 	.X(n_13781), 
@@ -102265,8 +98895,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276934 (
-	.A1(FE_COEN4283_FE_OFN18238_n_13069),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(n_13419),
+	.A2(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(u_soc_u_top_u_core_pc_id[2]),
 	.B2(n_8791),
 	.X(n_13776), 
@@ -102275,8 +98905,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276935 (
-	.A1(n_13418),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(FE_OFN18407_n_669),
+	.A2(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(u_soc_u_top_u_core_pc_id[1]),
 	.B2(n_8791),
 	.X(n_13775), 
@@ -102285,8 +98915,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276936 (
-	.A1(FE_PSN4646_FE_OFN1587_n_13428),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(FE_OFN1656_n_13428),
+	.A2(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(n_8791),
 	.B2(u_soc_u_top_u_core_pc_id[11]),
 	.X(n_13785), 
@@ -102295,7 +98925,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g276937 (
-	.A(FE_PSN4823_u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel_1),
+	.A(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
 	.B(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
 	.Y(n_8802), 
 	.VPWR(vccd1), 
@@ -102304,8 +98934,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342358 (
 	.A1(n_13438),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[21]),
 	.X(n_13795), 
 	.VPWR(vccd1), 
@@ -102370,8 +99000,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342366 (
 	.A1(n_13441),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[24]),
 	.X(n_13798), 
 	.VPWR(vccd1), 
@@ -102379,9 +99009,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342367 (
-	.A1(FE_OFN1094_n_13091),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(n_13440),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[23]),
 	.X(n_13797), 
 	.VPWR(vccd1), 
@@ -102390,8 +99020,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342368 (
 	.A1(n_13447),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[30]),
 	.X(n_13804), 
 	.VPWR(vccd1), 
@@ -102400,8 +99030,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342369 (
 	.A1(n_13439),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[22]),
 	.X(n_13796), 
 	.VPWR(vccd1), 
@@ -102410,8 +99040,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342370 (
 	.A1(n_13446),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[29]),
 	.X(n_13803), 
 	.VPWR(vccd1), 
@@ -102427,10 +99057,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342372 (
-	.A1(FE_PSN4363_n_13429),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(n_13429),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(u_soc_u_top_u_core_pc_id[12]),
-	.B2(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.X(n_13786), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102438,8 +99068,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342373 (
 	.A1(n_13445),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[28]),
 	.X(n_13802), 
 	.VPWR(vccd1), 
@@ -102447,9 +99077,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342374 (
-	.A1(FE_COEN4573_n_13436),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(n_13436),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[19]),
 	.X(n_13793), 
 	.VPWR(vccd1), 
@@ -102458,8 +99088,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342375 (
 	.A1(n_13444),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[27]),
 	.X(n_13801), 
 	.VPWR(vccd1), 
@@ -102468,8 +99098,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342376 (
 	.A1(n_13435),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[18]),
 	.X(n_13792), 
 	.VPWR(vccd1), 
@@ -102478,8 +99108,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342377 (
 	.A1(n_13434),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[17]),
 	.X(n_13791), 
 	.VPWR(vccd1), 
@@ -102487,9 +99117,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g342378 (
-	.A1(FE_COEN4287_n_13433),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(n_13433),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[16]),
 	.X(n_13790), 
 	.VPWR(vccd1), 
@@ -102497,9 +99127,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276941 (
-	.A1(FE_COEN4330_n_13432),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(n_13432),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[15]),
 	.X(n_13789), 
 	.VPWR(vccd1), 
@@ -102508,8 +99138,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276942 (
 	.A1(n_13443),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[26]),
 	.X(n_13800), 
 	.VPWR(vccd1), 
@@ -102517,19 +99147,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276943 (
-	.A1(FE_COEN4310_n_13431),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(n_13431),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(u_soc_u_top_u_core_pc_id[14]),
-	.B2(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.X(n_13788), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276944 (
-	.A1(n_13448),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(FE_OFN1259_n_13089),
+	.A2(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(n_8791),
 	.B2(u_soc_u_top_u_core_pc_id[31]),
 	.X(n_13805), 
 	.VPWR(vccd1), 
@@ -102538,8 +99168,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276945 (
 	.A1(n_13442),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[25]),
 	.X(n_13799), 
 	.VPWR(vccd1), 
@@ -102547,19 +99177,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276946 (
-	.A1(n_13430),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(FE_OFN1261_n_13092),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B1(u_soc_u_top_u_core_pc_id[13]),
-	.B2(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B2(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.X(n_13787), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g276947 (
-	.A1(FE_COEN4285_n_13437),
-	.A2(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
-	.B1(FE_OFN18246_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A1(n_13437),
+	.A2(FE_OFN18174_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(FE_OFN18173_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.B2(u_soc_u_top_u_core_pc_id[20]),
 	.X(n_13794), 
 	.VPWR(vccd1), 
@@ -102568,7 +99198,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g276948 (
 	.A(n_13555),
-	.B(FE_OFN18523_u_soc_u_top_u_core_instr_rdata_id_15),
+	.B(FE_OFN849_u_soc_u_top_u_core_instr_rdata_id_15),
 	.Y(n_8799), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102576,7 +99206,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g276949 (
 	.A(n_13556),
-	.B_N(FE_OFN18248_u_soc_u_top_u_core_instr_rdata_id_31),
+	.B_N(FE_OFN18163_u_soc_u_top_u_core_instr_rdata_id_31),
 	.Y(n_13557), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102584,7 +99214,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g276950 (
 	.A(n_13555),
-	.B(FE_OCPN19278_u_soc_u_top_u_core_instr_rdata_id_16),
+	.B(FE_OFN18300_u_soc_u_top_u_core_instr_rdata_id_16),
 	.Y(n_8798), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102592,7 +99222,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g276951 (
 	.A(n_13555),
-	.B(FE_OFN18359_u_soc_u_top_u_core_instr_rdata_id_18),
+	.B(FE_OFN18274_u_soc_u_top_u_core_instr_rdata_id_18),
 	.Y(n_8797), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102600,7 +99230,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g276952 (
 	.A(n_13555),
-	.B(FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13),
+	.B(FE_OFN19034_u_soc_u_top_u_core_instr_rdata_id_13),
 	.Y(n_8796), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102608,7 +99238,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g276953 (
 	.A(n_13555),
-	.B(FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19),
+	.B(FE_OFN845_u_soc_u_top_u_core_instr_rdata_id_19),
 	.Y(n_8795), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102616,7 +99246,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g276954 (
 	.A(n_13555),
-	.B(FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14),
+	.B(FE_OFN18564_n),
 	.Y(n_8794), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102624,7 +99254,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g276955 (
 	.A(n_13555),
-	.B(FE_OFN19546_u_soc_u_top_u_core_instr_rdata_id_17),
+	.B(u_soc_u_top_u_core_instr_rdata_id[17]),
 	.Y(n_8793), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -102632,26 +99262,26 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g276956 (
 	.A(n_13555),
-	.B(FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12),
+	.B(FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_12),
 	.Y(n_8792), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_5584),
 	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_OFN75_io_out_37),
+	.RESET_B(FE_OFN14_io_out_37),
 	.SCD(n_19),
 	.SCE(n_2291), 
 	.VPWR(vccd1), 
@@ -102659,16 +99289,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_5168),
 	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_5892),
 	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]), 
 	.VPWR(vccd1), 
@@ -102676,7 +99306,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_5880),
 	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]), 
 	.VPWR(vccd1), 
@@ -102684,7 +99314,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[2][11]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_5137),
 	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]), 
 	.VPWR(vccd1), 
@@ -102692,7 +99322,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[3][11]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_5082),
 	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]), 
 	.VPWR(vccd1), 
@@ -102700,10 +99330,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_511),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.RESET_B(FE_OFN29_io_out_37),
+	.RESET_B(FE_OFN14_io_out_37),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
 	.SCE(n_1246), 
 	.VPWR(vccd1), 
@@ -102711,25 +99341,25 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_1991),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_5822),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_29),
+	.CLK(CTS_82),
 	.D(n_7057),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]), 
 	.VPWR(vccd1), 
@@ -102737,7 +99367,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_7054),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]), 
 	.VPWR(vccd1), 
@@ -102745,7 +99375,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_7058),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]), 
 	.VPWR(vccd1), 
@@ -102753,7 +99383,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_7060),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]), 
 	.VPWR(vccd1), 
@@ -102761,7 +99391,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
-	.CLK(CTS_29),
+	.CLK(CTS_82),
 	.D(n_7059),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]), 
 	.VPWR(vccd1), 
@@ -102769,7 +99399,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_7056),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]), 
 	.VPWR(vccd1), 
@@ -102777,7 +99407,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_7055),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]), 
 	.VPWR(vccd1), 
@@ -102785,7 +99415,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]  (
-	.CLK(CTS_29),
+	.CLK(CTS_82),
 	.D(n_7053),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]), 
 	.VPWR(vccd1), 
@@ -102793,7 +99423,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_7052),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]), 
 	.VPWR(vccd1), 
@@ -102801,7 +99431,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_5984),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
@@ -102811,7 +99441,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_7050),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]), 
 	.VPWR(vccd1), 
@@ -102819,7 +99449,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_7049),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]), 
 	.VPWR(vccd1), 
@@ -102827,7 +99457,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]  (
-	.CLK(CTS_30),
+	.CLK(CTS_81),
 	.D(n_5985),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
@@ -102837,7 +99467,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_7048),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]), 
 	.VPWR(vccd1), 
@@ -102845,7 +99475,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_5986),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
@@ -102855,7 +99485,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]  (
-	.CLK(CTS_29),
+	.CLK(CTS_84),
 	.D(n_7047),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]), 
 	.VPWR(vccd1), 
@@ -102863,7 +99493,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]  (
-	.CLK(CTS_25),
+	.CLK(CTS_81),
 	.D(n_5987),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
@@ -102873,7 +99503,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_6756),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]), 
 	.VPWR(vccd1), 
@@ -102881,7 +99511,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_6755),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]), 
 	.VPWR(vccd1), 
@@ -102889,7 +99519,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_5981),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
@@ -102899,7 +99529,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]  (
-	.CLK(CTS_25),
+	.CLK(CTS_84),
 	.D(n_5978),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
@@ -102909,7 +99539,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]  (
-	.CLK(CTS_25),
+	.CLK(CTS_84),
 	.D(n_5977),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
@@ -102919,7 +99549,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]  (
-	.CLK(CTS_30),
+	.CLK(CTS_84),
 	.D(n_5976),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
@@ -102929,7 +99559,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_5979),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
@@ -102939,7 +99569,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
 	.SCD(n_6880),
@@ -102949,7 +99579,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
 	.SCD(n_6879),
@@ -102959,7 +99589,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
 	.SCD(n_6878),
@@ -102969,7 +99599,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_6754),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]), 
 	.VPWR(vccd1), 
@@ -102977,7 +99607,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
 	.SCD(n_6877),
@@ -102987,7 +99617,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]  (
-	.CLK(CTS_43),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
 	.SCD(n_6876),
@@ -102997,7 +99627,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]  (
-	.CLK(CTS_43),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
 	.SCD(n_6875),
@@ -103007,7 +99637,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
 	.SCD(n_6874),
@@ -103017,7 +99647,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_29),
+	.CLK(CTS_82),
 	.D(n_7046),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]), 
 	.VPWR(vccd1), 
@@ -103025,7 +99655,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_7045),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]), 
 	.VPWR(vccd1), 
@@ -103033,7 +99663,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_7044),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]), 
 	.VPWR(vccd1), 
@@ -103041,7 +99671,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_7043),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]), 
 	.VPWR(vccd1), 
@@ -103049,7 +99679,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
-	.CLK(CTS_29),
+	.CLK(CTS_82),
 	.D(n_7042),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]), 
 	.VPWR(vccd1), 
@@ -103057,7 +99687,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
-	.CLK(CTS_29),
+	.CLK(CTS_82),
 	.D(n_7041),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]), 
 	.VPWR(vccd1), 
@@ -103065,7 +99695,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]  (
-	.CLK(CTS_30),
+	.CLK(CTS_87),
 	.D(n_7040),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]), 
 	.VPWR(vccd1), 
@@ -103073,7 +99703,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_7039),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]), 
 	.VPWR(vccd1), 
@@ -103081,7 +99711,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]  (
-	.CLK(CTS_30),
+	.CLK(CTS_87),
 	.D(n_7138),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]), 
 	.VPWR(vccd1), 
@@ -103089,7 +99719,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_5984),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
@@ -103099,7 +99729,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_7036),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]), 
 	.VPWR(vccd1), 
@@ -103107,7 +99737,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_7037),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]), 
 	.VPWR(vccd1), 
@@ -103115,7 +99745,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]  (
-	.CLK(CTS_30),
+	.CLK(CTS_81),
 	.D(n_5985),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
@@ -103125,7 +99755,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_7035),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]), 
 	.VPWR(vccd1), 
@@ -103133,7 +99763,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_5986),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
@@ -103143,7 +99773,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_7034),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]), 
 	.VPWR(vccd1), 
@@ -103151,7 +99781,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]  (
-	.CLK(CTS_25),
+	.CLK(CTS_84),
 	.D(n_5987),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
@@ -103161,7 +99791,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_6675),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]), 
 	.VPWR(vccd1), 
@@ -103169,7 +99799,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_6676),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]), 
 	.VPWR(vccd1), 
@@ -103177,7 +99807,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_5981),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
@@ -103187,7 +99817,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]  (
-	.CLK(CTS_25),
+	.CLK(CTS_81),
 	.D(n_5978),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
@@ -103197,7 +99827,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]  (
-	.CLK(CTS_30),
+	.CLK(CTS_84),
 	.D(n_5977),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
@@ -103207,7 +99837,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]  (
-	.CLK(CTS_30),
+	.CLK(CTS_87),
 	.D(n_5976),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
@@ -103217,7 +99847,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_5979),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
@@ -103227,7 +99857,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
 	.SCD(n_6880),
@@ -103237,7 +99867,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
 	.SCD(n_6879),
@@ -103247,7 +99877,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
 	.SCD(n_6878),
@@ -103257,7 +99887,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]  (
-	.CLK(CTS_29),
+	.CLK(CTS_82),
 	.D(n_6677),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]), 
 	.VPWR(vccd1), 
@@ -103265,7 +99895,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
 	.SCD(n_6877),
@@ -103275,7 +99905,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]  (
-	.CLK(CTS_43),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
 	.SCD(n_6876),
@@ -103285,7 +99915,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]  (
-	.CLK(CTS_43),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
 	.SCD(n_6875),
@@ -103295,7 +99925,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
 	.SCD(n_6874),
@@ -103305,7 +99935,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][1]  (
-	.CLK(CTS_29),
+	.CLK(CTS_82),
 	.D(n_7033),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]), 
 	.VPWR(vccd1), 
@@ -103313,7 +99943,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_7032),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]), 
 	.VPWR(vccd1), 
@@ -103321,7 +99951,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][3]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_7031),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]), 
 	.VPWR(vccd1), 
@@ -103329,7 +99959,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][4]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_7030),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]), 
 	.VPWR(vccd1), 
@@ -103337,7 +99967,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][5]  (
-	.CLK(CTS_25),
+	.CLK(CTS_82),
 	.D(n_7029),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]), 
 	.VPWR(vccd1), 
@@ -103345,7 +99975,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][6]  (
-	.CLK(CTS_29),
+	.CLK(CTS_82),
 	.D(n_7028),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]), 
 	.VPWR(vccd1), 
@@ -103353,7 +99983,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][7]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_7027),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]), 
 	.VPWR(vccd1), 
@@ -103361,7 +99991,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][8]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_7026),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]), 
 	.VPWR(vccd1), 
@@ -103369,7 +99999,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][9]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_7025),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]), 
 	.VPWR(vccd1), 
@@ -103377,7 +100007,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][10]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_5984),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
@@ -103387,7 +100017,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][11]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_7024),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]), 
 	.VPWR(vccd1), 
@@ -103395,7 +100025,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][12]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_7023),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]), 
 	.VPWR(vccd1), 
@@ -103403,7 +100033,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][13]  (
-	.CLK(CTS_43),
+	.CLK(CTS_81),
 	.D(n_5985),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
@@ -103413,7 +100043,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][14]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_7022),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]), 
 	.VPWR(vccd1), 
@@ -103421,7 +100051,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][15]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_5986),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
@@ -103431,7 +100061,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][16]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_7021),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]), 
 	.VPWR(vccd1), 
@@ -103439,7 +100069,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][17]  (
-	.CLK(CTS_25),
+	.CLK(CTS_81),
 	.D(n_5987),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
@@ -103449,7 +100079,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][18]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_6683),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]), 
 	.VPWR(vccd1), 
@@ -103457,7 +100087,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][19]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_6684),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]), 
 	.VPWR(vccd1), 
@@ -103465,7 +100095,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][20]  (
-	.CLK(CTS_25),
+	.CLK(CTS_81),
 	.D(n_5981),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
@@ -103475,7 +100105,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][21]  (
-	.CLK(CTS_25),
+	.CLK(CTS_81),
 	.D(n_5978),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
@@ -103485,7 +100115,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][22]  (
-	.CLK(CTS_25),
+	.CLK(CTS_81),
 	.D(n_5977),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
@@ -103495,7 +100125,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][23]  (
-	.CLK(CTS_30),
+	.CLK(CTS_81),
 	.D(n_5976),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
@@ -103505,7 +100135,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][24]  (
-	.CLK(CTS_25),
+	.CLK(CTS_81),
 	.D(n_5979),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
@@ -103515,7 +100145,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][25]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_6880),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
@@ -103525,7 +100155,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][26]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_6879),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
@@ -103535,7 +100165,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][27]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_6878),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
@@ -103545,7 +100175,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][28]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_6685),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]), 
 	.VPWR(vccd1), 
@@ -103553,7 +100183,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][29]  (
-	.CLK(CTS_43),
+	.CLK(CTS_81),
 	.D(n_6877),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
@@ -103563,7 +100193,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][30]  (
-	.CLK(CTS_43),
+	.CLK(CTS_81),
 	.D(n_6876),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
@@ -103573,7 +100203,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][31]  (
-	.CLK(CTS_43),
+	.CLK(CTS_81),
 	.D(n_6875),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
@@ -103583,7 +100213,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][32]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_6874),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
@@ -103593,7 +100223,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][1]  (
-	.CLK(CTS_29),
+	.CLK(CTS_82),
 	.D(n_7020),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]), 
 	.VPWR(vccd1), 
@@ -103601,7 +100231,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][2]  (
-	.CLK(CTS_29),
+	.CLK(CTS_82),
 	.D(n_7019),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]), 
 	.VPWR(vccd1), 
@@ -103609,7 +100239,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][3]  (
-	.CLK(CTS_25),
+	.CLK(CTS_82),
 	.D(n_7018),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]), 
 	.VPWR(vccd1), 
@@ -103617,7 +100247,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][4]  (
-	.CLK(CTS_25),
+	.CLK(CTS_82),
 	.D(n_7017),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]), 
 	.VPWR(vccd1), 
@@ -103625,7 +100255,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][5]  (
-	.CLK(CTS_29),
+	.CLK(CTS_82),
 	.D(n_7016),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]), 
 	.VPWR(vccd1), 
@@ -103633,7 +100263,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][6]  (
-	.CLK(CTS_29),
+	.CLK(CTS_82),
 	.D(n_7015),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]), 
 	.VPWR(vccd1), 
@@ -103641,7 +100271,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][7]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_7012),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]), 
 	.VPWR(vccd1), 
@@ -103649,7 +100279,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][8]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_7013),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]), 
 	.VPWR(vccd1), 
@@ -103657,7 +100287,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][9]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_7011),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]), 
 	.VPWR(vccd1), 
@@ -103665,7 +100295,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][10]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_5984),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
@@ -103675,7 +100305,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][11]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_7010),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]), 
 	.VPWR(vccd1), 
@@ -103683,7 +100313,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][12]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_7009),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]), 
 	.VPWR(vccd1), 
@@ -103691,7 +100321,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][13]  (
-	.CLK(CTS_30),
+	.CLK(CTS_81),
 	.D(n_5985),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
@@ -103701,7 +100331,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][14]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_7008),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]), 
 	.VPWR(vccd1), 
@@ -103709,7 +100339,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][15]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(n_5986),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
@@ -103719,7 +100349,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][16]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_7007),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]), 
 	.VPWR(vccd1), 
@@ -103727,7 +100357,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][17]  (
-	.CLK(CTS_25),
+	.CLK(CTS_81),
 	.D(n_5987),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
@@ -103737,7 +100367,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][18]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_6690),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]), 
 	.VPWR(vccd1), 
@@ -103745,7 +100375,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][19]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_6692),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]), 
 	.VPWR(vccd1), 
@@ -103753,7 +100383,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][20]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_5981),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
@@ -103763,7 +100393,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][21]  (
-	.CLK(CTS_25),
+	.CLK(CTS_84),
 	.D(n_5978),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
@@ -103773,7 +100403,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][22]  (
-	.CLK(CTS_30),
+	.CLK(CTS_84),
 	.D(n_5977),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
@@ -103783,7 +100413,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][23]  (
-	.CLK(CTS_30),
+	.CLK(CTS_87),
 	.D(n_5976),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
@@ -103793,7 +100423,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][24]  (
-	.CLK(CTS_25),
+	.CLK(CTS_87),
 	.D(n_5979),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
 	.SCD(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
@@ -103803,37 +100433,37 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][25]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
 	.SCD(n_6880),
-	.SCE(n_5645), 
+	.SCE(FE_OFN1550_n_5645), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][26]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
 	.SCD(n_6879),
-	.SCE(n_5645), 
+	.SCE(FE_OFN1550_n_5645), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][27]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
 	.SCD(n_6878),
-	.SCE(n_5645), 
+	.SCE(FE_OFN1550_n_5645), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][28]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_6700),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]), 
 	.VPWR(vccd1), 
@@ -103841,50 +100471,50 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][29]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
 	.SCD(n_6877),
-	.SCE(n_5645), 
+	.SCE(FE_OFN1550_n_5645), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][30]  (
-	.CLK(CTS_43),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
 	.SCD(n_6876),
-	.SCE(n_5645), 
+	.SCE(FE_OFN1550_n_5645), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][31]  (
-	.CLK(CTS_43),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
 	.SCD(n_6875),
-	.SCE(n_5645), 
+	.SCE(FE_OFN1550_n_5645), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][32]  (
-	.CLK(CTS_29),
+	.CLK(CTS_81),
 	.D(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
 	.SCD(n_6874),
-	.SCE(n_5645), 
+	.SCE(FE_OFN1550_n_5645), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.RESET_B(FE_OFN75_io_out_37),
+	.RESET_B(FE_OFN14_io_out_37),
 	.SCD(n_62),
 	.SCE(n_3110), 
 	.VPWR(vccd1), 
@@ -103892,19 +100522,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_5618),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_OFN75_io_out_37),
+	.RESET_B(FE_OFN59_io_out_37),
 	.SCD(n_487),
 	.SCE(n_1900), 
 	.VPWR(vccd1), 
@@ -103912,16 +100542,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_6381),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_5557),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]), 
 	.VPWR(vccd1), 
@@ -103929,7 +100559,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_5640),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]), 
 	.VPWR(vccd1), 
@@ -103937,7 +100567,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_5556),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]), 
 	.VPWR(vccd1), 
@@ -103945,7 +100575,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_5555),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]), 
 	.VPWR(vccd1), 
@@ -103953,7 +100583,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_87),
 	.D(n_5162),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]), 
 	.VPWR(vccd1), 
@@ -103961,7 +100591,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_87),
 	.D(n_5161),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]), 
 	.VPWR(vccd1), 
@@ -103969,7 +100599,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_31),
+	.CLK(CTS_87),
 	.D(n_5160),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]), 
 	.VPWR(vccd1), 
@@ -103977,7 +100607,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_31),
+	.CLK(CTS_87),
 	.D(n_5159),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]), 
 	.VPWR(vccd1), 
@@ -103985,7 +100615,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][1]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_5158),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]), 
 	.VPWR(vccd1), 
@@ -103993,7 +100623,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][2]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_5157),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]), 
 	.VPWR(vccd1), 
@@ -104001,7 +100631,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][3]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_5156),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]), 
 	.VPWR(vccd1), 
@@ -104009,7 +100639,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][4]  (
-	.CLK(CTS_29),
+	.CLK(CTS_87),
 	.D(n_5155),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]), 
 	.VPWR(vccd1), 
@@ -104017,7 +100647,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_87),
 	.D(n_5154),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]), 
 	.VPWR(vccd1), 
@@ -104025,7 +100655,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_5153),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]), 
 	.VPWR(vccd1), 
@@ -104033,7 +100663,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][3]  (
-	.CLK(CTS_31),
+	.CLK(CTS_87),
 	.D(n_5152),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]), 
 	.VPWR(vccd1), 
@@ -104041,7 +100671,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][4]  (
-	.CLK(CTS_31),
+	.CLK(CTS_87),
 	.D(n_5151),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]), 
 	.VPWR(vccd1), 
@@ -104049,34 +100679,34 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 u_soc_dccm_adapter_rvalid_o_reg (
-	.CLK(CTS_52),
+	.CLK(CTS_86),
 	.D(n_1174),
 	.Q(u_soc_dccm_adapter_rvalid_o),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_6183),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_5723),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_6380),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]), 
 	.VPWR(vccd1), 
@@ -104084,7 +100714,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_6363),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]), 
 	.VPWR(vccd1), 
@@ -104092,19 +100722,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_21),
+	.CLK(CTS_84),
 	.D(n_6705),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_OFN35_io_out_37),
+	.RESET_B(FE_OFN5_io_out_37),
 	.SCD(n_1486),
 	.SCE(n_1886), 
 	.VPWR(vccd1), 
@@ -104112,16 +100742,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_21),
+	.CLK(CTS_84),
 	.D(n_4184),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_35),
+	.CLK(CTS_80),
 	.D(n_5722),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]), 
 	.VPWR(vccd1), 
@@ -104129,7 +100759,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_35),
+	.CLK(CTS_80),
 	.D(n_5721),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]), 
 	.VPWR(vccd1), 
@@ -104137,7 +100767,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_6182),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]), 
 	.VPWR(vccd1), 
@@ -104145,7 +100775,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_6181),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]), 
 	.VPWR(vccd1), 
@@ -104153,7 +100783,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_6180),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]), 
 	.VPWR(vccd1), 
@@ -104161,7 +100791,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
-	.CLK(CTS_35),
+	.CLK(CTS_83),
 	.D(n_6179),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]), 
 	.VPWR(vccd1), 
@@ -104169,7 +100799,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]  (
-	.CLK(CTS_35),
+	.CLK(CTS_83),
 	.D(n_6178),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]), 
 	.VPWR(vccd1), 
@@ -104177,7 +100807,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_6177),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]), 
 	.VPWR(vccd1), 
@@ -104185,7 +100815,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]  (
-	.CLK(CTS_35),
+	.CLK(CTS_80),
 	.D(n_5720),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]), 
 	.VPWR(vccd1), 
@@ -104193,7 +100823,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5719),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]), 
 	.VPWR(vccd1), 
@@ -104201,7 +100831,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5718),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]), 
 	.VPWR(vccd1), 
@@ -104209,7 +100839,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5714),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]), 
 	.VPWR(vccd1), 
@@ -104217,7 +100847,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5717),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]), 
 	.VPWR(vccd1), 
@@ -104225,7 +100855,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5716),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]), 
 	.VPWR(vccd1), 
@@ -104233,7 +100863,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5715),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]), 
 	.VPWR(vccd1), 
@@ -104241,7 +100871,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5752),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]), 
 	.VPWR(vccd1), 
@@ -104249,7 +100879,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5760),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]), 
 	.VPWR(vccd1), 
@@ -104257,7 +100887,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]  (
-	.CLK(CTS_21),
+	.CLK(CTS_15),
 	.D(n_5759),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]), 
 	.VPWR(vccd1), 
@@ -104265,7 +100895,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]  (
-	.CLK(CTS_21),
+	.CLK(CTS_80),
 	.D(n_6173),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]), 
 	.VPWR(vccd1), 
@@ -104273,7 +100903,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]  (
-	.CLK(CTS_21),
+	.CLK(CTS_80),
 	.D(n_6172),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]), 
 	.VPWR(vccd1), 
@@ -104281,7 +100911,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]  (
-	.CLK(CTS_35),
+	.CLK(CTS_80),
 	.D(n_6169),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]), 
 	.VPWR(vccd1), 
@@ -104289,7 +100919,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]  (
-	.CLK(CTS_35),
+	.CLK(CTS_80),
 	.D(n_6168),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]), 
 	.VPWR(vccd1), 
@@ -104297,7 +100927,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]  (
-	.CLK(CTS_21),
+	.CLK(CTS_80),
 	.D(n_6167),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]), 
 	.VPWR(vccd1), 
@@ -104305,7 +100935,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]  (
-	.CLK(CTS_35),
+	.CLK(CTS_80),
 	.D(n_6166),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]), 
 	.VPWR(vccd1), 
@@ -104313,7 +100943,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]  (
-	.CLK(CTS_22),
+	.CLK(CTS_15),
 	.D(n_5762),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]), 
 	.VPWR(vccd1), 
@@ -104321,7 +100951,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]  (
-	.CLK(CTS_21),
+	.CLK(CTS_15),
 	.D(n_5795),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]), 
 	.VPWR(vccd1), 
@@ -104329,7 +100959,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]  (
-	.CLK(CTS_22),
+	.CLK(CTS_15),
 	.D(n_5796),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]), 
 	.VPWR(vccd1), 
@@ -104337,7 +100967,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]  (
-	.CLK(CTS_21),
+	.CLK(CTS_15),
 	.D(n_5797),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]), 
 	.VPWR(vccd1), 
@@ -104345,7 +100975,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]  (
-	.CLK(CTS_35),
+	.CLK(CTS_15),
 	.D(n_5798),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]), 
 	.VPWR(vccd1), 
@@ -104353,7 +100983,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]  (
-	.CLK(CTS_35),
+	.CLK(CTS_80),
 	.D(n_5799),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]), 
 	.VPWR(vccd1), 
@@ -104361,7 +100991,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]  (
-	.CLK(CTS_22),
+	.CLK(CTS_15),
 	.D(n_5800),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]), 
 	.VPWR(vccd1), 
@@ -104369,7 +100999,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]  (
-	.CLK(CTS_22),
+	.CLK(CTS_15),
 	.D(n_5801),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]), 
 	.VPWR(vccd1), 
@@ -104377,7 +101007,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_35),
+	.CLK(CTS_80),
 	.D(n_5802),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]), 
 	.VPWR(vccd1), 
@@ -104385,7 +101015,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_35),
+	.CLK(CTS_80),
 	.D(n_5803),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]), 
 	.VPWR(vccd1), 
@@ -104393,7 +101023,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_6155),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]), 
 	.VPWR(vccd1), 
@@ -104401,7 +101031,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_6156),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]), 
 	.VPWR(vccd1), 
@@ -104409,7 +101039,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_6154),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]), 
 	.VPWR(vccd1), 
@@ -104417,7 +101047,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
-	.CLK(CTS_35),
+	.CLK(CTS_83),
 	.D(n_6152),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]), 
 	.VPWR(vccd1), 
@@ -104425,7 +101055,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]  (
-	.CLK(CTS_35),
+	.CLK(CTS_83),
 	.D(n_6153),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]), 
 	.VPWR(vccd1), 
@@ -104433,7 +101063,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_6151),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]), 
 	.VPWR(vccd1), 
@@ -104441,7 +101071,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]  (
-	.CLK(CTS_35),
+	.CLK(CTS_80),
 	.D(n_5804),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]), 
 	.VPWR(vccd1), 
@@ -104449,7 +101079,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5805),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]), 
 	.VPWR(vccd1), 
@@ -104457,7 +101087,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5806),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]), 
 	.VPWR(vccd1), 
@@ -104465,7 +101095,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5807),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]), 
 	.VPWR(vccd1), 
@@ -104473,7 +101103,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5808),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]), 
 	.VPWR(vccd1), 
@@ -104481,7 +101111,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5810),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]), 
 	.VPWR(vccd1), 
@@ -104489,7 +101119,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5811),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]), 
 	.VPWR(vccd1), 
@@ -104497,7 +101127,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5809),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]), 
 	.VPWR(vccd1), 
@@ -104505,7 +101135,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]  (
-	.CLK(CTS_35),
+	.CLK(CTS_80),
 	.D(n_5812),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]), 
 	.VPWR(vccd1), 
@@ -104513,7 +101143,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]  (
-	.CLK(CTS_35),
+	.CLK(CTS_15),
 	.D(n_5813),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]), 
 	.VPWR(vccd1), 
@@ -104521,7 +101151,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]  (
-	.CLK(CTS_35),
+	.CLK(CTS_80),
 	.D(n_6144),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]), 
 	.VPWR(vccd1), 
@@ -104529,7 +101159,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_6143),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]), 
 	.VPWR(vccd1), 
@@ -104537,7 +101167,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]  (
-	.CLK(CTS_35),
+	.CLK(CTS_80),
 	.D(n_6142),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]), 
 	.VPWR(vccd1), 
@@ -104545,7 +101175,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_6141),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]), 
 	.VPWR(vccd1), 
@@ -104553,7 +101183,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]  (
-	.CLK(CTS_21),
+	.CLK(CTS_80),
 	.D(n_6139),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]), 
 	.VPWR(vccd1), 
@@ -104561,7 +101191,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]  (
-	.CLK(CTS_21),
+	.CLK(CTS_80),
 	.D(n_6136),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]), 
 	.VPWR(vccd1), 
@@ -104569,7 +101199,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]  (
-	.CLK(CTS_22),
+	.CLK(CTS_15),
 	.D(n_5814),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]), 
 	.VPWR(vccd1), 
@@ -104577,7 +101207,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]  (
-	.CLK(CTS_21),
+	.CLK(CTS_15),
 	.D(n_5815),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]), 
 	.VPWR(vccd1), 
@@ -104585,7 +101215,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]  (
-	.CLK(CTS_35),
+	.CLK(CTS_15),
 	.D(n_5816),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]), 
 	.VPWR(vccd1), 
@@ -104593,7 +101223,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]  (
-	.CLK(CTS_21),
+	.CLK(CTS_15),
 	.D(n_5817),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]), 
 	.VPWR(vccd1), 
@@ -104601,7 +101231,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]  (
-	.CLK(CTS_35),
+	.CLK(CTS_15),
 	.D(n_5818),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]), 
 	.VPWR(vccd1), 
@@ -104609,7 +101239,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]  (
-	.CLK(CTS_35),
+	.CLK(CTS_15),
 	.D(n_5819),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]), 
 	.VPWR(vccd1), 
@@ -104617,7 +101247,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]  (
-	.CLK(CTS_22),
+	.CLK(CTS_80),
 	.D(n_5820),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]), 
 	.VPWR(vccd1), 
@@ -104625,7 +101255,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]  (
-	.CLK(CTS_35),
+	.CLK(CTS_15),
 	.D(n_5821),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]), 
 	.VPWR(vccd1), 
@@ -104633,25 +101263,25 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_3750),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_5890),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_3047),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]), 
 	.VPWR(vccd1), 
@@ -104659,7 +101289,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_3046),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]), 
 	.VPWR(vccd1), 
@@ -104667,7 +101297,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_3052),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]), 
 	.VPWR(vccd1), 
@@ -104675,7 +101305,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_3057),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]), 
 	.VPWR(vccd1), 
@@ -104683,7 +101313,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_3063),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]), 
 	.VPWR(vccd1), 
@@ -104691,7 +101321,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_2976),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]), 
 	.VPWR(vccd1), 
@@ -104699,7 +101329,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_2977),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]), 
 	.VPWR(vccd1), 
@@ -104707,7 +101337,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_2978),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]), 
 	.VPWR(vccd1), 
@@ -104715,10 +101345,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_main_swith_host_lsu_dev_select_outstanding_reg[0]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_597),
 	.Q(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
-	.RESET_B(FE_OFN116_io_out_37),
+	.RESET_B(FE_OFN98_io_out_37),
 	.SCD(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
 	.SCE(n_13377), 
 	.VPWR(vccd1), 
@@ -104726,50 +101356,50 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_main_swith_host_lsu_dev_select_outstanding_reg[1]  (
-	.CLK(CTS_27),
-	.D(FE_OFN18361_n),
+	.CLK(CTS_66),
+	.D(FE_OFN18379_u_soc_lsu_to_xbar_a_address__30),
 	.Q(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
-	.RESET_B(FE_OFN116_io_out_37),
-	.SCD(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.RESET_B(FE_OFN98_io_out_37),
+	.SCD(FE_OFN908_u_soc_main_swith_host_lsu_dev_select_outstanding_1),
 	.SCE(n_13377), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_err_resp_err_opcode_reg[0]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(n_1934),
 	.Q(u_soc_main_swith_host_lsu_err_resp_err_opcode[0]),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN21_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfstp_1 \u_soc_main_swith_host_lsu_err_resp_err_opcode_reg[2]  (
-	.CLK(CTS_46),
+	.CLK(CTS_86),
 	.D(u_soc_main_swith_host_lsu_err_resp_err_opcode[2]),
 	.Q(u_soc_main_swith_host_lsu_err_resp_err_opcode[2]),
 	.SCD(n_640),
-	.SCE(n_1049),
-	.SET_B(FE_OFN31_io_out_37), 
+	.SCE(FE_OFN1442_n_1049),
+	.SET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 u_soc_main_swith_host_lsu_err_resp_err_req_pending_reg (
-	.CLK(CTS_46),
-	.D(n_1049),
+	.CLK(CTS_86),
+	.D(FE_OFN1442_n_1049),
 	.Q(\u_soc_main_swith_host_lsu_tl_u_i[3][d_valid] ),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[0]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_3084),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[0]),
-	.RESET_B(FE_OFN116_io_out_37),
+	.RESET_B(FE_OFN150_io_out_37),
 	.SCD(n_3085),
 	.SCE(u_soc_main_swith_host_lsu_num_req_outstanding[0]), 
 	.VPWR(vccd1), 
@@ -104777,46 +101407,46 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[1]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_5784),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[1]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_5783),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[2]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[3]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_6790),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[3]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[4]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_7550),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[4]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[5]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_8001),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[5]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -104825,79 +101455,79 @@
 	.CLK(CTS_66),
 	.D(n_8454),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[6]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_8526),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[7]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[8]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_8468),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[8]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[9]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_8665),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[9]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[10]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_8666),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[10]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[11]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_8668),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[11]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[12]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_8667),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[12]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[13]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_8626),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[13]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_main_swith_host_lsu_num_req_outstanding_reg[14]  (
-	.CLK(CTS_27),
+	.CLK(CTS_66),
 	.D(n_8684),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[14]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -104906,7 +101536,7 @@
 	.CLK(CTS_66),
 	.D(n_8725),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[15]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -104915,85 +101545,85 @@
 	.CLK(CTS_66),
 	.D(n_8728),
 	.Q(u_soc_main_swith_host_lsu_num_req_outstanding[16]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 u_soc_reset_manager_rst_q_reg (
-	.CLK(CTS_21),
-	.D(u_soc_prog_rst_ni),
+	.CLK(CTS_81),
+	.D(FE_OFN1284_u_soc_prog_rst_ni),
 	.Q(u_soc_reset_manager_rst_q),
-	.RESET_B(FE_OFN874_n_470), 
+	.RESET_B(FE_OFN1077_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_u_dccm_bank_sel_reg[0]  (
-	.CLK_N(CTS_29),
-	.D(FE_PSN4554_u_soc_data_addr_8),
+	.CLK_N(CTS_81),
+	.D(u_soc_data_addr[8]),
 	.Q(u_soc_u_dccm_bank_sel[0]),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_u_dccm_bank_sel_reg[1]  (
-	.CLK_N(CTS_29),
-	.D(FE_PSN4553_u_soc_data_addr_9),
+	.CLK_N(CTS_81),
+	.D(u_soc_data_addr[9]),
 	.Q(u_soc_u_dccm_bank_sel[1]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_u_iccm_bank_sel_reg[0]  (
-	.CLK_N(CTS_35),
+	.CLK_N(CTS_80),
 	.D(u_soc_instr_addr[8]),
 	.Q(u_soc_u_iccm_bank_sel[0]),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtn_1 \u_soc_u_iccm_bank_sel_reg[1]  (
-	.CLK_N(CTS_35),
+	.CLK_N(CTS_15),
 	.D(u_soc_instr_addr[9]),
 	.Q(u_soc_u_iccm_bank_sel[1]),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 u_soc_u_tcam_rvalid_o_reg (
-	.CLK(CTS_50),
+	.CLK(CTS_86),
 	.D(n_1204),
 	.Q(u_soc_u_tcam_rvalid_o),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_5637),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_5150),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[0][11]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_5893),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[0] [11]), 
 	.VPWR(vccd1), 
@@ -105001,7 +101631,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[1][11]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_5138),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[1] [11]), 
 	.VPWR(vccd1), 
@@ -105009,7 +101639,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[2][11]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_16006),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[2] [11]), 
 	.VPWR(vccd1), 
@@ -105017,7 +101647,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage_reg[3][11]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_5081),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.storage[3] [11]), 
 	.VPWR(vccd1), 
@@ -105025,10 +101655,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_579),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
-	.RESET_B(FE_OFN31_io_out_37),
+	.RESET_B(FE_OFN14_io_out_37),
 	.SCD(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
 	.SCE(n_1256), 
 	.VPWR(vccd1), 
@@ -105036,25 +101666,25 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_1989),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_5823),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_25),
+	.CLK(CTS_82),
 	.D(n_6753),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [1]), 
 	.VPWR(vccd1), 
@@ -105062,7 +101692,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
-	.CLK(CTS_25),
+	.CLK(CTS_82),
 	.D(n_6752),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [2]), 
 	.VPWR(vccd1), 
@@ -105070,7 +101700,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
-	.CLK(CTS_25),
+	.CLK(CTS_82),
 	.D(n_6751),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [3]), 
 	.VPWR(vccd1), 
@@ -105078,7 +101708,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
-	.CLK(CTS_25),
+	.CLK(CTS_82),
 	.D(n_6749),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [4]), 
 	.VPWR(vccd1), 
@@ -105086,7 +101716,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
-	.CLK(CTS_25),
+	.CLK(CTS_82),
 	.D(n_6748),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [5]), 
 	.VPWR(vccd1), 
@@ -105094,7 +101724,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
-	.CLK(CTS_25),
+	.CLK(CTS_82),
 	.D(n_6750),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [6]), 
 	.VPWR(vccd1), 
@@ -105102,7 +101732,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6747),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [1]), 
 	.VPWR(vccd1), 
@@ -105110,7 +101740,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6745),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [2]), 
 	.VPWR(vccd1), 
@@ -105118,7 +101748,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6746),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [3]), 
 	.VPWR(vccd1), 
@@ -105126,7 +101756,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
-	.CLK(CTS_25),
+	.CLK(CTS_82),
 	.D(n_6744),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [4]), 
 	.VPWR(vccd1), 
@@ -105134,7 +101764,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
-	.CLK(CTS_25),
+	.CLK(CTS_82),
 	.D(n_6743),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [5]), 
 	.VPWR(vccd1), 
@@ -105142,7 +101772,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6742),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [6]), 
 	.VPWR(vccd1), 
@@ -105150,7 +101780,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][1]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6741),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [1]), 
 	.VPWR(vccd1), 
@@ -105158,7 +101788,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][2]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6740),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [2]), 
 	.VPWR(vccd1), 
@@ -105166,7 +101796,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][3]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6827),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [3]), 
 	.VPWR(vccd1), 
@@ -105174,7 +101804,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][4]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6828),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [4]), 
 	.VPWR(vccd1), 
@@ -105182,7 +101812,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][5]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6829),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [5]), 
 	.VPWR(vccd1), 
@@ -105190,7 +101820,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[2][6]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6830),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [6]), 
 	.VPWR(vccd1), 
@@ -105198,7 +101828,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][1]  (
-	.CLK(CTS_25),
+	.CLK(CTS_82),
 	.D(n_6831),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [1]), 
 	.VPWR(vccd1), 
@@ -105206,7 +101836,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][2]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6832),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [2]), 
 	.VPWR(vccd1), 
@@ -105214,7 +101844,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][3]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6835),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [3]), 
 	.VPWR(vccd1), 
@@ -105222,7 +101852,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][4]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6833),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [4]), 
 	.VPWR(vccd1), 
@@ -105230,7 +101860,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][5]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6834),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [5]), 
 	.VPWR(vccd1), 
@@ -105238,7 +101868,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage_reg[3][6]  (
-	.CLK(CTS_46),
+	.CLK(CTS_82),
 	.D(n_6842),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [6]), 
 	.VPWR(vccd1), 
@@ -105246,10 +101876,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.RESET_B(FE_OFN31_io_out_37),
+	.RESET_B(FE_OFN14_io_out_37),
 	.SCD(n_536),
 	.SCE(n_3014), 
 	.VPWR(vccd1), 
@@ -105257,10 +101887,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
-	.RESET_B(FE_OFN31_io_out_37),
+	.RESET_B(FE_OFN14_io_out_37),
 	.SCD(n_1386),
 	.SCE(n_3014), 
 	.VPWR(vccd1), 
@@ -105268,19 +101898,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
-	.CLK(CTS_50),
+	.CLK(CTS_86),
 	.D(n_5554),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN4_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_547),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
-	.RESET_B(FE_OFN31_io_out_37),
+	.RESET_B(FE_OFN15_io_out_37),
 	.SCD(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
 	.SCE(n_1424), 
 	.VPWR(vccd1), 
@@ -105288,16 +101918,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
-	.CLK(CTS_50),
+	.CLK(CTS_86),
 	.D(n_3064),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
-	.CLK(CTS_50),
+	.CLK(CTS_86),
 	.D(n_2387),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0] [1]), 
 	.VPWR(vccd1), 
@@ -105305,7 +101935,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
-	.CLK(CTS_50),
+	.CLK(CTS_82),
 	.D(n_2393),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1] [1]), 
 	.VPWR(vccd1), 
@@ -105313,7 +101943,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[2][1]  (
-	.CLK(CTS_50),
+	.CLK(CTS_82),
 	.D(n_2394),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2] [1]), 
 	.VPWR(vccd1), 
@@ -105321,7 +101951,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage_reg[3][1]  (
-	.CLK(CTS_50),
+	.CLK(CTS_86),
 	.D(n_2396),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3] [1]), 
 	.VPWR(vccd1), 
@@ -105330,17 +101960,17 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__dlxtn_1 u_soc_u_top_u_core_core_clock_gate_i_en_latch_reg (
 	.D(n_1375),
-	.GATE_N(CTS_96),
+	.GATE_N(CTS_99),
 	.Q(u_soc_u_top_u_core_core_clock_gate_i_en_latch), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_control_csr_rdata_q_reg[0]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
 	.Q(u_soc_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(n_8286),
 	.SCE(n_2307), 
 	.VPWR(vccd1), 
@@ -105348,362 +101978,362 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[0]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_7610),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[1]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_7912),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[2]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(n_8286),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[3]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_8543),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[4]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7614),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[5]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7794),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[6]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7616),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[7]  (
-	.CLK(CTS_89),
+	.CLK(CTS_42),
 	.D(n_7910),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[8]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8084),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[9]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7556),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[10]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7554),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[11]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8446),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[12]  (
-	.CLK(CTS_86),
+	.CLK(CTS_42),
 	.D(n_7908),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[13]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8076),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[14]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7612),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[15]  (
-	.CLK(CTS_86),
+	.CLK(CTS_39),
 	.D(n_7782),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[16]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_7772),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
-	.RESET_B(FE_OFN83_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[17]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8282),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN1121_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[18]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_7776),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
-	.RESET_B(FE_OFN83_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[19]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_7778),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[20]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8078),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[21]  (
-	.CLK(CTS_93),
+	.CLK(CTS_44),
 	.D(n_8284),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[22]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7780),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[23]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7774),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[24]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7786),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[25]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7788),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[26]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7790),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[27]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_8080),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[28]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_7784),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[29]  (
-	.CLK(CTS_86),
+	.CLK(CTS_50),
 	.D(n_8082),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[30]  (
-	.CLK(CTS_86),
+	.CLK(CTS_50),
 	.D(n_8074),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN153_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[31]  (
-	.CLK(CTS_86),
+	.CLK(CTS_52),
 	.D(n_7792),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN153_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
-	.SCE(FE_OFN928_n_2681), 
+	.SCE(FE_OFN18096_n_2681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[0]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_7610),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
 	.SCE(n_2892), 
 	.VPWR(vccd1), 
@@ -105711,10 +102341,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[2]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(n_8286),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
 	.SCE(n_2892), 
 	.VPWR(vccd1), 
@@ -105722,1213 +102352,1213 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[0]  (
-	.CLK(CTS_89),
+	.CLK(CTS_52),
 	.D(n_8095),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[1]  (
-	.CLK(CTS_88),
+	.CLK(CTS_52),
 	.D(n_8394),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[2]  (
-	.CLK(CTS_88),
+	.CLK(CTS_52),
 	.D(n_8571),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN129_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[3]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_8682),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN129_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[4]  (
-	.CLK(CTS_88),
+	.CLK(CTS_41),
 	.D(n_8066),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN147_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[5]  (
-	.CLK(CTS_88),
+	.CLK(CTS_39),
 	.D(n_8248),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[6]  (
-	.CLK(CTS_89),
+	.CLK(CTS_42),
 	.D(n_8065),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[7]  (
-	.CLK(CTS_89),
+	.CLK(CTS_42),
 	.D(n_8396),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[8]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8489),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[9]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8024),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[10]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8072),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[11]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8634),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[12]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8390),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[13]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8486),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[14]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8071),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[15]  (
-	.CLK(CTS_90),
+	.CLK(CTS_39),
 	.D(n_8271),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[16]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8270),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[17]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8575),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[18]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8269),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN127_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[19]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8268),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN143_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[20]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8498),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[21]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8574),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[22]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8266),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[23]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8264),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[24]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8263),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[25]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8267),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
-	.RESET_B(FE_OFN84_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[26]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8265),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[27]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8497),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN124_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[28]  (
-	.CLK(CTS_90),
+	.CLK(CTS_41),
 	.D(n_8262),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN129_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[29]  (
-	.CLK(CTS_90),
+	.CLK(CTS_41),
 	.D(n_8496),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN129_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[30]  (
-	.CLK(CTS_90),
+	.CLK(CTS_41),
 	.D(n_8495),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN129_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[31]  (
-	.CLK(CTS_89),
+	.CLK(CTS_41),
 	.D(n_8250),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN131_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[32]  (
-	.CLK(CTS_89),
+	.CLK(CTS_52),
 	.D(n_7852),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[33]  (
-	.CLK(CTS_88),
+	.CLK(CTS_52),
 	.D(n_8208),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[34]  (
-	.CLK(CTS_88),
+	.CLK(CTS_52),
 	.D(n_8480),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN129_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[35]  (
-	.CLK(CTS_88),
+	.CLK(CTS_41),
 	.D(n_8628),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN147_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[36]  (
-	.CLK(CTS_88),
+	.CLK(CTS_41),
 	.D(n_7851),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN147_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[37]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_8038),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN130_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[38]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_7850),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[39]  (
-	.CLK(CTS_89),
+	.CLK(CTS_42),
 	.D(n_8207),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[40]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8382),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[41]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7849),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[42]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7848),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[43]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8560),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[44]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8206),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[45]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8381),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[46]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7846),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[47]  (
-	.CLK(CTS_90),
+	.CLK(CTS_39),
 	.D(n_8037),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[48]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8036),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[49]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8479),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[50]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8035),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN127_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[51]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8034),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN143_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[52]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8380),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[53]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8478),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[54]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8032),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[55]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8033),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
-	.RESET_B(FE_OFN84_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[56]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8031),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
-	.RESET_B(FE_OFN84_io_out_37), 
+	.RESET_B(FE_OFN141_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[57]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8030),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
-	.RESET_B(FE_OFN84_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[58]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8029),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
-	.RESET_B(FE_OFN84_io_out_37), 
+	.RESET_B(FE_OFN141_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[59]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8379),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN124_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[60]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8028),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN131_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[61]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8378),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN131_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[62]  (
-	.CLK(CTS_90),
+	.CLK(CTS_41),
 	.D(n_8377),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN131_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[63]  (
-	.CLK(CTS_90),
+	.CLK(CTS_52),
 	.D(n_8027),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN131_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[0]  (
-	.CLK(CTS_88),
+	.CLK(CTS_52),
 	.D(n_8070),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
-	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[1]  (
-	.CLK(CTS_88),
+	.CLK(CTS_52),
 	.D(n_8393),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
-	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[2]  (
-	.CLK(CTS_88),
+	.CLK(CTS_52),
 	.D(n_8570),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
-	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[3]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_8681),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
-	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN129_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[4]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_8064),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
-	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN130_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[5]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_8247),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
-	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN130_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[6]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_8063),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
-	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN130_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[7]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8395),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[8]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8488),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[9]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8069),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
-	.RESET_B(FE_OFN37_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[10]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8068),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
-	.RESET_B(FE_OFN37_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[11]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8633),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[12]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8389),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
-	.RESET_B(FE_OFN80_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[13]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8485),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
-	.RESET_B(FE_OFN80_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[14]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8067),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[15]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8261),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[16]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8260),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[17]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8573),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[18]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8259),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN127_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[19]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8258),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN143_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[20]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8494),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN143_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[21]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8572),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN143_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[22]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8256),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[23]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8257),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[24]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8255),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[25]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8254),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN141_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[26]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_8253),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN141_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[27]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8491),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN124_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[28]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8252),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
-	.RESET_B(FE_OFN41_io_out_37), 
+	.RESET_B(FE_OFN152_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[29]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8493),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN152_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[30]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8492),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN131_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[31]  (
-	.CLK(CTS_89),
+	.CLK(CTS_52),
 	.D(n_8249),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN131_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[32]  (
-	.CLK(CTS_89),
+	.CLK(CTS_52),
 	.D(n_7845),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[33]  (
-	.CLK(CTS_88),
+	.CLK(CTS_52),
 	.D(n_8181),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
-	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[34]  (
-	.CLK(CTS_88),
+	.CLK(CTS_52),
 	.D(n_8477),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[35]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_8627),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
-	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN129_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[36]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_7844),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN129_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[37]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_8026),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
-	.RESET_B(FE_OFN40_io_out_37), 
+	.RESET_B(FE_OFN130_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[38]  (
-	.CLK(CTS_89),
+	.CLK(CTS_42),
 	.D(n_7843),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN130_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[39]  (
-	.CLK(CTS_89),
+	.CLK(CTS_42),
 	.D(n_8172),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN130_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[40]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8376),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[41]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7842),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
-	.RESET_B(FE_OFN79_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[42]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7841),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
-	.RESET_B(FE_OFN37_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[43]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8559),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[44]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8171),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[45]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8375),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[46]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7840),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[47]  (
-	.CLK(CTS_90),
+	.CLK(CTS_39),
 	.D(n_8025),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[48]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8073),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[49]  (
-	.CLK(CTS_90),
+	.CLK(CTS_39),
 	.D(n_8476),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[50]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_8023),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN127_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[51]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8022),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
-	.RESET_B(FE_OFN84_io_out_37), 
+	.RESET_B(FE_OFN143_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[52]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8374),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
-	.RESET_B(FE_OFN84_io_out_37), 
+	.RESET_B(FE_OFN143_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[53]  (
-	.CLK(CTS_93),
+	.CLK(CTS_44),
 	.D(n_8475),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
-	.RESET_B(FE_OFN84_io_out_37), 
+	.RESET_B(FE_OFN143_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[54]  (
-	.CLK(CTS_93),
+	.CLK(CTS_44),
 	.D(n_8020),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
-	.RESET_B(FE_OFN38_io_out_37), 
+	.RESET_B(FE_OFN143_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[55]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_8021),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
-	.RESET_B(FE_OFN38_io_out_37), 
+	.RESET_B(FE_OFN141_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[56]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_8019),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
-	.RESET_B(FE_OFN38_io_out_37), 
+	.RESET_B(FE_OFN141_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[57]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_8018),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
-	.RESET_B(FE_OFN38_io_out_37), 
+	.RESET_B(FE_OFN141_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[58]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_8017),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
-	.RESET_B(FE_OFN38_io_out_37), 
+	.RESET_B(FE_OFN141_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[59]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_8373),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
-	.RESET_B(FE_OFN84_io_out_37), 
+	.RESET_B(FE_OFN124_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[60]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_8016),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
-	.RESET_B(FE_OFN84_io_out_37), 
+	.RESET_B(FE_OFN152_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[61]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8372),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
-	.RESET_B(FE_OFN83_io_out_37), 
+	.RESET_B(FE_OFN152_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[62]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8371),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
-	.RESET_B(FE_OFN80_io_out_37), 
+	.RESET_B(FE_OFN152_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[63]  (
-	.CLK(CTS_90),
+	.CLK(CTS_52),
 	.D(n_8015),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
-	.RESET_B(FE_OFN80_io_out_37), 
+	.RESET_B(FE_OFN153_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfstp_2 \u_soc_u_top_u_core_cs_registers_i_priv_lvl_q_reg[0]  (
-	.CLK(CTS_92),
+	.CLK(CTS_49),
 	.D(n_2667),
 	.Q(u_soc_u_top_u_core_priv_mode_id[0]),
-	.SET_B(FE_OFN85_io_out_37), 
+	.SET_B(FE_OFN18808_FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfstp_2 \u_soc_u_top_u_core_cs_registers_i_priv_lvl_q_reg[1]  (
-	.CLK(CTS_92),
+	.CLK(CTS_49),
 	.D(n_2666),
 	.Q(u_soc_u_top_u_core_priv_mode_id[1]),
-	.SET_B(FE_OFN85_io_out_37), 
+	.SET_B(FE_OFN18808_FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfstp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[0]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
 	.SCD(n_8588),
-	.SCE(FE_OFN18227_n_1854),
-	.SET_B(FE_OFN85_io_out_37), 
+	.SCE(FE_OFN18117_n_1854),
+	.SET_B(FE_OFN18808_FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfstp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[1]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
 	.SCD(n_8625),
-	.SCE(FE_OFN18227_n_1854),
-	.SET_B(FE_OFN85_io_out_37), 
+	.SCE(FE_OFN18117_n_1854),
+	.SET_B(FE_OFN18808_FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[2]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(u_soc_u_top_u_core_debug_single_step),
 	.Q(u_soc_u_top_u_core_debug_single_step),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(n_8467),
-	.SCE(FE_OFN18227_n_1854), 
+	.SCE(FE_OFN18117_n_1854), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[6]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_1673),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN129_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
 	.SCE(n_1853), 
 	.VPWR(vccd1), 
@@ -106936,10 +103566,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[7]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_1675),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN151_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
 	.SCE(n_1853), 
 	.VPWR(vccd1), 
@@ -106947,10 +103577,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[8]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_1674),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
 	.SCE(n_1853), 
 	.VPWR(vccd1), 
@@ -106958,21 +103588,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[11]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN129_io_out_37),
 	.SCD(n_8565),
-	.SCE(FE_OFN18227_n_1854), 
+	.SCE(FE_OFN18117_n_1854), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[12]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(n_8164),
 	.Q(u_soc_u_top_u_core_debug_ebreaku),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN129_io_out_37),
 	.SCD(u_soc_u_top_u_core_debug_ebreaku),
 	.SCE(n_1853), 
 	.VPWR(vccd1), 
@@ -106980,21 +103610,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[13]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
 	.Q(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(n_8361),
-	.SCE(FE_OFN18227_n_1854), 
+	.SCE(n_1854), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[15]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(n_7999),
 	.Q(u_soc_u_top_u_core_debug_ebreakm),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN129_io_out_37),
 	.SCD(u_soc_u_top_u_core_debug_ebreakm),
 	.SCE(n_1853), 
 	.VPWR(vccd1), 
@@ -107002,1011 +103632,1011 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[1]  (
-	.CLK(CTS_92),
+	.CLK(CTS_49),
 	.D(n_8466),
 	.Q(u_soc_u_top_u_core_csr_depc[1]),
-	.RESET_B(FE_OFN85_io_out_37), 
+	.RESET_B(FE_OFN18808_FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[2]  (
-	.CLK(CTS_92),
+	.CLK(CTS_49),
 	.D(n_8474),
 	.Q(u_soc_u_top_u_core_csr_depc[2]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN18808_FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_depc[2]),
-	.SCE(FE_OFN1644_n_1999), 
+	.SCE(FE_OFN1815_n_1999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[3]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(n_8729),
 	.Q(u_soc_u_top_u_core_csr_depc[3]),
-	.RESET_B(FE_OFN85_io_out_37), 
+	.RESET_B(FE_OFN151_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[4]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7839),
 	.Q(u_soc_u_top_u_core_csr_depc[4]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_depc[4]),
-	.SCE(FE_OFN1644_n_1999), 
+	.SCE(FE_OFN1815_n_1999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[5]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_8014),
 	.Q(u_soc_u_top_u_core_csr_depc[5]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_depc[5]),
-	.SCE(FE_OFN1644_n_1999), 
+	.SCE(FE_OFN1815_n_1999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[6]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7838),
 	.Q(u_soc_u_top_u_core_csr_depc[6]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_depc[6]),
-	.SCE(FE_OFN1644_n_1999), 
+	.SCE(FE_OFN1815_n_1999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[7]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(n_8463),
 	.Q(u_soc_u_top_u_core_csr_depc[7]),
-	.RESET_B(FE_OFN85_io_out_37), 
+	.RESET_B(FE_OFN131_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[8]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_8589),
 	.Q(u_soc_u_top_u_core_csr_depc[8]),
-	.RESET_B(FE_OFN37_io_out_37), 
+	.RESET_B(FE_OFN130_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[9]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7837),
 	.Q(u_soc_u_top_u_core_csr_depc[9]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_depc[9]),
-	.SCE(FE_OFN1644_n_1999), 
+	.SCE(FE_OFN1815_n_1999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[10]  (
-	.CLK(CTS_86),
+	.CLK(CTS_42),
 	.D(n_7836),
 	.Q(u_soc_u_top_u_core_csr_depc[10]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_depc[10]),
-	.SCE(FE_OFN1644_n_1999), 
+	.SCE(FE_OFN1815_n_1999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[11]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_8698),
 	.Q(u_soc_u_top_u_core_csr_depc[11]),
-	.RESET_B(FE_OFN37_io_out_37), 
+	.RESET_B(FE_OFN154_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[12]  (
-	.CLK(CTS_92),
+	.CLK(CTS_41),
 	.D(n_8170),
 	.Q(u_soc_u_top_u_core_csr_depc[12]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_depc[12]),
-	.SCE(FE_OFN1644_n_1999), 
+	.SCE(FE_OFN1815_n_1999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[13]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_8369),
 	.Q(u_soc_u_top_u_core_csr_depc[13]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_depc[13]),
-	.SCE(FE_OFN1644_n_1999), 
+	.SCE(FE_OFN1815_n_1999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[14]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_7835),
 	.Q(u_soc_u_top_u_core_csr_depc[14]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_depc[14]),
-	.SCE(FE_OFN1644_n_1999), 
+	.SCE(FE_OFN1815_n_1999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[15]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(n_8358),
 	.Q(u_soc_u_top_u_core_csr_depc[15]),
-	.RESET_B(FE_OFN39_io_out_37), 
+	.RESET_B(FE_OFN147_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[16]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(n_8357),
 	.Q(u_soc_u_top_u_core_csr_depc[16]),
-	.RESET_B(FE_OFN80_io_out_37), 
+	.RESET_B(FE_OFN147_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[17]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_8624),
 	.Q(u_soc_u_top_u_core_csr_depc[17]),
-	.RESET_B(FE_OFN82_io_out_37), 
+	.RESET_B(FE_OFN127_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[18]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8356),
 	.Q(u_soc_u_top_u_core_csr_depc[18]),
-	.RESET_B(FE_OFN38_io_out_37), 
+	.RESET_B(FE_OFN127_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[19]  (
-	.CLK(CTS_87),
+	.CLK(CTS_44),
 	.D(n_8355),
 	.Q(u_soc_u_top_u_core_csr_depc[19]),
-	.RESET_B(FE_OFN38_io_out_37), 
+	.RESET_B(FE_OFN143_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[20]  (
-	.CLK(CTS_87),
+	.CLK(CTS_44),
 	.D(n_8600),
 	.Q(u_soc_u_top_u_core_csr_depc[20]),
-	.RESET_B(FE_OFN81_io_out_37), 
+	.RESET_B(FE_OFN143_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[21]  (
-	.CLK(CTS_87),
+	.CLK(CTS_44),
 	.D(n_8623),
 	.Q(u_soc_u_top_u_core_csr_depc[21]),
-	.RESET_B(FE_OFN81_io_out_37), 
+	.RESET_B(FE_OFN143_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[22]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_8388),
 	.Q(u_soc_u_top_u_core_csr_depc[22]),
-	.RESET_B(FE_OFN81_io_out_37), 
+	.RESET_B(FE_OFN141_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[23]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_8445),
 	.Q(u_soc_u_top_u_core_csr_depc[23]),
-	.RESET_B(FE_OFN81_io_out_37), 
+	.RESET_B(FE_OFN124_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[24]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_8411),
 	.Q(u_soc_u_top_u_core_csr_depc[24]),
-	.RESET_B(FE_OFN81_io_out_37), 
+	.RESET_B(FE_OFN141_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[25]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_8417),
 	.Q(u_soc_u_top_u_core_csr_depc[25]),
-	.RESET_B(FE_OFN81_io_out_37), 
+	.RESET_B(FE_OFN141_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[26]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_8432),
 	.Q(u_soc_u_top_u_core_csr_depc[26]),
-	.RESET_B(FE_OFN81_io_out_37), 
+	.RESET_B(FE_OFN141_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[27]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_8605),
 	.Q(u_soc_u_top_u_core_csr_depc[27]),
-	.RESET_B(FE_OFN81_io_out_37), 
+	.RESET_B(FE_OFN124_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[28]  (
-	.CLK(CTS_86),
+	.CLK(CTS_50),
 	.D(n_8436),
 	.Q(u_soc_u_top_u_core_csr_depc[28]),
-	.RESET_B(FE_OFN38_io_out_37), 
+	.RESET_B(FE_OFN152_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[29]  (
-	.CLK(CTS_86),
+	.CLK(CTS_50),
 	.D(n_8607),
 	.Q(u_soc_u_top_u_core_csr_depc[29]),
-	.RESET_B(FE_OFN39_io_out_37), 
+	.RESET_B(FE_OFN152_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[30]  (
-	.CLK(CTS_87),
+	.CLK(CTS_52),
 	.D(n_8608),
 	.Q(u_soc_u_top_u_core_csr_depc[30]),
-	.RESET_B(FE_OFN39_io_out_37), 
+	.RESET_B(FE_OFN153_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[31]  (
-	.CLK(CTS_87),
+	.CLK(CTS_52),
 	.D(n_8437),
 	.Q(u_soc_u_top_u_core_csr_depc[31]),
-	.RESET_B(FE_OFN39_io_out_37), 
+	.RESET_B(FE_OFN153_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[0]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_7610),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[0]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[0]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[1]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_7912),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[1]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN18808_FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[1]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[2]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(n_8286),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[2]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[2]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[3]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_8543),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[3]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN151_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[3]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[4]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7614),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[4]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[4]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[5]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7794),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[5]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[5]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[6]  (
-	.CLK(CTS_89),
+	.CLK(CTS_42),
 	.D(n_7616),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[6]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[6]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[7]  (
-	.CLK(CTS_89),
+	.CLK(CTS_42),
 	.D(n_7910),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[7]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN151_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[7]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[8]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8084),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[8]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[8]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[9]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7556),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[9]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[9]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[10]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7554),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[10]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[10]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[11]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8446),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[11]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[11]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[12]  (
-	.CLK(CTS_86),
+	.CLK(CTS_42),
 	.D(n_7908),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[12]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[12]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[13]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8076),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[13]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[13]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[14]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7612),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[14]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[14]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[15]  (
-	.CLK(CTS_90),
+	.CLK(CTS_39),
 	.D(n_7782),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[15]),
-	.RESET_B(FE_OFN83_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[15]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[16]  (
-	.CLK(CTS_90),
+	.CLK(CTS_41),
 	.D(n_7772),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[16]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[16]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[17]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8282),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[17]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[17]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[18]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_7776),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[18]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[18]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[19]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_7778),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[19]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[19]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[20]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8078),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[20]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[20]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[21]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_8284),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[21]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[21]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[22]  (
-	.CLK(CTS_93),
+	.CLK(CTS_44),
 	.D(n_7780),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[22]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[22]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[23]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7774),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[23]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[23]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[24]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7786),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[24]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[24]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[25]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_7788),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[25]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[25]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[26]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_7790),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[26]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[26]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[27]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_8080),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[27]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[27]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[28]  (
-	.CLK(CTS_86),
+	.CLK(CTS_50),
 	.D(n_7784),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[28]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[28]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[29]  (
-	.CLK(CTS_86),
+	.CLK(CTS_50),
 	.D(n_8082),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[29]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[29]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[30]  (
-	.CLK(CTS_86),
+	.CLK(CTS_50),
 	.D(n_8074),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[30]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[30]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[31]  (
-	.CLK(CTS_86),
+	.CLK(CTS_52),
 	.D(n_7792),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[31]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[31]),
-	.SCE(FE_OFN1641_n_1842), 
+	.SCE(FE_OFN1811_n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[0]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(n_7610),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[0]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[0]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[1]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(n_7912),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[1]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[1]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[2]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(n_8286),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[2]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[2]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[3]  (
-	.CLK(CTS_89),
+	.CLK(CTS_52),
 	.D(n_8543),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[3]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[3]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[4]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_7614),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[4]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[4]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[5]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_7794),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[5]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[5]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[6]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_7616),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[6]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[6]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[7]  (
-	.CLK(CTS_89),
+	.CLK(CTS_42),
 	.D(n_7910),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[7]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN129_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[7]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[8]  (
-	.CLK(CTS_89),
+	.CLK(CTS_41),
 	.D(n_8084),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[8]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[8]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[9]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7556),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[9]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[9]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[10]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7554),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[10]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[10]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[11]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8446),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[11]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[11]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[12]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(n_7908),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[12]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[12]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[13]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8076),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[13]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[13]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[14]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7612),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[14]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[14]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[15]  (
-	.CLK(CTS_90),
+	.CLK(CTS_39),
 	.D(n_7782),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[15]),
-	.RESET_B(FE_OFN83_io_out_37),
+	.RESET_B(FE_OFN146_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[15]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[16]  (
-	.CLK(CTS_90),
+	.CLK(CTS_39),
 	.D(n_7772),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[16]),
-	.RESET_B(FE_OFN83_io_out_37),
+	.RESET_B(FE_OFN146_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[16]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[17]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8282),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[17]),
-	.RESET_B(FE_OFN83_io_out_37),
+	.RESET_B(FE_OFN146_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[17]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[18]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_7776),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[18]),
-	.RESET_B(FE_OFN83_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[18]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[19]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_7778),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[19]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[19]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[20]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8078),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[20]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[20]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[21]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8284),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[21]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[21]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[22]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7780),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[22]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[22]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[23]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7774),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[23]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[23]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[24]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7786),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[24]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[24]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[25]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7788),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[25]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[25]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[26]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7790),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[26]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[26]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[27]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8080),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[27]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[27]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[28]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_7784),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[28]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[28]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[29]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8082),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[29]),
-	.RESET_B(FE_OFN83_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[29]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[30]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(n_8074),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[30]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[30]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[31]  (
-	.CLK(CTS_86),
+	.CLK(CTS_52),
 	.D(n_7792),
 	.Q(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[31]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[31]),
-	.SCE(FE_OFN1642_n_1843), 
+	.SCE(FE_OFN1813_n_1843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[0]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(n_7828),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mcause_q[0]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN18808_FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mcause_q[0]),
 	.SCE(n_2146), 
 	.VPWR(vccd1), 
@@ -108014,10 +104644,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[1]  (
-	.CLK(CTS_92),
+	.CLK(CTS_49),
 	.D(n_8301),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mcause_q[1]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN150_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mcause_q[1]),
 	.SCE(n_2146), 
 	.VPWR(vccd1), 
@@ -108025,10 +104655,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[2]  (
-	.CLK(CTS_92),
+	.CLK(CTS_49),
 	.D(n_8451),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mcause_q[2]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN18808_FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mcause_q[2]),
 	.SCE(n_2146), 
 	.VPWR(vccd1), 
@@ -108036,10 +104666,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[3]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(n_8657),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mcause_q[3]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN151_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mcause_q[3]),
 	.SCE(n_2146), 
 	.VPWR(vccd1), 
@@ -108047,10 +104677,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[4]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7815),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mcause_q[4]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mcause_q[4]),
 	.SCE(n_2146), 
 	.VPWR(vccd1), 
@@ -108058,10 +104688,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[5]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(n_8108),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mcause_q[5]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN18808_FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mcause_q[5]),
 	.SCE(n_2146), 
 	.VPWR(vccd1), 
@@ -108069,901 +104699,901 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[1]  (
-	.CLK(CTS_92),
+	.CLK(CTS_49),
 	.D(n_8133),
 	.Q(u_soc_u_top_u_core_csr_mepc[1]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN18808_FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[1]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[2]  (
-	.CLK(CTS_92),
+	.CLK(CTS_49),
 	.D(n_8509),
 	.Q(u_soc_u_top_u_core_csr_mepc[2]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN18808_FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[2]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[3]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(n_8649),
 	.Q(u_soc_u_top_u_core_csr_mepc[3]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN151_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[3]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[4]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7890),
 	.Q(u_soc_u_top_u_core_csr_mepc[4]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[4]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[5]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_8013),
 	.Q(u_soc_u_top_u_core_csr_mepc[5]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[5]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[6]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7834),
 	.Q(u_soc_u_top_u_core_csr_mepc[6]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[6]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[7]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_8169),
 	.Q(u_soc_u_top_u_core_csr_mepc[7]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[7]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[8]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_8367),
 	.Q(u_soc_u_top_u_core_csr_mepc[8]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[8]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[9]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7833),
 	.Q(u_soc_u_top_u_core_csr_mepc[9]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[9]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[10]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7832),
 	.Q(u_soc_u_top_u_core_csr_mepc[10]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN149_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[10]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[11]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(n_8558),
 	.Q(u_soc_u_top_u_core_csr_mepc[11]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[11]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[12]  (
-	.CLK(CTS_92),
+	.CLK(CTS_41),
 	.D(n_8168),
 	.Q(u_soc_u_top_u_core_csr_mepc[12]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[12]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[13]  (
-	.CLK(CTS_92),
+	.CLK(CTS_41),
 	.D(n_8366),
 	.Q(u_soc_u_top_u_core_csr_mepc[13]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[13]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[14]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_7830),
 	.Q(u_soc_u_top_u_core_csr_mepc[14]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[14]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[15]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_8012),
 	.Q(u_soc_u_top_u_core_csr_mepc[15]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[15]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[16]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_8011),
 	.Q(u_soc_u_top_u_core_csr_mepc[16]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[16]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[17]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_8473),
 	.Q(u_soc_u_top_u_core_csr_mepc[17]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[17]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[18]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_8010),
 	.Q(u_soc_u_top_u_core_csr_mepc[18]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[18]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(FE_OFN18099_n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[19]  (
-	.CLK(CTS_87),
+	.CLK(CTS_44),
 	.D(n_8009),
 	.Q(u_soc_u_top_u_core_csr_mepc[19]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[19]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[20]  (
-	.CLK(CTS_87),
+	.CLK(CTS_44),
 	.D(n_8365),
 	.Q(u_soc_u_top_u_core_csr_mepc[20]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[20]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[21]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_8472),
 	.Q(u_soc_u_top_u_core_csr_mepc[21]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[21]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[22]  (
-	.CLK(CTS_93),
+	.CLK(CTS_44),
 	.D(n_8008),
 	.Q(u_soc_u_top_u_core_csr_mepc[22]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[22]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[23]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_8007),
 	.Q(u_soc_u_top_u_core_csr_mepc[23]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[23]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[24]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_8006),
 	.Q(u_soc_u_top_u_core_csr_mepc[24]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[24]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[25]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_8005),
 	.Q(u_soc_u_top_u_core_csr_mepc[25]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[25]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[26]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_8004),
 	.Q(u_soc_u_top_u_core_csr_mepc[26]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[26]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[27]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_8364),
 	.Q(u_soc_u_top_u_core_csr_mepc[27]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[27]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[28]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(n_8003),
 	.Q(u_soc_u_top_u_core_csr_mepc[28]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[28]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[29]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(n_8363),
 	.Q(u_soc_u_top_u_core_csr_mepc[29]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[29]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[30]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(n_8362),
 	.Q(u_soc_u_top_u_core_csr_mepc[30]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN153_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[30]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[31]  (
-	.CLK(CTS_87),
+	.CLK(CTS_52),
 	.D(n_8118),
 	.Q(u_soc_u_top_u_core_csr_mepc[31]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN153_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mepc[31]),
-	.SCE(FE_OFN1645_n_2000), 
+	.SCE(n_2000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[0]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_7772),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[1]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8282),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[2]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_7776),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
-	.RESET_B(FE_OFN83_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[3]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_7778),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[4]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8078),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[5]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(n_8284),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[6]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_7780),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[7]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_7774),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[8]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7786),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[9]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_7788),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[10]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_7790),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[11]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8080),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[12]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_7784),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[13]  (
-	.CLK(CTS_86),
+	.CLK(CTS_50),
 	.D(n_8082),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
-	.RESET_B(FE_OFN83_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[14]  (
-	.CLK(CTS_86),
+	.CLK(CTS_52),
 	.D(n_8074),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN153_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[15]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_8446),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[16]  (
-	.CLK(CTS_89),
+	.CLK(CTS_42),
 	.D(n_7910),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN129_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[17]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_8543),
 	.Q(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
-	.SCE(n_1844), 
+	.SCE(FE_OFN1448_n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[0]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_7610),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[0]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[0]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[1]  (
-	.CLK(CTS_88),
+	.CLK(CTS_52),
 	.D(n_7912),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[1]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[1]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[2]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(n_8286),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[2]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[2]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[3]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_8543),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[3]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[3]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[4]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7614),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[4]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[4]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[5]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_7794),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[5]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[5]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[6]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7616),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[6]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[6]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[7]  (
-	.CLK(CTS_89),
+	.CLK(CTS_42),
 	.D(n_7910),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[7]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[7]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[8]  (
-	.CLK(CTS_89),
+	.CLK(CTS_41),
 	.D(n_8084),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[8]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[8]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[9]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7556),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[9]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[9]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[10]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7554),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[10]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[10]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[11]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_8446),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[11]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[11]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[12]  (
-	.CLK(CTS_86),
+	.CLK(CTS_52),
 	.D(n_7908),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[12]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[12]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[13]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8076),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[13]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[13]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[14]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7612),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[14]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[14]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[15]  (
-	.CLK(CTS_90),
+	.CLK(CTS_39),
 	.D(n_7782),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[15]),
-	.RESET_B(FE_OFN83_io_out_37),
+	.RESET_B(FE_OFN146_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[15]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[16]  (
-	.CLK(CTS_90),
+	.CLK(CTS_39),
 	.D(n_7772),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[16]),
-	.RESET_B(FE_OFN83_io_out_37),
+	.RESET_B(FE_OFN146_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[16]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN18825_FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[17]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8282),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[17]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[17]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN18825_FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[18]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_7776),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[18]),
-	.RESET_B(FE_OFN83_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[18]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN18825_FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[19]  (
-	.CLK(CTS_90),
+	.CLK(CTS_44),
 	.D(n_7778),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[19]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[19]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN18825_FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[20]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8078),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[20]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[20]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN18825_FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[21]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8284),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[21]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[21]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN18825_FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[22]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_7780),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[22]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[22]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN18825_FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[23]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7774),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[23]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[23]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN18825_FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[24]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7786),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[24]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[24]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN18825_FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[25]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_7788),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[25]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[25]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN18825_FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[26]  (
-	.CLK(CTS_90),
+	.CLK(CTS_45),
 	.D(n_7790),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[26]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[26]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN18825_FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[27]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_8080),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[27]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[27]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[28]  (
-	.CLK(CTS_90),
+	.CLK(CTS_50),
 	.D(n_7784),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[28]),
-	.RESET_B(FE_OFN84_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[28]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[29]  (
-	.CLK(CTS_86),
+	.CLK(CTS_50),
 	.D(n_8082),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[29]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[29]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[30]  (
-	.CLK(CTS_86),
+	.CLK(CTS_52),
 	.D(n_8074),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[30]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN153_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[30]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[31]  (
-	.CLK(CTS_86),
+	.CLK(CTS_52),
 	.D(n_7792),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mscratch_q[31]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN153_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mscratch_q[31]),
-	.SCE(FE_OFN1640_n_1407), 
+	.SCE(FE_OFN1445_n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[0]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(n_8457),
 	.Q(u_soc_u_top_u_core_csr_mstatus_tw),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN129_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mstatus_tw),
 	.SCE(n_2683), 
 	.VPWR(vccd1), 
@@ -108971,10 +105601,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[1]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(n_8456),
 	.Q(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
 	.SCE(n_2683), 
 	.VPWR(vccd1), 
@@ -108982,395 +105612,395 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[2]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
 	.Q(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN151_io_out_37),
 	.SCD(n_8648),
-	.SCE(n_2682), 
+	.SCE(FE_OFN18152_n_2683), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[3]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
 	.Q(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN151_io_out_37),
 	.SCD(n_8647),
-	.SCE(n_2682), 
+	.SCE(FE_OFN18152_n_2683), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfstp_1 \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[4]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(n_8529),
 	.Q(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
 	.SCE(n_2683),
-	.SET_B(FE_OFN37_io_out_37), 
+	.SET_B(FE_OFN151_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[0]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(n_7874),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[0]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN18808_FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[0]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[1]  (
-	.CLK(CTS_82),
+	.CLK(CTS_52),
 	.D(n_8160),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[1]),
-	.RESET_B(FE_OFN7_io_out_37),
+	.RESET_B(FE_OFN18808_FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[1]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[2]  (
-	.CLK(CTS_92),
+	.CLK(CTS_49),
 	.D(n_8455),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[2]),
-	.RESET_B(FE_OFN85_io_out_37),
+	.RESET_B(FE_OFN18808_FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[2]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[3]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(n_8622),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[3]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN151_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[3]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[4]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_7882),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[4]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[4]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[5]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_7996),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[5]),
-	.RESET_B(FE_OFN19031_FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[5]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[6]  (
-	.CLK(CTS_88),
+	.CLK(CTS_42),
 	.D(n_7883),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[6]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN130_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[6]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[7]  (
-	.CLK(CTS_89),
+	.CLK(CTS_42),
 	.D(n_8158),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[7]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[7]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[8]  (
-	.CLK(CTS_89),
+	.CLK(CTS_42),
 	.D(n_8439),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[8]),
-	.RESET_B(FE_OFN79_io_out_37),
+	.RESET_B(FE_OFN129_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[8]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[9]  (
-	.CLK(CTS_89),
+	.CLK(CTS_41),
 	.D(n_7892),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[9]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[9]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[10]  (
-	.CLK(CTS_89),
+	.CLK(CTS_41),
 	.D(n_7893),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[10]),
-	.RESET_B(FE_OFN37_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[10]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[11]  (
-	.CLK(CTS_89),
+	.CLK(CTS_52),
 	.D(n_8609),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[11]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN154_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[11]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[12]  (
-	.CLK(CTS_86),
+	.CLK(CTS_52),
 	.D(n_8155),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[12]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN129_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[12]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[13]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_8440),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[13]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[13]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[14]  (
-	.CLK(CTS_89),
+	.CLK(CTS_39),
 	.D(n_7900),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[14]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[14]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[15]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(n_7995),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[15]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[15]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[16]  (
-	.CLK(CTS_86),
+	.CLK(CTS_39),
 	.D(n_7994),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[16]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[16]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[17]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(n_8483),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[17]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[17]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[18]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_7993),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[18]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[18]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[19]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_7992),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[19]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[19]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[20]  (
-	.CLK(CTS_86),
+	.CLK(CTS_44),
 	.D(n_8441),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[20]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[20]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[21]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_8484),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[21]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[21]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[22]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7991),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[22]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[22]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[23]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7990),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[23]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[23]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[24]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7989),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[24]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN141_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[24]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[25]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_7988),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[25]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[25]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[26]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_7987),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[26]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[26]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[27]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_8442),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[27]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[27]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[28]  (
-	.CLK(CTS_86),
+	.CLK(CTS_50),
 	.D(n_7986),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[28]),
-	.RESET_B(FE_OFN38_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[28]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[29]  (
-	.CLK(CTS_86),
+	.CLK(CTS_50),
 	.D(n_8443),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[29]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[29]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[30]  (
-	.CLK(CTS_86),
+	.CLK(CTS_52),
 	.D(n_8444),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[30]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[30]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[31]  (
-	.CLK(CTS_86),
+	.CLK(CTS_52),
 	.D(n_7985),
 	.Q(u_soc_u_top_u_core_cs_registers_i_mtval_q[31]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN131_io_out_37),
 	.SCD(u_soc_u_top_u_core_cs_registers_i_mtval_q[31]),
-	.SCE(FE_OFN1643_n_1992), 
+	.SCE(FE_OFN1453_n_1992), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[8]  (
-	.CLK(CTS_82),
+	.CLK(CTS_41),
 	.D(n_8316),
 	.Q(u_soc_u_top_u_core_csr_mtvec[8]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[8]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109378,10 +106008,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[9]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(n_7686),
 	.Q(u_soc_u_top_u_core_csr_mtvec[9]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[9]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109389,10 +106019,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[10]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(n_7685),
 	.Q(u_soc_u_top_u_core_csr_mtvec[10]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[10]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109400,10 +106030,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[11]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(n_8539),
 	.Q(u_soc_u_top_u_core_csr_mtvec[11]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN129_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[11]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109411,10 +106041,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[12]  (
-	.CLK(CTS_92),
+	.CLK(CTS_41),
 	.D(n_8100),
 	.Q(u_soc_u_top_u_core_csr_mtvec[12]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[12]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109422,10 +106052,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[13]  (
-	.CLK(CTS_92),
+	.CLK(CTS_41),
 	.D(n_8320),
 	.Q(u_soc_u_top_u_core_csr_mtvec[13]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[13]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109433,10 +106063,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[14]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(n_7684),
 	.Q(u_soc_u_top_u_core_csr_mtvec[14]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[14]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109444,10 +106074,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[15]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_7935),
 	.Q(u_soc_u_top_u_core_csr_mtvec[15]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[15]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109455,10 +106085,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[16]  (
-	.CLK(CTS_86),
+	.CLK(CTS_41),
 	.D(n_7936),
 	.Q(u_soc_u_top_u_core_csr_mtvec[16]),
-	.RESET_B(FE_OFN80_io_out_37),
+	.RESET_B(FE_OFN147_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[16]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109466,10 +106096,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[17]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_8424),
 	.Q(u_soc_u_top_u_core_csr_mtvec[17]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[17]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109477,10 +106107,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[18]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_7937),
 	.Q(u_soc_u_top_u_core_csr_mtvec[18]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN127_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[18]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109488,10 +106118,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[19]  (
-	.CLK(CTS_87),
+	.CLK(CTS_44),
 	.D(n_7938),
 	.Q(u_soc_u_top_u_core_csr_mtvec[19]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[19]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109499,10 +106129,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[20]  (
-	.CLK(CTS_87),
+	.CLK(CTS_44),
 	.D(n_8315),
 	.Q(u_soc_u_top_u_core_csr_mtvec[20]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[20]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109510,10 +106140,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[21]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_8423),
 	.Q(u_soc_u_top_u_core_csr_mtvec[21]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[21]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109521,10 +106151,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[22]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7939),
 	.Q(u_soc_u_top_u_core_csr_mtvec[22]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN143_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[22]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109532,10 +106162,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[23]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7940),
 	.Q(u_soc_u_top_u_core_csr_mtvec[23]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[23]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109543,10 +106173,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[24]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7941),
 	.Q(u_soc_u_top_u_core_csr_mtvec[24]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[24]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109554,10 +106184,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[25]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7942),
 	.Q(u_soc_u_top_u_core_csr_mtvec[25]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[25]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109565,10 +106195,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[26]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7943),
 	.Q(u_soc_u_top_u_core_csr_mtvec[26]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[26]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109576,10 +106206,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[27]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_8314),
 	.Q(u_soc_u_top_u_core_csr_mtvec[27]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[27]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109587,10 +106217,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[28]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(n_7944),
 	.Q(u_soc_u_top_u_core_csr_mtvec[28]),
-	.RESET_B(FE_OFN81_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[28]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109598,10 +106228,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[29]  (
-	.CLK(CTS_86),
+	.CLK(CTS_50),
 	.D(n_8324),
 	.Q(u_soc_u_top_u_core_csr_mtvec[29]),
-	.RESET_B(FE_OFN39_io_out_37),
+	.RESET_B(FE_OFN152_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[29]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109609,10 +106239,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[30]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(n_8313),
 	.Q(u_soc_u_top_u_core_csr_mtvec[30]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN153_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[30]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109620,10 +106250,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[31]  (
-	.CLK(CTS_87),
+	.CLK(CTS_52),
 	.D(n_7945),
 	.Q(u_soc_u_top_u_core_csr_mtvec[31]),
-	.RESET_B(FE_OFN82_io_out_37),
+	.RESET_B(FE_OFN153_io_out_37),
 	.SCD(u_soc_u_top_u_core_csr_mtvec[31]),
 	.SCE(n_2415), 
 	.VPWR(vccd1), 
@@ -109631,605 +106261,605 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q_reg  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_6014),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[0]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_5928),
 	.Q(n_479),
 	.Q_N(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[0]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_6304),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[1]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_6893),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[2]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7185),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[3]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6897),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[4]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7184),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[5]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6896),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[6]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7183),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[7]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6895),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[8]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7159),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[9]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6894),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[10]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7170),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[11]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6907),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[12]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7174),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[13]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6908),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[14]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_7180),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[15]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_6899),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[16]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_7171),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[17]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6909),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[18]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7176),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[19]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6910),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[20]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7178),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[21]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6900),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[22]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7182),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[23]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6898),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[24]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7154),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[25]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6911),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[26]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7157),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[27]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7165),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[28]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7162),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[29]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6912),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[30]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7168),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[31]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6913),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[32]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6643),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[0]  (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(n_7304),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[1]  (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(n_7305),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[2]  (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(n_7330),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[3]  (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(n_7303),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[4]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_7302),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[5]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_7301),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[6]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_7300),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[7]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_7299),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[8]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_7298),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[9]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7308),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[10]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7380),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[11]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_7310),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[12]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_7311),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[13]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_7312),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[14]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_7313),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[15]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_7314),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[16]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_7315),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[17]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7316),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[18]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7317),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[19]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7318),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[20]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7319),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[21]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7320),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[22]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7321),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[23]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7322),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[24]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7323),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[25]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7324),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[26]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7325),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[27]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7326),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[28]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7327),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[29]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7328),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[30]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7329),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][0]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1516_n_8735),
+	.CLK(CTS_73),
+	.D(FE_OFN1859_n_8735),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
 	.SCE(n_5354), 
 	.VPWR(vccd1), 
@@ -110237,10 +106867,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][1]  (
-	.CLK(CTS_69),
-	.D(n_8756),
+	.CLK(CTS_55),
+	.D(FE_PSN3945_FE_OFN1873_n_8756),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
 	.SCE(n_5354), 
 	.VPWR(vccd1), 
@@ -110248,10 +106878,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][2]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1525_n_8751),
+	.CLK(CTS_57),
+	.D(FE_PSBN19237_n_8751),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN107_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
 	.SCE(n_5354), 
 	.VPWR(vccd1), 
@@ -110259,21 +106889,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][3]  (
-	.CLK(CTS_66),
-	.D(n_8754),
+	.CLK(CTS_73),
+	.D(FE_OFN1871_n_8754),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
-	.SCE(n_5354), 
+	.SCE(FE_OFN1160_n_5354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][4]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1527_n_8753),
+	.CLK(CTS_70),
+	.D(FE_OFN1870_n_8753),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
 	.SCE(n_5354), 
 	.VPWR(vccd1), 
@@ -110281,384 +106911,384 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][5]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1526_n_8752),
+	.CLK(CTS_55),
+	.D(FE_OFN1869_n_8752),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
-	.SCE(FE_OFN969_n_5354), 
+	.SCE(n_5354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][6]  (
-	.CLK(CTS_66),
-	.D(FE_OFN1510_n_8611),
+	.CLK(CTS_69),
+	.D(FE_OFN1854_n_8611),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
-	.SCE(n_5354), 
+	.SCE(FE_OFN1160_n_5354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1511_n_8612),
+	.CLK(CTS_70),
+	.D(n_8612),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
-	.SCE(FE_OFN969_n_5354), 
+	.SCE(n_5354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][8]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1512_n_8730),
+	.CLK(CTS_77),
+	.D(n_8730),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
-	.SCE(n_5354), 
+	.SCE(FE_OFN1160_n_5354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][9]  (
-	.CLK(CTS_65),
-	.D(n_8775),
+	.CLK(CTS_73),
+	.D(FE_PSN3962_n_8775),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
-	.SCE(n_5354), 
+	.SCE(FE_OFN1160_n_5354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][10]  (
-	.CLK(CTS_63),
-	.D(n_8776),
+	.CLK(CTS_55),
+	.D(FE_PSBN19235_n_8776),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][11]  (
-	.CLK(CTS_59),
-	.D(n_8774),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][12]  (
-	.CLK(CTS_63),
-	.D(n_8777),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][13]  (
-	.CLK(CTS_63),
-	.D(n_8773),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
-	.RESET_B(FE_OFN98_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][14]  (
-	.CLK(CTS_63),
-	.D(n_8710),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
-	.RESET_B(FE_OFN98_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][15]  (
-	.CLK(CTS_8),
-	.D(n_8715),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_8732),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][17]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1529_n_8755),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][18]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1524_n_8750),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1523_n_8749),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
-	.RESET_B(FE_OFN98_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][20]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1522_n_8748),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][21]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1521_n_8747),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][22]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1518_n_8737),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][23]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1514_n_8733),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
-	.RESET_B(FE_OFN97_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][24]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1515_n_8734),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
-	.SCE(FE_OFN969_n_5354), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][25]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1520_n_8746),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
-	.RESET_B(FE_OFN96_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
 	.SCE(n_5354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][11]  (
+	.CLK(CTS_69),
+	.D(FE_PSN3961_n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.SCE(FE_OFN1160_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][12]  (
+	.CLK(CTS_69),
+	.D(FE_PSN3982_n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.SCE(FE_OFN1160_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][13]  (
+	.CLK(CTS_74),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.SCE(FE_OFN1160_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][14]  (
+	.CLK(CTS_72),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.SCE(FE_OFN1160_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][15]  (
+	.CLK(CTS_69),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.SCE(FE_OFN1160_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][16]  (
+	.CLK(CTS_55),
+	.D(n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.SCE(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][17]  (
+	.CLK(CTS_73),
+	.D(FE_PSN3949_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.SCE(FE_OFN1160_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][18]  (
+	.CLK(CTS_71),
+	.D(FE_PSN3959_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.SCE(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][19]  (
+	.CLK(CTS_69),
+	.D(FE_PSN3926_FE_OFN1866_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.SCE(FE_OFN1160_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][20]  (
+	.CLK(CTS_55),
+	.D(FE_PSN3978_FE_OFN1865_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.SCE(n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][21]  (
+	.CLK(CTS_77),
+	.D(n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.SCE(FE_OFN1160_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][22]  (
+	.CLK(CTS_77),
+	.D(n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.SCE(FE_OFN1160_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][23]  (
+	.CLK(CTS_74),
+	.D(n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.SCE(FE_OFN1160_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][24]  (
+	.CLK(CTS_73),
+	.D(n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.SCE(FE_OFN1160_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][25]  (
+	.CLK(CTS_73),
+	.D(FE_PSN3909_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.SCE(FE_OFN1160_n_5354), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][26]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1519_n_8745),
+	.CLK(CTS_73),
+	.D(FE_PSN3964_n_8745),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
-	.SCE(FE_OFN969_n_5354), 
+	.SCE(FE_OFN1160_n_5354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][27]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1532_n_8759),
+	.CLK(CTS_74),
+	.D(FE_PSN3929_n_8759),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
-	.SCE(FE_OFN969_n_5354), 
+	.SCE(FE_OFN1160_n_5354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][28]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1531_n_8758),
+	.CLK(CTS_74),
+	.D(FE_PSN3927_FE_OFN1875_n_8758),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
-	.SCE(FE_OFN969_n_5354), 
+	.SCE(FE_OFN1160_n_5354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN18780_n_8757),
+	.CLK(CTS_72),
+	.D(n_8757),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
-	.SCE(FE_OFN969_n_5354), 
+	.SCE(FE_OFN1160_n_5354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][30]  (
-	.CLK(CTS_8),
-	.D(FE_OFN1517_n_8736),
+	.CLK(CTS_74),
+	.D(n_8736),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
-	.SCE(FE_OFN969_n_5354), 
+	.SCE(FE_OFN1160_n_5354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_55),
 	.D(n_8731),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
-	.SCE(FE_OFN969_n_5354), 
+	.SCE(n_5354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][0]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1516_n_8735),
+	.CLK(CTS_73),
+	.D(FE_OFN1859_n_8735),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][1]  (
-	.CLK(CTS_69),
-	.D(n_8756),
+	.CLK(CTS_55),
+	.D(FE_PSN3945_FE_OFN1873_n_8756),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][2]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1525_n_8751),
+	.CLK(CTS_57),
+	.D(FE_PSBN19237_n_8751),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN107_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][3]  (
-	.CLK(CTS_65),
-	.D(n_8754),
+	.CLK(CTS_73),
+	.D(FE_OFN1871_n_8754),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][4]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1527_n_8753),
+	.CLK(CTS_70),
+	.D(FE_OFN1870_n_8753),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][5]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1526_n_8752),
+	.CLK(CTS_71),
+	.D(FE_OFN1869_n_8752),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][6]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1510_n_8611),
+	.CLK(CTS_69),
+	.D(FE_OFN1854_n_8611),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1511_n_8612),
+	.CLK(CTS_70),
+	.D(n_8612),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
 	.SCE(n_5351), 
 	.VPWR(vccd1), 
@@ -110666,98 +107296,98 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][8]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1512_n_8730),
+	.CLK(CTS_77),
+	.D(n_8730),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][9]  (
-	.CLK(CTS_65),
-	.D(n_8775),
+	.CLK(CTS_73),
+	.D(FE_PSN3962_n_8775),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][10]  (
-	.CLK(CTS_63),
-	.D(n_8776),
+	.CLK(CTS_55),
+	.D(FE_PSBN19235_n_8776),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][11]  (
-	.CLK(CTS_59),
-	.D(n_8774),
+	.CLK(CTS_69),
+	.D(FE_PSN3961_n_8774),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
-	.SCE(n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][12]  (
-	.CLK(CTS_63),
-	.D(n_8777),
+	.CLK(CTS_69),
+	.D(FE_PSN3982_n_8777),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][13]  (
-	.CLK(CTS_63),
+	.CLK(CTS_74),
 	.D(n_8773),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][14]  (
-	.CLK(CTS_63),
+	.CLK(CTS_72),
 	.D(n_8710),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][15]  (
-	.CLK(CTS_59),
+	.CLK(CTS_69),
 	.D(n_8715),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
-	.SCE(n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_8732),
+	.CLK(CTS_55),
+	.D(n_8732),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
-	.RESET_B(FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
 	.SCE(n_5351), 
 	.VPWR(vccd1), 
@@ -110765,21 +107395,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][17]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1529_n_8755),
+	.CLK(CTS_73),
+	.D(FE_PSN3949_n_8755),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
-	.SCE(n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][18]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1524_n_8750),
+	.CLK(CTS_55),
+	.D(FE_PSN3959_n_8750),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
-	.RESET_B(FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
 	.SCE(n_5351), 
 	.VPWR(vccd1), 
@@ -110787,21 +107417,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1523_n_8749),
+	.CLK(CTS_69),
+	.D(FE_PSN3926_FE_OFN1866_n_8749),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][20]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1522_n_8748),
+	.CLK(CTS_55),
+	.D(FE_PSN3978_FE_OFN1865_n_8748),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
 	.SCE(n_5351), 
 	.VPWR(vccd1), 
@@ -110809,120 +107439,120 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][21]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1521_n_8747),
+	.CLK(CTS_77),
+	.D(n_8747),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
-	.SCE(n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][22]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1518_n_8737),
+	.CLK(CTS_77),
+	.D(n_8737),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
-	.RESET_B(FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
-	.SCE(n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][23]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1514_n_8733),
+	.CLK(CTS_74),
+	.D(n_8733),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][24]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1515_n_8734),
+	.CLK(CTS_73),
+	.D(n_8734),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
-	.SCE(n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][25]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1520_n_8746),
+	.CLK(CTS_73),
+	.D(FE_PSN3909_n_8746),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][26]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1519_n_8745),
+	.CLK(CTS_73),
+	.D(FE_PSN3964_n_8745),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][27]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1532_n_8759),
+	.CLK(CTS_74),
+	.D(FE_PSN3929_n_8759),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
-	.SCE(n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][28]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1531_n_8758),
+	.CLK(CTS_74),
+	.D(FE_PSN3927_FE_OFN1875_n_8758),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
-	.SCE(n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN18780_n_8757),
+	.CLK(CTS_72),
+	.D(n_8757),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
-	.SCE(FE_OFN966_n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][30]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_8736),
+	.CLK(CTS_74),
+	.D(n_8736),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
-	.SCE(n_5351), 
+	.SCE(FE_OFN1157_n_5351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_55),
 	.D(n_8731),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
 	.SCE(n_5351), 
 	.VPWR(vccd1), 
@@ -110930,21 +107560,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][0]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1516_n_8735),
+	.CLK(CTS_73),
+	.D(FE_OFN1859_n_8735),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
-	.SCE(n_5352), 
+	.SCE(FE_OFN1158_n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][1]  (
-	.CLK(CTS_69),
-	.D(n_8756),
+	.CLK(CTS_55),
+	.D(FE_PSN3945_FE_OFN1873_n_8756),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
 	.SCE(n_5352), 
 	.VPWR(vccd1), 
@@ -110952,10 +107582,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][2]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1525_n_8751),
+	.CLK(CTS_57),
+	.D(FE_PSN3954_n_8751),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
 	.SCE(n_5352), 
 	.VPWR(vccd1), 
@@ -110963,21 +107593,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][3]  (
-	.CLK(CTS_65),
-	.D(n_8754),
+	.CLK(CTS_73),
+	.D(FE_OFN1871_n_8754),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
-	.SCE(n_5352), 
+	.SCE(FE_OFN1158_n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][4]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1527_n_8753),
+	.CLK(CTS_57),
+	.D(FE_OFN1870_n_8753),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN107_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
 	.SCE(n_5352), 
 	.VPWR(vccd1), 
@@ -110985,318 +107615,318 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][5]  (
-	.CLK(CTS_63),
-	.D(FE_OFN1526_n_8752),
+	.CLK(CTS_55),
+	.D(FE_OFN1869_n_8752),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
-	.SCE(FE_OFN967_n_5352), 
+	.SCE(n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][6]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1510_n_8611),
+	.CLK(CTS_72),
+	.D(FE_OFN1854_n_8611),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
-	.SCE(n_5352), 
+	.SCE(FE_OFN1158_n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1511_n_8612),
+	.CLK(CTS_55),
+	.D(FE_OFN1855_n_8612),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
-	.SCE(FE_OFN967_n_5352), 
+	.SCE(n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][8]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1512_n_8730),
+	.CLK(CTS_77),
+	.D(n_8730),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
-	.SCE(n_5352), 
+	.SCE(FE_OFN1158_n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][9]  (
-	.CLK(CTS_65),
-	.D(n_8775),
+	.CLK(CTS_73),
+	.D(FE_PSN3962_n_8775),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
-	.SCE(n_5352), 
+	.SCE(FE_OFN1158_n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][10]  (
-	.CLK(CTS_63),
-	.D(n_8776),
+	.CLK(CTS_70),
+	.D(FE_PSBN19235_n_8776),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][11]  (
-	.CLK(CTS_59),
-	.D(n_8774),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][12]  (
-	.CLK(CTS_63),
-	.D(n_8777),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][13]  (
-	.CLK(CTS_63),
-	.D(n_8773),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
-	.RESET_B(FE_OFN98_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][14]  (
-	.CLK(CTS_63),
-	.D(n_8710),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
-	.RESET_B(FE_OFN98_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][15]  (
-	.CLK(CTS_59),
-	.D(n_8715),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_8732),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][17]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1529_n_8755),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][18]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1524_n_8750),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1523_n_8749),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
-	.RESET_B(FE_OFN98_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][20]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1522_n_8748),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][21]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1521_n_8747),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][22]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1518_n_8737),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][23]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1514_n_8733),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
-	.RESET_B(FE_OFN97_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][24]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1515_n_8734),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
-	.SCE(FE_OFN967_n_5352), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][25]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1520_n_8746),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
-	.RESET_B(FE_OFN96_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
 	.SCE(n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][11]  (
+	.CLK(CTS_69),
+	.D(FE_PSN3961_n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
+	.SCE(FE_OFN1158_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][12]  (
+	.CLK(CTS_69),
+	.D(FE_PSN3982_n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.SCE(FE_OFN1158_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][13]  (
+	.CLK(CTS_74),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.SCE(FE_OFN1158_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][14]  (
+	.CLK(CTS_72),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.SCE(FE_OFN1158_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][15]  (
+	.CLK(CTS_69),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.SCE(FE_OFN1158_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][16]  (
+	.CLK(CTS_55),
+	.D(FE_OFN1856_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
+	.SCE(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][17]  (
+	.CLK(CTS_73),
+	.D(FE_PSN3949_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.SCE(FE_OFN1158_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][18]  (
+	.CLK(CTS_55),
+	.D(FE_PSN3910_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.SCE(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][19]  (
+	.CLK(CTS_69),
+	.D(FE_PSN3926_FE_OFN1866_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.SCE(FE_OFN1158_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][20]  (
+	.CLK(CTS_55),
+	.D(FE_PSN3978_FE_OFN1865_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.SCE(n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][21]  (
+	.CLK(CTS_77),
+	.D(n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.SCE(FE_OFN1158_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][22]  (
+	.CLK(CTS_77),
+	.D(n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.SCE(FE_OFN1158_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][23]  (
+	.CLK(CTS_74),
+	.D(n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.SCE(FE_OFN1158_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][24]  (
+	.CLK(CTS_73),
+	.D(n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.SCE(FE_OFN1158_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][25]  (
+	.CLK(CTS_77),
+	.D(FE_PSN3909_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.SCE(FE_OFN1158_n_5352), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][26]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1519_n_8745),
+	.CLK(CTS_73),
+	.D(FE_PSN3913_n_8745),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
-	.SCE(FE_OFN967_n_5352), 
+	.SCE(FE_OFN1158_n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][27]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1532_n_8759),
+	.CLK(CTS_74),
+	.D(FE_PSN3929_n_8759),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
-	.SCE(FE_OFN967_n_5352), 
+	.SCE(FE_OFN1158_n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][28]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1531_n_8758),
+	.CLK(CTS_74),
+	.D(FE_PSN3927_FE_OFN1875_n_8758),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
-	.SCE(FE_OFN967_n_5352), 
+	.SCE(FE_OFN1158_n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][29]  (
-	.CLK(CTS_63),
-	.D(FE_OFN18780_n_8757),
+	.CLK(CTS_74),
+	.D(n_8757),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
-	.SCE(FE_OFN967_n_5352), 
+	.SCE(FE_OFN1158_n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][30]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_8736),
+	.CLK(CTS_74),
+	.D(n_8736),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
-	.SCE(FE_OFN967_n_5352), 
+	.SCE(FE_OFN1158_n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_71),
 	.D(n_8731),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
-	.SCE(FE_OFN967_n_5352), 
+	.SCE(n_5352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][0]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1516_n_8735),
+	.CLK(CTS_57),
+	.D(n_8735),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
-	.SCE(n_5355), 
+	.SCE(FE_OFN1161_n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][1]  (
-	.CLK(CTS_69),
-	.D(n_8756),
+	.CLK(CTS_55),
+	.D(FE_PSN3945_FE_OFN1873_n_8756),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
 	.SCE(n_5355), 
 	.VPWR(vccd1), 
@@ -111304,10 +107934,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][2]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1525_n_8751),
+	.CLK(CTS_55),
+	.D(FE_PSN3954_n_8751),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
 	.SCE(n_5355), 
 	.VPWR(vccd1), 
@@ -111315,21 +107945,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][3]  (
-	.CLK(CTS_65),
-	.D(n_8754),
+	.CLK(CTS_73),
+	.D(FE_OFN1871_n_8754),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
-	.SCE(n_5355), 
+	.SCE(FE_OFN1161_n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][4]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1527_n_8753),
+	.CLK(CTS_57),
+	.D(FE_OFN1870_n_8753),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
 	.SCE(n_5355), 
 	.VPWR(vccd1), 
@@ -111337,483 +107967,483 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][5]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1526_n_8752),
+	.CLK(CTS_71),
+	.D(FE_OFN1869_n_8752),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
-	.SCE(FE_OFN970_n_5355), 
+	.SCE(n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][6]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1510_n_8611),
+	.CLK(CTS_72),
+	.D(FE_OFN1854_n_8611),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
-	.SCE(n_5355), 
+	.SCE(FE_OFN1161_n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][7]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1511_n_8612),
+	.CLK(CTS_57),
+	.D(n_8612),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN107_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
-	.SCE(FE_OFN970_n_5355), 
+	.SCE(n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][8]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1512_n_8730),
+	.CLK(CTS_77),
+	.D(n_8730),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
-	.SCE(n_5355), 
+	.SCE(FE_OFN1161_n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][9]  (
-	.CLK(CTS_65),
-	.D(n_8775),
+	.CLK(CTS_73),
+	.D(FE_PSN3962_n_8775),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
-	.SCE(n_5355), 
+	.SCE(FE_OFN1161_n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][10]  (
-	.CLK(CTS_63),
-	.D(n_8776),
+	.CLK(CTS_70),
+	.D(FE_PSBN19235_n_8776),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][11]  (
-	.CLK(CTS_59),
-	.D(n_8774),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][12]  (
-	.CLK(CTS_63),
-	.D(n_8777),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][13]  (
-	.CLK(CTS_63),
-	.D(n_8773),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
-	.RESET_B(FE_OFN98_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][14]  (
-	.CLK(CTS_63),
-	.D(n_8710),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
-	.RESET_B(FE_OFN98_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][15]  (
-	.CLK(CTS_59),
-	.D(n_8715),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_8732),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][17]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1529_n_8755),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][18]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1524_n_8750),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1523_n_8749),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
-	.RESET_B(FE_OFN97_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][20]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1522_n_8748),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][21]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1521_n_8747),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][22]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1518_n_8737),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][23]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1514_n_8733),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
-	.RESET_B(FE_OFN97_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][24]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1515_n_8734),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
-	.SCE(FE_OFN970_n_5355), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][25]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1520_n_8746),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
-	.RESET_B(FE_OFN96_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
 	.SCE(n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][11]  (
+	.CLK(CTS_69),
+	.D(FE_PSN3961_n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.SCE(FE_OFN1161_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][12]  (
+	.CLK(CTS_69),
+	.D(FE_PSN3982_n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.SCE(FE_OFN1161_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][13]  (
+	.CLK(CTS_74),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.SCE(FE_OFN1161_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][14]  (
+	.CLK(CTS_72),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.SCE(FE_OFN1161_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][15]  (
+	.CLK(CTS_69),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.SCE(FE_OFN1161_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][16]  (
+	.CLK(CTS_55),
+	.D(n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.SCE(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][17]  (
+	.CLK(CTS_73),
+	.D(FE_PSN3949_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.SCE(FE_OFN1161_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][18]  (
+	.CLK(CTS_55),
+	.D(FE_PSN3910_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.SCE(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][19]  (
+	.CLK(CTS_69),
+	.D(FE_PSN3926_FE_OFN1866_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.SCE(FE_OFN1161_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][20]  (
+	.CLK(CTS_55),
+	.D(FE_PSN3978_FE_OFN1865_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.SCE(n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][21]  (
+	.CLK(CTS_77),
+	.D(n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.SCE(FE_OFN1161_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][22]  (
+	.CLK(CTS_74),
+	.D(n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.SCE(FE_OFN1161_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][23]  (
+	.CLK(CTS_74),
+	.D(n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
+	.SCE(FE_OFN1161_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][24]  (
+	.CLK(CTS_70),
+	.D(n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.SCE(FE_OFN1161_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][25]  (
+	.CLK(CTS_77),
+	.D(FE_PSN3909_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.SCE(FE_OFN1161_n_5355), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][26]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1519_n_8745),
+	.CLK(CTS_73),
+	.D(FE_PSN3964_n_8745),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
-	.SCE(FE_OFN970_n_5355), 
+	.SCE(FE_OFN1161_n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][27]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1532_n_8759),
+	.CLK(CTS_74),
+	.D(FE_PSN3929_n_8759),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
-	.SCE(FE_OFN970_n_5355), 
+	.SCE(FE_OFN1161_n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][28]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1531_n_8758),
+	.CLK(CTS_74),
+	.D(FE_PSN3927_FE_OFN1875_n_8758),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
-	.SCE(FE_OFN970_n_5355), 
+	.SCE(FE_OFN1161_n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][29]  (
-	.CLK(CTS_63),
-	.D(FE_OFN18780_n_8757),
+	.CLK(CTS_72),
+	.D(n_8757),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
-	.SCE(FE_OFN970_n_5355), 
+	.SCE(FE_OFN1161_n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][30]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_8736),
+	.CLK(CTS_74),
+	.D(n_8736),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
-	.SCE(FE_OFN970_n_5355), 
+	.SCE(FE_OFN1161_n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_71),
 	.D(n_8731),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
-	.SCE(FE_OFN970_n_5355), 
+	.SCE(n_5355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][0]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1516_n_8735),
+	.CLK(CTS_57),
+	.D(n_8735),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][1]  (
-	.CLK(CTS_69),
-	.D(n_8756),
+	.CLK(CTS_55),
+	.D(FE_PSN3945_FE_OFN1873_n_8756),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][2]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1525_n_8751),
+	.CLK(CTS_55),
+	.D(FE_PSN3954_n_8751),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][3]  (
-	.CLK(CTS_65),
-	.D(n_8754),
+	.CLK(CTS_70),
+	.D(FE_OFN1871_n_8754),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][4]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1527_n_8753),
+	.CLK(CTS_57),
+	.D(FE_OFN1870_n_8753),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN107_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][5]  (
-	.CLK(CTS_63),
-	.D(FE_OFN1526_n_8752),
+	.CLK(CTS_60),
+	.D(FE_OFN1869_n_8752),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][6]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1510_n_8611),
+	.CLK(CTS_69),
+	.D(FE_OFN1854_n_8611),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1511_n_8612),
+	.CLK(CTS_57),
+	.D(FE_OFN1855_n_8612),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][8]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1512_n_8730),
+	.CLK(CTS_77),
+	.D(n_8730),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][9]  (
-	.CLK(CTS_65),
-	.D(n_8775),
+	.CLK(CTS_73),
+	.D(FE_PSN3962_n_8775),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][10]  (
-	.CLK(CTS_63),
-	.D(n_8776),
+	.CLK(CTS_70),
+	.D(FE_PSBN19235_n_8776),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][11]  (
-	.CLK(CTS_59),
-	.D(n_8774),
+	.CLK(CTS_69),
+	.D(FE_PSN3961_n_8774),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][12]  (
-	.CLK(CTS_63),
-	.D(n_8777),
+	.CLK(CTS_69),
+	.D(FE_PSN3982_n_8777),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
-	.RESET_B(FE_OFN92_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][13]  (
-	.CLK(CTS_63),
+	.CLK(CTS_74),
 	.D(n_8773),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][14]  (
-	.CLK(CTS_63),
+	.CLK(CTS_72),
 	.D(n_8710),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][15]  (
-	.CLK(CTS_59),
+	.CLK(CTS_69),
 	.D(n_8715),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_8732),
+	.CLK(CTS_60),
+	.D(n_8732),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
-	.RESET_B(FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN108_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
 	.SCE(n_5350), 
 	.VPWR(vccd1), 
@@ -111821,21 +108451,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][17]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1529_n_8755),
+	.CLK(CTS_73),
+	.D(FE_PSN3949_n_8755),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN102_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
-	.SCE(n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][18]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1524_n_8750),
+	.CLK(CTS_55),
+	.D(FE_PSN3910_n_8750),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
-	.RESET_B(FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
 	.SCE(n_5350), 
 	.VPWR(vccd1), 
@@ -111843,21 +108473,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1523_n_8749),
+	.CLK(CTS_69),
+	.D(FE_PSN3926_FE_OFN1866_n_8749),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][20]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1522_n_8748),
+	.CLK(CTS_60),
+	.D(FE_PSN3978_FE_OFN1865_n_8748),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
+	.RESET_B(FE_OFN108_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
 	.SCE(n_5350), 
 	.VPWR(vccd1), 
@@ -111865,142 +108495,142 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][21]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1521_n_8747),
+	.CLK(CTS_77),
+	.D(n_8747),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][22]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1518_n_8737),
+	.CLK(CTS_77),
+	.D(n_8737),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
-	.RESET_B(FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
-	.SCE(n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][23]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1514_n_8733),
+	.CLK(CTS_74),
+	.D(n_8733),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][24]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1515_n_8734),
+	.CLK(CTS_70),
+	.D(n_8734),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
-	.SCE(n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][25]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1520_n_8746),
+	.CLK(CTS_77),
+	.D(FE_PSN3909_n_8746),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][26]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1519_n_8745),
+	.CLK(CTS_73),
+	.D(FE_PSN3964_n_8745),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][27]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1532_n_8759),
+	.CLK(CTS_74),
+	.D(FE_PSN3929_n_8759),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][28]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1531_n_8758),
+	.CLK(CTS_74),
+	.D(FE_PSN3927_FE_OFN1875_n_8758),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
-	.SCE(n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][29]  (
-	.CLK(CTS_63),
-	.D(FE_OFN18780_n_8757),
+	.CLK(CTS_74),
+	.D(n_8757),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][30]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_8736),
+	.CLK(CTS_74),
+	.D(n_8736),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(FE_OFN1156_n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_71),
 	.D(n_8731),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
-	.SCE(FE_OFN965_n_5350), 
+	.SCE(n_5350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][0]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1516_n_8735),
+	.CLK(CTS_57),
+	.D(n_8735),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
-	.SCE(n_5353), 
+	.SCE(FE_OFN1159_n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][1]  (
-	.CLK(CTS_69),
-	.D(n_8756),
+	.CLK(CTS_55),
+	.D(FE_PSN3945_FE_OFN1873_n_8756),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN119_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
 	.SCE(n_5353), 
 	.VPWR(vccd1), 
@@ -112008,10 +108638,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][2]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1525_n_8751),
+	.CLK(CTS_55),
+	.D(FE_PSN3954_n_8751),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
 	.SCE(n_5353), 
 	.VPWR(vccd1), 
@@ -112019,21 +108649,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][3]  (
-	.CLK(CTS_66),
-	.D(n_8754),
+	.CLK(CTS_70),
+	.D(FE_OFN1871_n_8754),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
-	.SCE(n_5353), 
+	.SCE(FE_OFN1159_n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][4]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1527_n_8753),
+	.CLK(CTS_57),
+	.D(FE_OFN1870_n_8753),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
 	.SCE(n_5353), 
 	.VPWR(vccd1), 
@@ -112041,639 +108671,639 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][5]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1526_n_8752),
+	.CLK(CTS_55),
+	.D(FE_OFN1869_n_8752),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
-	.SCE(FE_OFN968_n_5353), 
+	.SCE(n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][6]  (
-	.CLK(CTS_66),
-	.D(FE_OFN1510_n_8611),
+	.CLK(CTS_72),
+	.D(FE_OFN1854_n_8611),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
-	.SCE(n_5353), 
+	.SCE(FE_OFN1159_n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1511_n_8612),
+	.CLK(CTS_55),
+	.D(FE_OFN1855_n_8612),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
-	.SCE(FE_OFN968_n_5353), 
+	.SCE(n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][8]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1512_n_8730),
+	.CLK(CTS_77),
+	.D(n_8730),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
-	.SCE(n_5353), 
+	.SCE(FE_OFN1159_n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][9]  (
-	.CLK(CTS_65),
-	.D(n_8775),
+	.CLK(CTS_73),
+	.D(FE_PSN3962_n_8775),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
-	.SCE(n_5353), 
+	.SCE(FE_OFN1159_n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][10]  (
-	.CLK(CTS_63),
-	.D(n_8776),
+	.CLK(CTS_70),
+	.D(FE_PSBN19235_n_8776),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
-	.RESET_B(FE_OFN92_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][11]  (
-	.CLK(CTS_59),
-	.D(n_8774),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][12]  (
-	.CLK(CTS_63),
-	.D(n_8777),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][13]  (
-	.CLK(CTS_63),
-	.D(n_8773),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
-	.RESET_B(FE_OFN97_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][14]  (
-	.CLK(CTS_63),
-	.D(n_8710),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
-	.RESET_B(FE_OFN97_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][15]  (
-	.CLK(CTS_59),
-	.D(n_8715),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_8732),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][17]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1529_n_8755),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][18]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1524_n_8750),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1523_n_8749),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
-	.RESET_B(FE_OFN98_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][20]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1522_n_8748),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][21]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1521_n_8747),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][22]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1518_n_8737),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][23]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1514_n_8733),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
-	.RESET_B(FE_OFN97_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][24]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1515_n_8734),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
-	.SCE(FE_OFN968_n_5353), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][25]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1520_n_8746),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
-	.RESET_B(FE_OFN96_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
 	.SCE(n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][11]  (
+	.CLK(CTS_69),
+	.D(FE_PSN3961_n_8774),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.SCE(FE_OFN1159_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][12]  (
+	.CLK(CTS_69),
+	.D(FE_PSN3982_n_8777),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.SCE(FE_OFN1159_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][13]  (
+	.CLK(CTS_74),
+	.D(n_8773),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.SCE(FE_OFN1159_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][14]  (
+	.CLK(CTS_72),
+	.D(n_8710),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.SCE(FE_OFN1159_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][15]  (
+	.CLK(CTS_69),
+	.D(n_8715),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.SCE(FE_OFN1159_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][16]  (
+	.CLK(CTS_55),
+	.D(FE_OFN1856_n_8732),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.SCE(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][17]  (
+	.CLK(CTS_73),
+	.D(FE_PSN3949_n_8755),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.SCE(FE_OFN1159_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][18]  (
+	.CLK(CTS_71),
+	.D(FE_PSN3959_n_8750),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.SCE(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][19]  (
+	.CLK(CTS_69),
+	.D(FE_PSN3926_FE_OFN1866_n_8749),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.SCE(FE_OFN1159_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][20]  (
+	.CLK(CTS_55),
+	.D(FE_PSN3978_FE_OFN1865_n_8748),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.SCE(n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][21]  (
+	.CLK(CTS_77),
+	.D(n_8747),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.SCE(FE_OFN1159_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][22]  (
+	.CLK(CTS_77),
+	.D(n_8737),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.SCE(FE_OFN1159_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][23]  (
+	.CLK(CTS_74),
+	.D(n_8733),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.SCE(FE_OFN1159_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][24]  (
+	.CLK(CTS_73),
+	.D(n_8734),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.SCE(FE_OFN1159_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][25]  (
+	.CLK(CTS_77),
+	.D(FE_PSN3909_n_8746),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.SCE(FE_OFN1159_n_5353), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][26]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1519_n_8745),
+	.CLK(CTS_77),
+	.D(FE_PSN3913_n_8745),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN102_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
-	.SCE(FE_OFN968_n_5353), 
+	.SCE(FE_OFN1159_n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][27]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1532_n_8759),
+	.CLK(CTS_74),
+	.D(FE_PSN3929_n_8759),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
-	.SCE(FE_OFN968_n_5353), 
+	.SCE(FE_OFN1159_n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][28]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1531_n_8758),
+	.CLK(CTS_74),
+	.D(FE_PSN3927_FE_OFN1875_n_8758),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
-	.SCE(FE_OFN968_n_5353), 
+	.SCE(FE_OFN1159_n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN18780_n_8757),
+	.CLK(CTS_72),
+	.D(n_8757),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
-	.SCE(FE_OFN968_n_5353), 
+	.SCE(FE_OFN1159_n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][30]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_8736),
+	.CLK(CTS_74),
+	.D(n_8736),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
-	.SCE(FE_OFN968_n_5353), 
+	.SCE(FE_OFN1159_n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_55),
 	.D(n_8731),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
-	.SCE(FE_OFN968_n_5353), 
+	.SCE(n_5353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][0]  (
-	.CLK(CTS_23),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
+	.SCE(n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][1]  (
-	.CLK(CTS_69),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(n_8756),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][2]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSBN19237_n_8751),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
-	.RESET_B(FE_OFN135_io_out_37),
-	.SCD(n_8754),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][4]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1527_n_8753),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_OFN1870_n_8753),
+	.SCE(n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][5]  (
-	.CLK(CTS_64),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1526_n_8752),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(n_8611),
-	.SCE(FE_OFN1119_n_15930), 
+	.SCE(n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][7]  (
-	.CLK(CTS_59),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(n_15930), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
-	.RESET_B(FE_OFN135_io_out_37),
-	.SCD(n_8730),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
+	.SCE(n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][9]  (
-	.CLK(CTS_47),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
 	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN1119_n_15930), 
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][10]  (
-	.CLK(CTS_72),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
-	.RESET_B(FE_OFN91_io_out_37),
-	.SCD(n_8776),
-	.SCE(n_15930), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][11]  (
-	.CLK(CTS_47),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
-	.RESET_B(FE_OFN103_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][12]  (
-	.CLK(CTS_47),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][13]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
-	.RESET_B(FE_OFN121_io_out_37),
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN1119_n_15930), 
+	.SCE(n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][14]  (
-	.CLK(CTS_64),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
-	.RESET_B(FE_OFN137_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN1119_n_15930), 
+	.SCE(n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][15]  (
-	.CLK(CTS_47),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
-	.RESET_B(FE_OFN52_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN1119_n_15930), 
+	.SCE(n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][16]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(n_15930), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(n_8732),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][17]  (
-	.CLK(CTS_23),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(n_15930), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][18]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(n_15930), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][19]  (
-	.CLK(CTS_64),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8749),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN3926_FE_OFN1866_n_8749),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][20]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(n_15930), 
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][21]  (
-	.CLK(CTS_72),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
-	.RESET_B(FE_OFN50_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(n_15930), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][22]  (
-	.CLK(CTS_61),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(n_15930), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][23]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
-	.RESET_B(FE_OFN121_io_out_37),
-	.SCD(n_8733),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
+	.SCD(FE_OFN1857_n_8733),
+	.SCE(n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][24]  (
-	.CLK(CTS_61),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(n_15930), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][25]  (
-	.CLK(CTS_67),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8746),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][26]  (
-	.CLK(CTS_63),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(n_15930), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN4015_FE_OFN1862_n_8745),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][27]  (
-	.CLK(CTS_72),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
-	.RESET_B(FE_OFN91_io_out_37),
-	.SCD(n_8759),
-	.SCE(n_15930), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][28]  (
-	.CLK(CTS_23),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_PSN3995_n_8758),
 	.SCE(n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][29]  (
-	.CLK(CTS_67),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
-	.RESET_B(FE_OFN121_io_out_37),
-	.SCD(FE_OFN18780_n_8757),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][30]  (
-	.CLK(CTS_47),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
-	.RESET_B(FE_OFN102_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN1119_n_15930), 
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -112682,1140 +109312,1140 @@
 	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
-	.RESET_B(FE_OFN91_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(n_8731),
-	.SCE(n_15930), 
+	.SCE(FE_OFN1271_n_15930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][0]  (
-	.CLK(CTS_23),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][1]  (
-	.CLK(CTS_69),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1530_n_8756),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][2]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSN3905_FE_OFN1868_n_8751),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
-	.RESET_B(FE_OFN135_io_out_37),
-	.SCD(n_8754),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][4]  (
-	.CLK(CTS_69),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1527_n_8753),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1870_n_8753),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][5]  (
-	.CLK(CTS_64),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8752),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(n_8611),
-	.SCE(FE_OFN942_n_5334), 
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][7]  (
-	.CLK(CTS_60),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
-	.RESET_B(FE_OFN50_io_out_37),
-	.SCD(n_8612),
-	.SCE(FE_OFN941_n_5334), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
-	.RESET_B(FE_OFN135_io_out_37),
-	.SCD(n_8730),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][9]  (
-	.CLK(CTS_47),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1135_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][10]  (
-	.CLK(CTS_63),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
-	.RESET_B(FE_OFN91_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN941_n_5334), 
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1135_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][11]  (
-	.CLK(CTS_47),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1135_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][12]  (
-	.CLK(CTS_38),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
-	.RESET_B(FE_OFN102_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1135_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][13]  (
-	.CLK(CTS_64),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
-	.RESET_B(FE_OFN137_io_out_37),
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN942_n_5334), 
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][14]  (
-	.CLK(CTS_64),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
-	.RESET_B(FE_OFN137_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN942_n_5334), 
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][15]  (
-	.CLK(CTS_47),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
-	.RESET_B(FE_OFN103_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN942_n_5334), 
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][16]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(FE_OFN941_n_5334), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(n_8732),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][17]  (
-	.CLK(CTS_23),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(FE_OFN941_n_5334), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1135_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][18]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN941_n_5334), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][19]  (
-	.CLK(CTS_64),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8749),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN3926_FE_OFN1866_n_8749),
+	.SCE(FE_OFN1135_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][20]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN941_n_5334), 
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
-	.RESET_B(FE_OFN50_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN941_n_5334), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1135_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][22]  (
-	.CLK(CTS_61),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN941_n_5334), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1135_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][23]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
-	.RESET_B(FE_OFN121_io_out_37),
-	.SCD(n_8733),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
+	.SCD(FE_OFN1857_n_8733),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][24]  (
-	.CLK(CTS_61),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(FE_OFN941_n_5334), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1135_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][25]  (
-	.CLK(CTS_28),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8746),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1135_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][26]  (
-	.CLK(CTS_63),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
-	.RESET_B(FE_OFN98_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(FE_OFN941_n_5334), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN4015_FE_OFN1862_n_8745),
+	.SCE(FE_OFN1135_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][27]  (
-	.CLK(CTS_72),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
-	.RESET_B(FE_OFN91_io_out_37),
-	.SCD(n_8759),
-	.SCE(FE_OFN941_n_5334), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1135_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][28]  (
-	.CLK(CTS_23),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
-	.SCE(FE_OFN941_n_5334), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_PSN3995_n_8758),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][29]  (
-	.CLK(CTS_67),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
-	.RESET_B(FE_OFN121_io_out_37),
-	.SCD(n_8757),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][30]  (
-	.CLK(CTS_47),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN942_n_5334), 
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
-	.RESET_B(FE_OFN91_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN941_n_5334), 
+	.SCE(FE_OFN1134_n_5334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][0]  (
-	.CLK(CTS_23),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN943_n_5335), 
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][1]  (
-	.CLK(CTS_69),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(n_8756),
-	.SCE(FE_OFN943_n_5335), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][2]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN943_n_5335), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSBN19237_n_8751),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(n_8754),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][4]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1527_n_8753),
-	.SCE(FE_OFN943_n_5335), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1870_n_8753),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][5]  (
-	.CLK(CTS_64),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN1526_n_8752),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
-	.RESET_B(FE_OFN136_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(n_8611),
-	.SCE(FE_OFN944_n_5335), 
+	.SCE(FE_OFN1137_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][7]  (
-	.CLK(CTS_59),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(n_8730),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
+	.SCE(FE_OFN1137_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][9]  (
-	.CLK(CTS_73),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][10]  (
-	.CLK(CTS_63),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][11]  (
-	.CLK(CTS_73),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][12]  (
-	.CLK(CTS_38),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][13]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
-	.RESET_B(FE_OFN114_io_out_37),
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN944_n_5335), 
+	.SCE(FE_OFN1137_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][14]  (
-	.CLK(CTS_64),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
-	.RESET_B(FE_OFN137_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN944_n_5335), 
+	.SCE(FE_OFN1137_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][15]  (
-	.CLK(CTS_73),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
-	.RESET_B(FE_OFN52_io_out_37),
+	.RESET_B(FE_OFN117_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN944_n_5335), 
+	.SCE(FE_OFN1137_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][16]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(FE_OFN943_n_5335), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(n_8732),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][17]  (
-	.CLK(CTS_23),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(FE_OFN943_n_5335), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][18]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN943_n_5335), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][19]  (
-	.CLK(CTS_64),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1523_n_8749),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN3926_FE_OFN1866_n_8749),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][20]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN943_n_5335), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][22]  (
-	.CLK(CTS_61),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN943_n_5335), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][23]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
-	.RESET_B(FE_OFN15_io_out_37),
-	.SCD(n_8733),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
+	.SCD(FE_OFN1857_n_8733),
+	.SCE(FE_OFN1137_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][24]  (
-	.CLK(CTS_24),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(FE_OFN943_n_5335), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][25]  (
-	.CLK(CTS_73),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
-	.RESET_B(FE_OFN15_io_out_37),
-	.SCD(n_8746),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][26]  (
-	.CLK(CTS_63),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(FE_OFN943_n_5335), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1862_n_8745),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][27]  (
-	.CLK(CTS_63),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
-	.RESET_B(FE_OFN91_io_out_37),
-	.SCD(n_8759),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
-	.SCE(FE_OFN943_n_5335), 
+	.RESET_B(FE_OFN115_io_out_37),
+	.SCD(FE_PSN3995_n_8758),
+	.SCE(FE_OFN1137_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][29]  (
-	.CLK(CTS_67),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN18780_n_8757),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(FE_OFN1137_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][30]  (
-	.CLK(CTS_73),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN944_n_5335), 
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1137_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
-	.RESET_B(FE_OFN48_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN944_n_5335), 
+	.SCE(FE_OFN1136_n_5335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][0]  (
-	.CLK(CTS_23),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN956_n_5343), 
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
+	.SCE(n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][1]  (
-	.CLK(CTS_69),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1530_n_8756),
-	.SCE(FE_OFN956_n_5343), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][2]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN956_n_5343), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSN3905_FE_OFN1868_n_8751),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
-	.RESET_B(FE_OFN135_io_out_37),
-	.SCD(n_8754),
-	.SCE(FE_OFN956_n_5343), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][4]  (
-	.CLK(CTS_69),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1527_n_8753),
-	.SCE(FE_OFN956_n_5343), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1870_n_8753),
+	.SCE(n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][5]  (
-	.CLK(CTS_64),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1526_n_8752),
-	.SCE(FE_OFN956_n_5343), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(n_8611),
-	.SCE(FE_OFN956_n_5343), 
+	.SCE(n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][7]  (
-	.CLK(CTS_59),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(n_5343), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
-	.RESET_B(FE_OFN135_io_out_37),
-	.SCD(n_8730),
-	.SCE(FE_OFN956_n_5343), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
+	.SCE(n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][9]  (
-	.CLK(CTS_47),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
 	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN956_n_5343), 
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][10]  (
-	.CLK(CTS_63),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8776),
-	.SCE(n_5343), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][11]  (
-	.CLK(CTS_47),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
-	.RESET_B(FE_OFN103_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN956_n_5343), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][12]  (
-	.CLK(CTS_73),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8777),
-	.SCE(n_5343), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][13]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
-	.RESET_B(FE_OFN121_io_out_37),
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN956_n_5343), 
+	.SCE(n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][14]  (
-	.CLK(CTS_64),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
-	.RESET_B(FE_OFN137_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN956_n_5343), 
+	.SCE(n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][15]  (
-	.CLK(CTS_47),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
-	.RESET_B(FE_OFN52_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN956_n_5343), 
+	.SCE(n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][16]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(n_5343), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(n_8732),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][17]  (
-	.CLK(CTS_23),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(n_5343), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][18]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(n_5343), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][19]  (
-	.CLK(CTS_64),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8749),
-	.SCE(FE_OFN956_n_5343), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN3926_FE_OFN1866_n_8749),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][20]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(n_5343), 
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][21]  (
-	.CLK(CTS_72),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
-	.RESET_B(FE_OFN50_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(n_5343), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][22]  (
-	.CLK(CTS_61),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(n_5343), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][23]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
-	.RESET_B(FE_OFN121_io_out_37),
-	.SCD(n_8733),
-	.SCE(FE_OFN956_n_5343), 
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
+	.SCD(FE_OFN1857_n_8733),
+	.SCE(n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][24]  (
-	.CLK(CTS_24),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(n_5343), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][25]  (
-	.CLK(CTS_67),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
-	.RESET_B(FE_OFN15_io_out_37),
-	.SCD(n_8746),
-	.SCE(FE_OFN956_n_5343), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][26]  (
-	.CLK(CTS_63),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
-	.RESET_B(FE_OFN98_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(n_5343), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1862_n_8745),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][27]  (
-	.CLK(CTS_72),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
-	.RESET_B(FE_OFN91_io_out_37),
-	.SCD(n_8759),
-	.SCE(n_5343), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1149_n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][28]  (
-	.CLK(CTS_23),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_PSN3995_n_8758),
 	.SCE(n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][29]  (
-	.CLK(CTS_67),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
-	.RESET_B(FE_OFN121_io_out_37),
-	.SCD(n_8757),
-	.SCE(FE_OFN956_n_5343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][30]  (
-	.CLK(CTS_47),
-	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN956_n_5343), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][31]  (
-	.CLK(CTS_60),
-	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
-	.RESET_B(FE_OFN91_io_out_37),
-	.SCD(n_8731),
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
 	.SCE(n_5343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][30]  (
+	.CLK(CTS_69),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][31]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(n_8731),
+	.SCE(FE_OFN1149_n_5343), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][0]  (
-	.CLK(CTS_23),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
 	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][1]  (
-	.CLK(CTS_69),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
-	.RESET_B(FE_OFN96_io_out_37),
-	.SCD(n_8756),
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
 	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][2]  (
-	.CLK(CTS_69),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_PSN3954_n_8751),
 	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(n_8754),
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
 	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][4]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1527_n_8753),
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1870_n_8753),
 	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][5]  (
-	.CLK(CTS_64),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN1526_n_8752),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
-	.RESET_B(FE_OFN136_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(n_8611),
 	.SCE(n_5344), 
 	.VPWR(vccd1), 
@@ -113823,2540 +110453,2540 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][7]  (
-	.CLK(CTS_60),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(n_8730),
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
 	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][9]  (
-	.CLK(CTS_73),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
-	.RESET_B(FE_OFN15_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][10]  (
-	.CLK(CTS_63),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][11]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][12]  (
-	.CLK(CTS_73),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][13]  (
-	.CLK(CTS_67),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
-	.RESET_B(FE_OFN114_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN957_n_5344), 
+	.SCE(FE_OFN1150_n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][14]  (
-	.CLK(CTS_64),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
-	.RESET_B(FE_OFN137_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN957_n_5344), 
+	.SCE(FE_OFN1150_n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][15]  (
-	.CLK(CTS_73),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
-	.RESET_B(FE_OFN52_io_out_37),
+	.RESET_B(FE_OFN117_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN957_n_5344), 
+	.SCE(FE_OFN1150_n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][16]  (
-	.CLK(CTS_72),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(n_8732),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][17]  (
-	.CLK(CTS_23),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][18]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][19]  (
-	.CLK(CTS_64),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1523_n_8749),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN3926_FE_OFN1866_n_8749),
+	.SCE(FE_OFN1150_n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][20]  (
-	.CLK(CTS_62),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][22]  (
-	.CLK(CTS_61),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][23]  (
-	.CLK(CTS_67),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
-	.RESET_B(FE_OFN15_io_out_37),
-	.SCD(n_8733),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
+	.SCD(FE_OFN1857_n_8733),
+	.SCE(FE_OFN1150_n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][24]  (
-	.CLK(CTS_72),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][25]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8746),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1150_n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][26]  (
-	.CLK(CTS_24),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1862_n_8745),
+	.SCE(FE_OFN1150_n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][27]  (
-	.CLK(CTS_60),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_PSN3995_n_8758),
+	.SCE(FE_OFN1150_n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][29]  (
-	.CLK(CTS_67),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
-	.RESET_B(FE_OFN15_io_out_37),
-	.SCD(FE_OFN18780_n_8757),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(FE_OFN1150_n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][30]  (
-	.CLK(CTS_38),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
-	.RESET_B(FE_OFN102_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN957_n_5344), 
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1150_n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
-	.RESET_B(FE_OFN93_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN957_n_5344), 
+	.SCE(n_5344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][0]  (
-	.CLK(CTS_23),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][1]  (
-	.CLK(CTS_69),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
-	.RESET_B(FE_OFN96_io_out_37),
-	.SCD(n_8756),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][2]  (
-	.CLK(CTS_69),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_PSN3954_n_8751),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(n_8754),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][4]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1527_n_8753),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_OFN1870_n_8753),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][5]  (
-	.CLK(CTS_64),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN1526_n_8752),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
-	.RESET_B(FE_OFN136_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(n_8611),
-	.SCE(FE_OFN950_n_5340), 
+	.SCE(FE_OFN1144_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][7]  (
-	.CLK(CTS_60),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN951_n_5340), 
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(n_8730),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][9]  (
-	.CLK(CTS_73),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
-	.RESET_B(FE_OFN15_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][10]  (
-	.CLK(CTS_63),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][11]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][12]  (
-	.CLK(CTS_73),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][13]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
-	.RESET_B(FE_OFN114_io_out_37),
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN950_n_5340), 
+	.SCE(FE_OFN1144_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][14]  (
-	.CLK(CTS_64),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
-	.RESET_B(FE_OFN137_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN950_n_5340), 
+	.SCE(FE_OFN1144_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][15]  (
-	.CLK(CTS_73),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
-	.RESET_B(FE_OFN52_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN950_n_5340), 
+	.SCE(FE_OFN1144_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][16]  (
-	.CLK(CTS_72),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(FE_OFN951_n_5340), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(n_8732),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][17]  (
-	.CLK(CTS_24),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(n_5340), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][18]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN951_n_5340), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][19]  (
-	.CLK(CTS_64),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1523_n_8749),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN3926_FE_OFN1866_n_8749),
+	.SCE(FE_OFN1144_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][20]  (
-	.CLK(CTS_61),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN951_n_5340), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN951_n_5340), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][22]  (
-	.CLK(CTS_61),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN951_n_5340), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][23]  (
-	.CLK(CTS_67),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
-	.RESET_B(FE_OFN15_io_out_37),
-	.SCD(n_8733),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
+	.SCD(FE_OFN1857_n_8733),
+	.SCE(FE_OFN1144_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][24]  (
-	.CLK(CTS_72),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(FE_OFN951_n_5340), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][25]  (
-	.CLK(CTS_73),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8746),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1144_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][26]  (
-	.CLK(CTS_24),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1862_n_8745),
+	.SCE(FE_OFN1144_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][27]  (
-	.CLK(CTS_60),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN951_n_5340), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
-	.SCE(n_5340), 
+	.RESET_B(FE_OFN115_io_out_37),
+	.SCD(FE_PSN3995_n_8758),
+	.SCE(FE_OFN1144_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][29]  (
-	.CLK(CTS_67),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN18780_n_8757),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(FE_OFN1144_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][30]  (
-	.CLK(CTS_73),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
-	.RESET_B(FE_OFN102_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN950_n_5340), 
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1144_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
-	.RESET_B(FE_OFN93_io_out_37),
+	.RESET_B(FE_OFN114_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN951_n_5340), 
+	.SCE(FE_OFN1143_n_5340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][0]  (
-	.CLK(CTS_23),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN934_n_5329), 
+	.RESET_B(FE_OFN118_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][1]  (
-	.CLK(CTS_69),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(n_8756),
-	.SCE(FE_OFN934_n_5329), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][2]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN934_n_5329), 
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_PSN3954_n_8751),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(n_8754),
-	.SCE(FE_OFN934_n_5329), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(FE_OFN1129_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][4]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1527_n_8753),
-	.SCE(FE_OFN934_n_5329), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1870_n_8753),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][5]  (
-	.CLK(CTS_67),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN1526_n_8752),
-	.SCE(FE_OFN934_n_5329), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(FE_OFN1510_n_8611),
-	.SCE(FE_OFN934_n_5329), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1854_n_8611),
+	.SCE(FE_OFN1129_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][7]  (
-	.CLK(CTS_59),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(n_8612),
+	.SCE(FE_OFN1129_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(n_8730),
-	.SCE(FE_OFN934_n_5329), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
+	.SCE(FE_OFN1129_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][9]  (
-	.CLK(CTS_73),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][10]  (
-	.CLK(CTS_63),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][11]  (
-	.CLK(CTS_73),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][12]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][13]  (
-	.CLK(CTS_64),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
-	.RESET_B(FE_OFN114_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN934_n_5329), 
+	.SCE(FE_OFN1129_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][14]  (
-	.CLK(CTS_66),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
 	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN934_n_5329), 
+	.SCE(FE_OFN1129_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][15]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
-	.RESET_B(FE_OFN52_io_out_37),
+	.RESET_B(FE_OFN104_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN935_n_5329), 
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][16]  (
-	.CLK(CTS_72),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_OFN1856_n_8732),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][17]  (
-	.CLK(CTS_24),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][18]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][19]  (
-	.CLK(CTS_64),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1523_n_8749),
-	.SCE(FE_OFN934_n_5329), 
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
+	.SCD(n_8749),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][20]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][22]  (
-	.CLK(CTS_62),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][23]  (
-	.CLK(CTS_64),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN1514_n_8733),
-	.SCE(FE_OFN934_n_5329), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN1129_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][24]  (
-	.CLK(CTS_24),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][25]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8746),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][26]  (
-	.CLK(CTS_24),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1862_n_8745),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][27]  (
-	.CLK(CTS_62),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_PSN3927_FE_OFN1875_n_8758),
+	.SCE(FE_OFN1129_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][29]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN18780_n_8757),
-	.SCE(FE_OFN934_n_5329), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(FE_OFN1129_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][30]  (
-	.CLK(CTS_73),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN935_n_5329), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1129_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
-	.RESET_B(FE_OFN93_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN935_n_5329), 
+	.SCE(FE_OFN1128_n_5329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][0]  (
-	.CLK(CTS_23),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
+	.RESET_B(FE_OFN118_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
 	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][1]  (
-	.CLK(CTS_69),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
-	.RESET_B(FE_OFN96_io_out_37),
-	.SCD(n_8756),
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
 	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][2]  (
-	.CLK(CTS_69),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_PSN3954_n_8751),
 	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(n_8754),
-	.SCE(n_5345), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(FE_OFN1151_n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][4]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1527_n_8753),
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1870_n_8753),
 	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][5]  (
-	.CLK(CTS_67),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN1526_n_8752),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(FE_OFN1510_n_8611),
-	.SCE(n_5345), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1854_n_8611),
+	.SCE(FE_OFN1151_n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][7]  (
-	.CLK(CTS_59),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN958_n_5345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][8]  (
-	.CLK(CTS_66),
-	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(n_8730),
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(n_8612),
 	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][8]  (
+	.CLK(CTS_70),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
+	.SCE(FE_OFN1151_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][9]  (
-	.CLK(CTS_73),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][10]  (
-	.CLK(CTS_63),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8776),
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
 	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][11]  (
-	.CLK(CTS_73),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][12]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][13]  (
-	.CLK(CTS_67),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
-	.RESET_B(FE_OFN114_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN958_n_5345), 
+	.SCE(FE_OFN1151_n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][14]  (
-	.CLK(CTS_64),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
 	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN958_n_5345), 
+	.SCE(FE_OFN1151_n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][15]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
-	.RESET_B(FE_OFN52_io_out_37),
+	.RESET_B(FE_OFN104_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN958_n_5345), 
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][16]  (
-	.CLK(CTS_72),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_OFN1856_n_8732),
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][17]  (
-	.CLK(CTS_24),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
 	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][18]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][19]  (
-	.CLK(CTS_66),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1523_n_8749),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
+	.SCD(n_8749),
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][20]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][22]  (
-	.CLK(CTS_62),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][23]  (
-	.CLK(CTS_64),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN1514_n_8733),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN1151_n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][24]  (
-	.CLK(CTS_24),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][25]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
-	.SCD(n_8746),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][26]  (
-	.CLK(CTS_24),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_OFN1862_n_8745),
 	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][27]  (
-	.CLK(CTS_62),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN958_n_5345), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][28]  (
-	.CLK(CTS_24),
-	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
 	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][28]  (
+	.CLK(CTS_72),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_PSN3927_FE_OFN1875_n_8758),
+	.SCE(FE_OFN1151_n_5345), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][29]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN18780_n_8757),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(FE_OFN1151_n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][30]  (
-	.CLK(CTS_59),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN958_n_5345), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1151_n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN958_n_5345), 
+	.SCE(n_5345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][0]  (
-	.CLK(CTS_23),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][1]  (
-	.CLK(CTS_69),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(n_8756),
-	.SCE(FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][2]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSBN19237_n_8751),
+	.SCE(n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][3]  (
-	.CLK(CTS_65),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
-	.RESET_B(FE_OFN96_io_out_37),
-	.SCD(n_8754),
-	.SCE(FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][4]  (
-	.CLK(CTS_69),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1527_n_8753),
-	.SCE(FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1870_n_8753),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][5]  (
-	.CLK(CTS_63),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
-	.RESET_B(FE_OFN101_io_out_37),
-	.SCD(FE_OFN1526_n_8752),
-	.SCE(FE_OFN962_n_5348), 
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][6]  (
-	.CLK(CTS_65),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
-	.RESET_B(FE_OFN96_io_out_37),
-	.SCD(FE_OFN1510_n_8611),
-	.SCE(FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(FE_OFN1854_n_8611),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][7]  (
-	.CLK(CTS_59),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(n_8612),
+	.SCE(n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][8]  (
-	.CLK(CTS_65),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37),
-	.SCD(FE_OFN1512_n_8730),
-	.SCE(FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][9]  (
-	.CLK(CTS_65),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
-	.RESET_B(FE_OFN96_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][10]  (
-	.CLK(CTS_63),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN962_n_5348), 
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][11]  (
-	.CLK(CTS_59),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][12]  (
-	.CLK(CTS_63),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN962_n_5348), 
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][13]  (
-	.CLK(CTS_63),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN962_n_5348), 
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][14]  (
-	.CLK(CTS_63),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
-	.RESET_B(FE_OFN98_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN962_n_5348), 
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][15]  (
-	.CLK(CTS_59),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN138_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][16]  (
-	.CLK(CTS_61),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(n_8732),
+	.SCE(n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][17]  (
-	.CLK(CTS_23),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN118_io_out_37),
+	.SCD(FE_PSN3949_n_8755),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][18]  (
-	.CLK(CTS_62),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_PSN3959_n_8750),
+	.SCE(n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][19]  (
-	.CLK(CTS_68),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
-	.RESET_B(FE_OFN98_io_out_37),
-	.SCD(FE_OFN1523_n_8749),
-	.SCE(FE_OFN962_n_5348), 
+	.RESET_B(FE_OFN138_io_out_37),
+	.SCD(FE_PSN3926_FE_OFN1866_n_8749),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][20]  (
-	.CLK(CTS_61),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN105_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(n_8747),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][22]  (
-	.CLK(CTS_61),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
-	.RESET_B(FE_OFN47_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(n_8737),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][23]  (
-	.CLK(CTS_68),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
-	.RESET_B(FE_OFN97_io_out_37),
-	.SCD(FE_OFN1514_n_8733),
-	.SCE(FE_OFN962_n_5348), 
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][24]  (
-	.CLK(CTS_24),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8734),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][25]  (
-	.CLK(CTS_65),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
-	.RESET_B(FE_OFN96_io_out_37),
-	.SCD(FE_OFN1520_n_8746),
-	.SCE(FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(FE_PSN3909_n_8746),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][26]  (
-	.CLK(CTS_68),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
-	.RESET_B(FE_OFN98_io_out_37),
-	.SCD(n_8745),
-	.SCE(FE_OFN962_n_5348), 
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(FE_PSN3913_n_8745),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][27]  (
-	.CLK(CTS_60),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(FE_PSN3929_n_8759),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][28]  (
-	.CLK(CTS_23),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
-	.SCE(FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(FE_PSN3927_FE_OFN1875_n_8758),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][29]  (
-	.CLK(CTS_63),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
-	.RESET_B(FE_OFN101_io_out_37),
-	.SCD(FE_OFN18780_n_8757),
-	.SCE(FE_OFN962_n_5348), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8757),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][30]  (
-	.CLK(CTS_59),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(n_8736),
+	.SCE(FE_OFN1154_n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN19050_FE_OFN961_n_5348), 
+	.SCE(n_5348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][0]  (
-	.CLK(CTS_24),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN952_n_5341), 
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(n_8735),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][1]  (
-	.CLK(CTS_68),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(FE_OFN1530_n_8756),
-	.SCE(FE_OFN952_n_5341), 
+	.RESET_B(FE_OFN121_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][2]  (
-	.CLK(CTS_24),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN952_n_5341), 
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(FE_PSN3905_FE_OFN1868_n_8751),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][3]  (
-	.CLK(CTS_64),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1528_n_8754),
-	.SCE(FE_OFN952_n_5341), 
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(n_8754),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][4]  (
-	.CLK(CTS_68),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(n_8753),
-	.SCE(FE_OFN952_n_5341), 
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(FE_PSN3928_n_8753),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][5]  (
-	.CLK(CTS_28),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
-	.RESET_B(FE_OFN113_io_out_37),
-	.SCD(n_8752),
-	.SCE(FE_OFN952_n_5341), 
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8611),
-	.SCE(FE_OFN952_n_5341), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_OFN1854_n_8611),
+	.SCE(FE_OFN1146_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][7]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8730),
-	.SCE(FE_OFN952_n_5341), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
+	.SCE(FE_OFN1146_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][9]  (
-	.CLK(CTS_28),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
 	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN952_n_5341), 
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][10]  (
-	.CLK(CTS_72),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
-	.RESET_B(FE_OFN91_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][11]  (
-	.CLK(CTS_47),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][12]  (
-	.CLK(CTS_73),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
-	.RESET_B(FE_OFN102_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][13]  (
-	.CLK(CTS_28),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
-	.RESET_B(FE_OFN113_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN952_n_5341), 
+	.SCE(FE_OFN1146_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][14]  (
-	.CLK(CTS_28),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
-	.RESET_B(FE_OFN113_io_out_37),
+	.RESET_B(FE_OFN104_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN952_n_5341), 
+	.SCE(FE_OFN1146_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][15]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
-	.RESET_B(FE_OFN53_io_out_37),
+	.RESET_B(FE_OFN135_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN953_n_5341), 
+	.SCE(FE_OFN1146_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][16]  (
-	.CLK(CTS_72),
+	.CLK(CTS_62),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(n_8732),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37),
+	.SCD(FE_OFN1856_n_8732),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][17]  (
-	.CLK(CTS_24),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][18]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][19]  (
-	.CLK(CTS_28),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8749),
-	.SCE(FE_OFN952_n_5341), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_PSN3926_FE_OFN1866_n_8749),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][20]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][22]  (
-	.CLK(CTS_62),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][23]  (
-	.CLK(CTS_28),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
-	.RESET_B(FE_OFN113_io_out_37),
-	.SCD(n_8733),
-	.SCE(FE_OFN952_n_5341), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1857_n_8733),
+	.SCE(FE_OFN1146_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][24]  (
-	.CLK(CTS_72),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8734),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][25]  (
-	.CLK(CTS_28),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8746),
-	.SCE(FE_OFN952_n_5341), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][26]  (
-	.CLK(CTS_24),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN4014_FE_OFN1862_n_8745),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][27]  (
-	.CLK(CTS_60),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(n_8758),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_PSN3995_n_8758),
+	.SCE(FE_OFN1146_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][29]  (
-	.CLK(CTS_28),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8757),
-	.SCE(FE_OFN952_n_5341), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(FE_OFN1146_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][30]  (
-	.CLK(CTS_73),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
-	.RESET_B(FE_OFN53_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN953_n_5341), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1146_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN953_n_5341), 
+	.SCE(FE_OFN1145_n_5341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][0]  (
-	.CLK(CTS_68),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN939_n_5331), 
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][1]  (
-	.CLK(CTS_68),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(FE_OFN1530_n_8756),
-	.SCE(FE_OFN939_n_5331), 
+	.RESET_B(FE_OFN121_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][2]  (
-	.CLK(CTS_68),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN939_n_5331), 
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(FE_PSN3905_FE_OFN1868_n_8751),
+	.SCE(n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][3]  (
-	.CLK(CTS_64),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1528_n_8754),
-	.SCE(FE_OFN939_n_5331), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(n_8754),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][4]  (
-	.CLK(CTS_68),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(n_8753),
-	.SCE(FE_OFN939_n_5331), 
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(FE_PSN3928_n_8753),
+	.SCE(n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][5]  (
-	.CLK(CTS_28),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
-	.RESET_B(FE_OFN113_io_out_37),
-	.SCD(n_8752),
-	.SCE(FE_OFN939_n_5331), 
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(FE_OFN1132_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][6]  (
-	.CLK(CTS_64),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8611),
-	.SCE(FE_OFN939_n_5331), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_OFN1854_n_8611),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][7]  (
-	.CLK(CTS_59),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8730),
-	.SCE(FE_OFN939_n_5331), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][9]  (
-	.CLK(CTS_28),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
 	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN939_n_5331), 
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1132_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][10]  (
-	.CLK(CTS_63),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][11]  (
-	.CLK(CTS_47),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN939_n_5331), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1132_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][12]  (
-	.CLK(CTS_38),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
-	.RESET_B(FE_OFN102_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][13]  (
-	.CLK(CTS_28),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
-	.RESET_B(FE_OFN113_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN939_n_5331), 
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][14]  (
-	.CLK(CTS_28),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
-	.RESET_B(FE_OFN120_io_out_37),
+	.RESET_B(FE_OFN104_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN939_n_5331), 
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][15]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
-	.RESET_B(FE_OFN53_io_out_37),
+	.RESET_B(FE_OFN135_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN938_n_5331), 
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][16]  (
-	.CLK(CTS_72),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(n_8732),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37),
+	.SCD(FE_OFN1856_n_8732),
+	.SCE(FE_OFN1132_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][17]  (
-	.CLK(CTS_24),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(n_8755),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1132_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][18]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(FE_OFN1132_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][19]  (
-	.CLK(CTS_28),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8749),
-	.SCE(FE_OFN939_n_5331), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN3926_FE_OFN1866_n_8749),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][20]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(FE_OFN1132_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][22]  (
-	.CLK(CTS_62),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1132_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][23]  (
-	.CLK(CTS_28),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
-	.RESET_B(FE_OFN113_io_out_37),
-	.SCD(n_8733),
-	.SCE(FE_OFN939_n_5331), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1857_n_8733),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][24]  (
-	.CLK(CTS_72),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1132_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][25]  (
-	.CLK(CTS_47),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8746),
-	.SCE(FE_OFN939_n_5331), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][26]  (
-	.CLK(CTS_72),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN4014_FE_OFN1862_n_8745),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][27]  (
-	.CLK(CTS_62),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1132_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8758),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_PSN3995_n_8758),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][29]  (
-	.CLK(CTS_28),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8757),
-	.SCE(FE_OFN939_n_5331), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][30]  (
-	.CLK(CTS_38),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
-	.RESET_B(FE_OFN102_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN938_n_5331), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1131_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN938_n_5331), 
+	.SCE(FE_OFN1132_n_5331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][0]  (
-	.CLK(CTS_24),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(n_8735),
 	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][1]  (
-	.CLK(CTS_65),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
-	.RESET_B(FE_OFN100_io_out_37),
-	.SCD(FE_OFN1530_n_8756),
+	.RESET_B(FE_OFN121_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
 	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][2]  (
-	.CLK(CTS_24),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(FE_PSN3905_FE_OFN1868_n_8751),
 	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][3]  (
-	.CLK(CTS_64),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1528_n_8754),
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(n_8754),
 	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][4]  (
-	.CLK(CTS_68),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(n_8753),
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(FE_PSN3928_n_8753),
 	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][5]  (
-	.CLK(CTS_28),
+	.CLK(CTS_62),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
-	.RESET_B(FE_OFN113_io_out_37),
-	.SCD(n_8752),
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
 	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8611),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_OFN1854_n_8611),
 	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][7]  (
-	.CLK(CTS_59),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN960_n_5347), 
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8730),
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
 	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][9]  (
-	.CLK(CTS_28),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
 	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8775),
-	.SCE(n_5347), 
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1153_n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][10]  (
-	.CLK(CTS_72),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
-	.RESET_B(FE_OFN91_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN960_n_5347), 
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1153_n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][11]  (
-	.CLK(CTS_47),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37),
-	.SCD(n_8774),
-	.SCE(n_5347), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1153_n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][12]  (
-	.CLK(CTS_73),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
-	.RESET_B(FE_OFN102_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN960_n_5347), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1153_n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][13]  (
-	.CLK(CTS_28),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
-	.RESET_B(FE_OFN113_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8773),
 	.SCE(n_5347), 
 	.VPWR(vccd1), 
@@ -116364,10 +112994,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][14]  (
-	.CLK(CTS_28),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
-	.RESET_B(FE_OFN120_io_out_37),
+	.RESET_B(FE_OFN104_io_out_37),
 	.SCD(n_8710),
 	.SCE(n_5347), 
 	.VPWR(vccd1), 
@@ -116375,1816 +113005,1816 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][15]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
-	.RESET_B(FE_OFN53_io_out_37),
+	.RESET_B(FE_OFN117_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN960_n_5347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][16]  (
-	.CLK(CTS_72),
-	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(n_8732),
-	.SCE(FE_OFN960_n_5347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][17]  (
-	.CLK(CTS_24),
-	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(FE_OFN960_n_5347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][18]  (
-	.CLK(CTS_62),
-	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN960_n_5347), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][19]  (
-	.CLK(CTS_28),
-	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8749),
 	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][16]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37),
+	.SCD(FE_OFN1856_n_8732),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][17]  (
+	.CLK(CTS_59),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1153_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][18]  (
+	.CLK(CTS_60),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][19]  (
+	.CLK(CTS_68),
+	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_PSN3926_FE_OFN1866_n_8749),
+	.SCE(FE_OFN1153_n_5347), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][20]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN960_n_5347), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN960_n_5347), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1153_n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][22]  (
-	.CLK(CTS_62),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN960_n_5347), 
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1153_n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][23]  (
-	.CLK(CTS_28),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
-	.RESET_B(FE_OFN113_io_out_37),
-	.SCD(n_8733),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1857_n_8733),
 	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][24]  (
-	.CLK(CTS_72),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8734),
-	.SCE(FE_OFN960_n_5347), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1153_n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][25]  (
-	.CLK(CTS_47),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8746),
-	.SCE(n_5347), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1153_n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][26]  (
-	.CLK(CTS_72),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(FE_OFN960_n_5347), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_PSN4014_FE_OFN1862_n_8745),
+	.SCE(FE_OFN1153_n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][27]  (
-	.CLK(CTS_60),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN960_n_5347), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1153_n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(n_8758),
-	.SCE(FE_OFN960_n_5347), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_PSN3995_n_8758),
+	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][29]  (
-	.CLK(CTS_28),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8757),
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
 	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][30]  (
-	.CLK(CTS_73),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
-	.RESET_B(FE_OFN53_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN960_n_5347), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN960_n_5347), 
+	.SCE(FE_OFN1153_n_5347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][0]  (
-	.CLK(CTS_24),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][1]  (
-	.CLK(CTS_65),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
-	.RESET_B(FE_OFN97_io_out_37),
-	.SCD(FE_OFN1530_n_8756),
+	.RESET_B(FE_OFN121_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
 	.SCE(n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][2]  (
-	.CLK(CTS_24),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(FE_PSN3905_FE_OFN1868_n_8751),
 	.SCE(n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1528_n_8754),
-	.SCE(n_5339), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(n_8754),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][4]  (
-	.CLK(CTS_68),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(n_8753),
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(FE_PSN3928_n_8753),
 	.SCE(n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][5]  (
-	.CLK(CTS_28),
+	.CLK(CTS_62),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8752),
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
 	.SCE(n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8611),
-	.SCE(n_5339), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_OFN1854_n_8611),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][7]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
-	.RESET_B(FE_OFN135_io_out_37),
-	.SCD(n_8730),
-	.SCE(n_5339), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][9]  (
-	.CLK(CTS_28),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
 	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8775),
-	.SCE(n_5339), 
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][10]  (
-	.CLK(CTS_72),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
-	.RESET_B(FE_OFN91_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][11]  (
-	.CLK(CTS_73),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
-	.RESET_B(FE_OFN102_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][12]  (
-	.CLK(CTS_73),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
-	.RESET_B(FE_OFN102_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][13]  (
-	.CLK(CTS_28),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
-	.RESET_B(FE_OFN113_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(n_5339), 
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][14]  (
-	.CLK(CTS_28),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
-	.RESET_B(FE_OFN120_io_out_37),
+	.RESET_B(FE_OFN135_io_out_37),
 	.SCD(n_8710),
-	.SCE(n_5339), 
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][15]  (
-	.CLK(CTS_59),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN135_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN949_n_5339), 
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][16]  (
-	.CLK(CTS_72),
+	.CLK(CTS_62),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37),
+	.SCD(FE_OFN1856_n_8732),
+	.SCE(n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][17]  (
-	.CLK(CTS_24),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][18]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][19]  (
-	.CLK(CTS_28),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8749),
-	.SCE(n_5339), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN3926_FE_OFN1866_n_8749),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][20]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN18789_FE_OFN120_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][22]  (
-	.CLK(CTS_62),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][23]  (
-	.CLK(CTS_28),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
-	.RESET_B(FE_OFN113_io_out_37),
-	.SCD(n_8733),
-	.SCE(n_5339), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1857_n_8733),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][24]  (
-	.CLK(CTS_72),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8734),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][25]  (
-	.CLK(CTS_28),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8746),
-	.SCE(n_5339), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][26]  (
-	.CLK(CTS_72),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_PSN4014_FE_OFN1862_n_8745),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][27]  (
-	.CLK(CTS_62),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(n_8758),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_PSN3995_n_8758),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][29]  (
-	.CLK(CTS_28),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8757),
-	.SCE(n_5339), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][30]  (
-	.CLK(CTS_73),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
-	.RESET_B(FE_OFN53_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN949_n_5339), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
-	.RESET_B(FE_OFN93_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN949_n_5339), 
+	.SCE(FE_OFN1142_n_5339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][0]  (
-	.CLK(CTS_24),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN948_n_5338), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][1]  (
-	.CLK(CTS_65),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(FE_OFN1530_n_8756),
-	.SCE(FE_OFN948_n_5338), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][2]  (
-	.CLK(CTS_68),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
-	.RESET_B(FE_OFN11_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN948_n_5338), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSN3905_FE_OFN1868_n_8751),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
-	.RESET_B(FE_OFN135_io_out_37),
-	.SCD(FE_OFN1528_n_8754),
-	.SCE(FE_OFN948_n_5338), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][4]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(n_8753),
-	.SCE(FE_OFN948_n_5338), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSN3928_n_8753),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][5]  (
-	.CLK(CTS_64),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8752),
-	.SCE(FE_OFN948_n_5338), 
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
 	.RESET_B(FE_OFN135_io_out_37),
-	.SCD(n_8611),
-	.SCE(FE_OFN948_n_5338), 
+	.SCD(FE_OFN1854_n_8611),
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][7]  (
-	.CLK(CTS_73),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
-	.RESET_B(FE_OFN135_io_out_37),
-	.SCD(n_8730),
-	.SCE(FE_OFN948_n_5338), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][9]  (
-	.CLK(CTS_28),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
 	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN948_n_5338), 
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][10]  (
-	.CLK(CTS_63),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN120_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][11]  (
-	.CLK(CTS_73),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN948_n_5338), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][12]  (
-	.CLK(CTS_73),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
-	.RESET_B(FE_OFN102_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][13]  (
-	.CLK(CTS_64),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
 	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN948_n_5338), 
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][14]  (
-	.CLK(CTS_67),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
-	.RESET_B(FE_OFN120_io_out_37),
+	.RESET_B(FE_OFN117_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN948_n_5338), 
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][15]  (
-	.CLK(CTS_59),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN117_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN947_n_5338), 
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][16]  (
-	.CLK(CTS_72),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(n_8732),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_OFN1856_n_8732),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][17]  (
-	.CLK(CTS_24),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(n_8755),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][18]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_PSBN19231_FE_PSN3910_n_8750),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][19]  (
-	.CLK(CTS_67),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8749),
-	.SCE(FE_OFN948_n_5338), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN3926_FE_OFN1866_n_8749),
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][20]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
-	.RESET_B(FE_OFN94_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][22]  (
-	.CLK(CTS_62),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][23]  (
-	.CLK(CTS_64),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8733),
-	.SCE(FE_OFN948_n_5338), 
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
+	.SCD(FE_OFN1857_n_8733),
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][24]  (
-	.CLK(CTS_72),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][25]  (
-	.CLK(CTS_47),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
-	.RESET_B(FE_OFN15_io_out_37),
-	.SCD(n_8746),
-	.SCE(FE_OFN948_n_5338), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][26]  (
-	.CLK(CTS_72),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_OFN1862_n_8745),
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][27]  (
-	.CLK(CTS_62),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_PSN3995_n_8758),
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][29]  (
-	.CLK(CTS_28),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(FE_OFN18780_n_8757),
-	.SCE(FE_OFN948_n_5338), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][30]  (
-	.CLK(CTS_73),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
-	.RESET_B(FE_OFN53_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN947_n_5338), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1141_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN947_n_5338), 
+	.SCE(FE_OFN1140_n_5338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][0]  (
-	.CLK(CTS_24),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][1]  (
-	.CLK(CTS_68),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(FE_OFN1530_n_8756),
-	.SCE(FE_OFN971_n_5356), 
+	.RESET_B(FE_OFN108_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][2]  (
-	.CLK(CTS_68),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN971_n_5356), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSN3905_FE_OFN1868_n_8751),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1528_n_8754),
-	.SCE(FE_OFN971_n_5356), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][4]  (
-	.CLK(CTS_68),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(n_8753),
-	.SCE(FE_OFN971_n_5356), 
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(FE_PSN3928_n_8753),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][5]  (
-	.CLK(CTS_64),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(n_8752),
-	.SCE(FE_OFN971_n_5356), 
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
-	.RESET_B(FE_OFN135_io_out_37),
-	.SCD(n_8611),
-	.SCE(FE_OFN971_n_5356), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_OFN1854_n_8611),
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][7]  (
-	.CLK(CTS_73),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
-	.RESET_B(FE_OFN135_io_out_37),
-	.SCD(n_8730),
-	.SCE(FE_OFN971_n_5356), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_OFN1784_n_8730),
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][9]  (
-	.CLK(CTS_28),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
 	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN971_n_5356), 
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][10]  (
-	.CLK(CTS_63),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][11]  (
-	.CLK(CTS_73),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN971_n_5356), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][12]  (
-	.CLK(CTS_73),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
-	.RESET_B(FE_OFN102_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][13]  (
-	.CLK(CTS_64),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
 	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN971_n_5356), 
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][14]  (
-	.CLK(CTS_67),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
-	.RESET_B(FE_OFN120_io_out_37),
+	.RESET_B(FE_OFN117_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN971_n_5356), 
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][15]  (
-	.CLK(CTS_59),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN117_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN972_n_5356), 
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][16]  (
-	.CLK(CTS_72),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_OFN1856_n_8732),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][17]  (
-	.CLK(CTS_24),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(n_8755),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][18]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37),
+	.SCD(FE_PSBN19231_FE_PSN3910_n_8750),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][19]  (
-	.CLK(CTS_67),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8749),
-	.SCE(FE_OFN971_n_5356), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_PSN3926_FE_OFN1866_n_8749),
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][20]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN18809_FE_OFN117_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][22]  (
-	.CLK(CTS_62),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][23]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(n_8733),
-	.SCE(FE_OFN971_n_5356), 
+	.RESET_B(FE_OFN18801_FE_OFN137_io_out_37),
+	.SCD(FE_OFN1857_n_8733),
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][24]  (
-	.CLK(CTS_72),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][25]  (
-	.CLK(CTS_73),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8746),
-	.SCE(FE_OFN971_n_5356), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][26]  (
-	.CLK(CTS_72),
+	.CLK(CTS_68),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN117_io_out_37),
+	.SCD(FE_OFN1862_n_8745),
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][27]  (
-	.CLK(CTS_62),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN134_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_PSN3995_n_8758),
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][29]  (
-	.CLK(CTS_28),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
-	.RESET_B(FE_OFN120_io_out_37),
-	.SCD(FE_OFN18780_n_8757),
-	.SCE(FE_OFN971_n_5356), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][30]  (
-	.CLK(CTS_73),
+	.CLK(CTS_69),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
-	.RESET_B(FE_OFN53_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN972_n_5356), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1162_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_59),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
-	.RESET_B(FE_OFN94_io_out_37),
+	.RESET_B(FE_OFN120_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN972_n_5356), 
+	.SCE(FE_OFN1163_n_5356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][0]  (
-	.CLK(CTS_68),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
-	.RESET_B(FE_OFN11_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(n_8735),
 	.SCE(n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][1]  (
-	.CLK(CTS_65),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1530_n_8756),
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
 	.SCE(n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][2]  (
-	.CLK(CTS_68),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
-	.RESET_B(FE_OFN11_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSN3905_FE_OFN1868_n_8751),
 	.SCE(n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(FE_OFN1528_n_8754),
-	.SCE(n_5346), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][4]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1527_n_8753),
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSN3928_n_8753),
 	.SCE(n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][5]  (
-	.CLK(CTS_67),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN1526_n_8752),
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
 	.SCE(n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(FE_OFN1510_n_8611),
-	.SCE(n_5346), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1854_n_8611),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][7]  (
-	.CLK(CTS_73),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(FE_OFN1512_n_8730),
-	.SCE(n_5346), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][9]  (
-	.CLK(CTS_67),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
-	.SCD(n_8775),
-	.SCE(n_5346), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][10]  (
-	.CLK(CTS_63),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][11]  (
-	.CLK(CTS_73),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8774),
-	.SCE(n_5346), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][12]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][13]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
-	.RESET_B(FE_OFN114_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(n_5346), 
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][14]  (
-	.CLK(CTS_64),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
 	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8710),
-	.SCE(n_5346), 
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][15]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN104_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN959_n_5346), 
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][16]  (
-	.CLK(CTS_72),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_OFN1856_n_8732),
+	.SCE(n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][17]  (
-	.CLK(CTS_24),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][18]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][19]  (
-	.CLK(CTS_66),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1523_n_8749),
-	.SCE(n_5346), 
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
+	.SCD(n_8749),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][20]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][22]  (
-	.CLK(CTS_62),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][23]  (
-	.CLK(CTS_64),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN1514_n_8733),
-	.SCE(n_5346), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][24]  (
-	.CLK(CTS_72),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][25]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8746),
-	.SCE(n_5346), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][26]  (
-	.CLK(CTS_72),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_OFN1862_n_8745),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][27]  (
-	.CLK(CTS_62),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_PSN3927_FE_OFN1875_n_8758),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][29]  (
-	.CLK(CTS_67),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
-	.SCD(FE_OFN18780_n_8757),
-	.SCE(n_5346), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][30]  (
-	.CLK(CTS_73),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN959_n_5346), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN959_n_5346), 
+	.SCE(FE_OFN1152_n_5346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][0]  (
-	.CLK(CTS_24),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
+	.SCD(n_8735),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][1]  (
-	.CLK(CTS_65),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1530_n_8756),
-	.SCE(FE_OFN963_n_5349), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][2]  (
-	.CLK(CTS_68),
+	.CLK(CTS_54),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
-	.RESET_B(FE_OFN11_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN963_n_5349), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSN3905_FE_OFN1868_n_8751),
+	.SCE(n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1528_n_8754),
-	.SCE(FE_OFN963_n_5349), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][4]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(FE_OFN1527_n_8753),
-	.SCE(FE_OFN963_n_5349), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSN3928_n_8753),
+	.SCE(n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][5]  (
-	.CLK(CTS_67),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN1526_n_8752),
-	.SCE(FE_OFN963_n_5349), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1510_n_8611),
-	.SCE(FE_OFN963_n_5349), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1854_n_8611),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][7]  (
-	.CLK(CTS_73),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][8]  (
-	.CLK(CTS_66),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1512_n_8730),
-	.SCE(FE_OFN963_n_5349), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][9]  (
-	.CLK(CTS_67),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN963_n_5349), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][10]  (
-	.CLK(CTS_63),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
-	.RESET_B(FE_OFN92_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][11]  (
-	.CLK(CTS_73),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN963_n_5349), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][12]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][13]  (
-	.CLK(CTS_64),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
-	.RESET_B(FE_OFN114_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN963_n_5349), 
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][14]  (
-	.CLK(CTS_64),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
 	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN963_n_5349), 
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][15]  (
-	.CLK(CTS_59),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN104_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN964_n_5349), 
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][16]  (
-	.CLK(CTS_72),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_OFN1856_n_8732),
+	.SCE(n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][17]  (
-	.CLK(CTS_24),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(FE_PSN3985_FE_OFN1872_n_8755),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][18]  (
-	.CLK(CTS_62),
+	.CLK(CTS_60),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_PSBN19231_FE_PSN3910_n_8750),
+	.SCE(n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][19]  (
-	.CLK(CTS_66),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1523_n_8749),
-	.SCE(FE_OFN963_n_5349), 
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
+	.SCD(n_8749),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -118193,315 +114823,315 @@
 	.CLK(CTS_62),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_OFN1864_n_8747),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][22]  (
-	.CLK(CTS_62),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][23]  (
-	.CLK(CTS_64),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
 	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1514_n_8733),
-	.SCE(FE_OFN963_n_5349), 
+	.SCD(n_8733),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][24]  (
-	.CLK(CTS_24),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][25]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8746),
-	.SCE(FE_OFN963_n_5349), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_OFN1863_n_8746),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][26]  (
-	.CLK(CTS_72),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
-	.RESET_B(FE_OFN91_io_out_37),
-	.SCD(FE_OFN1519_n_8745),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN104_io_out_37),
+	.SCD(FE_OFN1862_n_8745),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][27]  (
-	.CLK(CTS_62),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
-	.RESET_B(FE_OFN93_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN113_io_out_37),
+	.SCD(FE_PSN3927_FE_OFN1875_n_8758),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][29]  (
-	.CLK(CTS_67),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
-	.SCD(FE_OFN18780_n_8757),
-	.SCE(FE_OFN963_n_5349), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(FE_OFN1874_n_8757),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][30]  (
-	.CLK(CTS_73),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN964_n_5349), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN964_n_5349), 
+	.SCE(FE_OFN1155_n_5349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][0]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1516_n_8735),
+	.CLK(CTS_57),
+	.D(n_8735),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][1]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1530_n_8756),
+	.CLK(CTS_63),
+	.D(FE_PSN3945_FE_OFN1873_n_8756),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][2]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1525_n_8751),
+	.CLK(CTS_54),
+	.D(FE_PSN3905_FE_OFN1868_n_8751),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN140_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][3]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1528_n_8754),
+	.CLK(CTS_70),
+	.D(FE_OFN1871_n_8754),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][4]  (
-	.CLK(CTS_68),
-	.D(n_8753),
+	.CLK(CTS_54),
+	.D(FE_PSN3928_n_8753),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN140_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][5]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1526_n_8752),
+	.CLK(CTS_63),
+	.D(FE_OFN1869_n_8752),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][6]  (
-	.CLK(CTS_65),
+	.CLK(CTS_75),
 	.D(n_8611),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][7]  (
 	.CLK(CTS_63),
-	.D(n_8612),
+	.D(FE_OFN1855_n_8612),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN140_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][8]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1512_n_8730),
+	.CLK(CTS_72),
+	.D(n_8730),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][9]  (
-	.CLK(CTS_65),
-	.D(n_8775),
+	.CLK(CTS_73),
+	.D(FE_PSN3962_n_8775),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][10]  (
-	.CLK(CTS_68),
-	.D(n_8776),
+	.CLK(CTS_71),
+	.D(FE_PSBN19235_n_8776),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN114_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][11]  (
-	.CLK(CTS_59),
-	.D(n_8774),
+	.CLK(CTS_78),
+	.D(FE_PSN3961_n_8774),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][12]  (
-	.CLK(CTS_67),
-	.D(n_8777),
+	.CLK(CTS_75),
+	.D(FE_PSN3982_n_8777),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN135_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][13]  (
-	.CLK(CTS_64),
+	.CLK(CTS_74),
 	.D(n_8773),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][14]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(n_8710),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][15]  (
-	.CLK(CTS_67),
+	.CLK(CTS_69),
 	.D(n_8715),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_8732),
+	.CLK(CTS_63),
+	.D(FE_OFN1856_n_8732),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
 	.SCE(n_5327), 
 	.VPWR(vccd1), 
@@ -118509,21 +115139,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][17]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1529_n_8755),
+	.CLK(CTS_77),
+	.D(FE_PSN3949_n_8755),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
-	.SCE(n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][18]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1524_n_8750),
+	.CLK(CTS_63),
+	.D(FE_PSN3910_n_8750),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
 	.SCE(n_5327), 
 	.VPWR(vccd1), 
@@ -118531,21 +115161,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1523_n_8749),
+	.CLK(CTS_75),
+	.D(FE_PSN3926_FE_OFN1866_n_8749),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN135_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][20]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1522_n_8748),
+	.CLK(CTS_63),
+	.D(FE_PSN3978_FE_OFN1865_n_8748),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
 	.SCE(n_5327), 
 	.VPWR(vccd1), 
@@ -118553,131 +115183,131 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][21]  (
-	.CLK(CTS_63),
+	.CLK(CTS_77),
 	.D(n_8747),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][22]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1518_n_8737),
+	.CLK(CTS_70),
+	.D(n_8737),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
-	.SCE(n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][23]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1514_n_8733),
+	.CLK(CTS_72),
+	.D(FE_OFN1857_n_8733),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][24]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1515_n_8734),
+	.CLK(CTS_70),
+	.D(FE_OFN1858_n_8734),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
-	.SCE(n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][25]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1520_n_8746),
+	.CLK(CTS_77),
+	.D(FE_PSN3909_n_8746),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][26]  (
-	.CLK(CTS_68),
-	.D(n_8745),
+	.CLK(CTS_77),
+	.D(FE_PSN3981_n_8745),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][27]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1532_n_8759),
+	.CLK(CTS_70),
+	.D(FE_OFN1876_n_8759),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
-	.RESET_B(FE_OFN91_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
-	.SCE(n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][28]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1531_n_8758),
+	.CLK(CTS_74),
+	.D(FE_PSN3927_FE_OFN1875_n_8758),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
-	.SCE(n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN18780_n_8757),
+	.CLK(CTS_74),
+	.D(n_8757),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][30]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_8736),
+	.CLK(CTS_74),
+	.D(n_8736),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][31]  (
-	.CLK(CTS_63),
+	.CLK(CTS_71),
 	.D(n_8731),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
-	.RESET_B(FE_OFN92_io_out_37),
+	.RESET_B(FE_OFN114_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
-	.SCE(FE_OFN932_n_5327), 
+	.SCE(FE_OFN1126_n_5327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][0]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1516_n_8735),
+	.CLK(CTS_57),
+	.D(n_8735),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN107_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
 	.SCE(n_5328), 
 	.VPWR(vccd1), 
@@ -118685,175 +115315,175 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][1]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1530_n_8756),
+	.CLK(CTS_63),
+	.D(FE_PSN3945_FE_OFN1873_n_8756),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
-	.SCE(FE_OFN933_n_5328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][2]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1525_n_8751),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
-	.SCE(FE_OFN933_n_5328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][3]  (
-	.CLK(CTS_66),
-	.D(FE_OFN1528_n_8754),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
-	.RESET_B(FE_OFN100_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
-	.SCE(FE_OFN933_n_5328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][4]  (
-	.CLK(CTS_68),
-	.D(n_8753),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
-	.SCE(FE_OFN933_n_5328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][5]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1526_n_8752),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
-	.RESET_B(FE_OFN101_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
-	.SCE(FE_OFN933_n_5328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][6]  (
-	.CLK(CTS_65),
-	.D(n_8611),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
-	.RESET_B(FE_OFN100_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
-	.SCE(FE_OFN933_n_5328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][7]  (
-	.CLK(CTS_59),
-	.D(n_8612),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
-	.RESET_B(FE_OFN51_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
-	.SCE(FE_OFN933_n_5328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][8]  (
-	.CLK(CTS_66),
-	.D(FE_OFN1512_n_8730),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
-	.RESET_B(FE_OFN100_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
-	.SCE(FE_OFN933_n_5328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][9]  (
-	.CLK(CTS_65),
-	.D(n_8775),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
-	.RESET_B(FE_OFN97_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
-	.SCE(FE_OFN933_n_5328), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][10]  (
-	.CLK(CTS_24),
-	.D(n_8776),
-	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
 	.SCE(n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][11]  (
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][2]  (
+	.CLK(CTS_54),
+	.D(FE_PSN3905_FE_OFN1868_n_8751),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.SCE(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][3]  (
+	.CLK(CTS_70),
+	.D(FE_OFN1871_n_8754),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
+	.SCE(FE_OFN1127_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][4]  (
+	.CLK(CTS_54),
+	.D(FE_PSN3928_n_8753),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.SCE(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][5]  (
+	.CLK(CTS_63),
+	.D(FE_OFN1869_n_8752),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.SCE(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][6]  (
+	.CLK(CTS_75),
+	.D(n_8611),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.RESET_B(FE_OFN115_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.SCE(FE_OFN1127_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][7]  (
+	.CLK(CTS_54),
+	.D(FE_OFN1855_n_8612),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.RESET_B(FE_OFN140_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.SCE(n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][8]  (
+	.CLK(CTS_72),
+	.D(n_8730),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.SCE(FE_OFN1127_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][9]  (
 	.CLK(CTS_73),
-	.D(n_8774),
+	.D(FE_PSN3962_n_8775),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.RESET_B(FE_OFN118_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.SCE(FE_OFN1127_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][10]  (
+	.CLK(CTS_71),
+	.D(FE_PSBN19235_n_8776),
+	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.RESET_B(FE_OFN114_io_out_37),
+	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.SCE(FE_OFN1127_n_5328), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][11]  (
+	.CLK(CTS_75),
+	.D(FE_PSN3961_n_8774),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][12]  (
-	.CLK(CTS_67),
-	.D(n_8777),
+	.CLK(CTS_75),
+	.D(FE_PSN3982_n_8777),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][13]  (
-	.CLK(CTS_64),
+	.CLK(CTS_72),
 	.D(n_8773),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][14]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(n_8710),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][15]  (
-	.CLK(CTS_59),
+	.CLK(CTS_69),
 	.D(n_8715),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_8732),
+	.CLK(CTS_63),
+	.D(FE_OFN1856_n_8732),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
 	.SCE(n_5328), 
 	.VPWR(vccd1), 
@@ -118861,21 +115491,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][17]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1529_n_8755),
+	.CLK(CTS_77),
+	.D(FE_PSN3949_n_8755),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
-	.SCE(n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][18]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1524_n_8750),
+	.CLK(CTS_63),
+	.D(FE_PSN3910_n_8750),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
 	.SCE(n_5328), 
 	.VPWR(vccd1), 
@@ -118883,21 +115513,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][19]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1523_n_8749),
+	.CLK(CTS_75),
+	.D(n_8749),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN135_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][20]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1522_n_8748),
+	.CLK(CTS_63),
+	.D(FE_PSN3978_FE_OFN1865_n_8748),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
 	.SCE(n_5328), 
 	.VPWR(vccd1), 
@@ -118905,307 +115535,307 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][21]  (
-	.CLK(CTS_72),
+	.CLK(CTS_77),
 	.D(n_8747),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][22]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1518_n_8737),
+	.CLK(CTS_70),
+	.D(n_8737),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
-	.SCE(n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][23]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1514_n_8733),
+	.CLK(CTS_72),
+	.D(FE_OFN1857_n_8733),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][24]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1515_n_8734),
+	.CLK(CTS_70),
+	.D(FE_OFN1858_n_8734),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
-	.SCE(n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][25]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1520_n_8746),
+	.CLK(CTS_77),
+	.D(FE_PSN3909_n_8746),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][26]  (
-	.CLK(CTS_68),
-	.D(n_8745),
+	.CLK(CTS_77),
+	.D(FE_PSN3913_n_8745),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][27]  (
-	.CLK(CTS_72),
-	.D(n_8759),
+	.CLK(CTS_70),
+	.D(FE_OFN1876_n_8759),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
-	.RESET_B(FE_OFN91_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][28]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1531_n_8758),
+	.CLK(CTS_74),
+	.D(FE_PSN3927_FE_OFN1875_n_8758),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
-	.SCE(n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN18780_n_8757),
+	.CLK(CTS_72),
+	.D(n_8757),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][30]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_8736),
+	.CLK(CTS_74),
+	.D(n_8736),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][31]  (
-	.CLK(CTS_63),
+	.CLK(CTS_71),
 	.D(n_8731),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
-	.RESET_B(FE_OFN92_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
-	.SCE(FE_OFN933_n_5328), 
+	.SCE(FE_OFN1127_n_5328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][0]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1516_n_8735),
+	.CLK(CTS_73),
+	.D(FE_OFN1859_n_8735),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
-	.RESET_B(FE_OFN45_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][1]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1530_n_8756),
+	.CLK(CTS_63),
+	.D(FE_PSN3945_FE_OFN1873_n_8756),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][2]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1525_n_8751),
+	.CLK(CTS_54),
+	.D(FE_PSN3905_FE_OFN1868_n_8751),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN140_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][3]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1528_n_8754),
+	.CLK(CTS_70),
+	.D(FE_OFN1871_n_8754),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][4]  (
-	.CLK(CTS_68),
-	.D(n_8753),
+	.CLK(CTS_54),
+	.D(FE_PSN3928_n_8753),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN140_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][5]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1526_n_8752),
+	.CLK(CTS_63),
+	.D(FE_OFN1869_n_8752),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][6]  (
-	.CLK(CTS_65),
+	.CLK(CTS_75),
 	.D(n_8611),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][7]  (
-	.CLK(CTS_59),
-	.D(n_8612),
+	.CLK(CTS_54),
+	.D(FE_OFN1855_n_8612),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
-	.RESET_B(FE_OFN50_io_out_37),
+	.RESET_B(FE_OFN140_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][8]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1512_n_8730),
+	.CLK(CTS_72),
+	.D(n_8730),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][9]  (
-	.CLK(CTS_65),
-	.D(n_8775),
+	.CLK(CTS_73),
+	.D(FE_PSN3962_n_8775),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][10]  (
-	.CLK(CTS_24),
-	.D(n_8776),
+	.CLK(CTS_71),
+	.D(FE_PSBN19235_n_8776),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN114_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][11]  (
-	.CLK(CTS_59),
-	.D(n_8774),
+	.CLK(CTS_78),
+	.D(FE_PSN3961_n_8774),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][12]  (
-	.CLK(CTS_67),
-	.D(n_8777),
+	.CLK(CTS_75),
+	.D(FE_PSN3982_n_8777),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN135_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][13]  (
-	.CLK(CTS_64),
+	.CLK(CTS_74),
 	.D(n_8773),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][14]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(n_8710),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][15]  (
-	.CLK(CTS_59),
+	.CLK(CTS_75),
 	.D(n_8715),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_8732),
+	.CLK(CTS_63),
+	.D(FE_OFN1856_n_8732),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
 	.SCE(n_5333), 
 	.VPWR(vccd1), 
@@ -119213,21 +115843,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][17]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1529_n_8755),
+	.CLK(CTS_77),
+	.D(FE_PSN3949_n_8755),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
-	.SCE(n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][18]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1524_n_8750),
+	.CLK(CTS_63),
+	.D(FE_PSN3910_n_8750),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
 	.SCE(n_5333), 
 	.VPWR(vccd1), 
@@ -119235,21 +115865,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1523_n_8749),
+	.CLK(CTS_75),
+	.D(FE_PSN3926_FE_OFN1866_n_8749),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][20]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1522_n_8748),
+	.CLK(CTS_63),
+	.D(FE_PSN3978_FE_OFN1865_n_8748),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
 	.SCE(n_5333), 
 	.VPWR(vccd1), 
@@ -119257,153 +115887,153 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][21]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1521_n_8747),
+	.CLK(CTS_77),
+	.D(n_8747),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
-	.RESET_B(FE_OFN50_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][22]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1518_n_8737),
+	.CLK(CTS_70),
+	.D(n_8737),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
-	.SCE(n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][23]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1514_n_8733),
+	.CLK(CTS_74),
+	.D(FE_OFN1857_n_8733),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][24]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1515_n_8734),
+	.CLK(CTS_70),
+	.D(FE_OFN1858_n_8734),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
-	.SCE(n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][25]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1520_n_8746),
+	.CLK(CTS_77),
+	.D(FE_PSN3909_n_8746),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][26]  (
-	.CLK(CTS_68),
-	.D(n_8745),
+	.CLK(CTS_77),
+	.D(FE_PSN3913_n_8745),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][27]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1532_n_8759),
+	.CLK(CTS_78),
+	.D(FE_OFN1876_n_8759),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
-	.RESET_B(FE_OFN91_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
-	.SCE(n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][28]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1531_n_8758),
+	.CLK(CTS_74),
+	.D(FE_PSN3927_FE_OFN1875_n_8758),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
-	.SCE(n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN18780_n_8757),
+	.CLK(CTS_72),
+	.D(n_8757),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][30]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_8736),
+	.CLK(CTS_74),
+	.D(n_8736),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
-	.SCE(FE_OFN940_n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][31]  (
-	.CLK(CTS_63),
+	.CLK(CTS_71),
 	.D(n_8731),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
-	.RESET_B(FE_OFN92_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
-	.SCE(n_5333), 
+	.SCE(FE_OFN1133_n_5333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][0]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1516_n_8735),
+	.CLK(CTS_57),
+	.D(n_8735),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
-	.RESET_B(FE_OFN11_io_out_37),
+	.RESET_B(FE_OFN18798_FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][1]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1530_n_8756),
+	.CLK(CTS_63),
+	.D(FE_PSN3945_FE_OFN1873_n_8756),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
-	.SCE(FE_OFN946_n_5337), 
+	.SCE(n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][2]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1525_n_8751),
+	.CLK(CTS_54),
+	.D(FE_PSN3905_FE_OFN1868_n_8751),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN140_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
 	.SCE(n_5337), 
 	.VPWR(vccd1), 
@@ -119411,21 +116041,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][3]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1528_n_8754),
+	.CLK(CTS_70),
+	.D(FE_OFN1871_n_8754),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
-	.SCE(FE_OFN946_n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][4]  (
-	.CLK(CTS_68),
-	.D(n_8753),
+	.CLK(CTS_54),
+	.D(FE_PSN3928_n_8753),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN140_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
 	.SCE(n_5337), 
 	.VPWR(vccd1), 
@@ -119433,32 +116063,32 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][5]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1526_n_8752),
+	.CLK(CTS_63),
+	.D(FE_OFN1869_n_8752),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
-	.SCE(FE_OFN946_n_5337), 
+	.SCE(n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][6]  (
-	.CLK(CTS_65),
+	.CLK(CTS_75),
 	.D(n_8611),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
-	.SCE(FE_OFN946_n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][7]  (
-	.CLK(CTS_63),
-	.D(n_8612),
+	.CLK(CTS_54),
+	.D(FE_OFN1855_n_8612),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
-	.RESET_B(FE_OFN51_io_out_37),
+	.RESET_B(FE_OFN140_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
 	.SCE(n_5337), 
 	.VPWR(vccd1), 
@@ -119466,98 +116096,98 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][8]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1512_n_8730),
+	.CLK(CTS_72),
+	.D(n_8730),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
-	.SCE(FE_OFN946_n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][9]  (
-	.CLK(CTS_65),
-	.D(n_8775),
+	.CLK(CTS_73),
+	.D(FE_PSN3962_n_8775),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
-	.SCE(FE_OFN946_n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][10]  (
-	.CLK(CTS_24),
-	.D(n_8776),
+	.CLK(CTS_71),
+	.D(FE_PSBN19235_n_8776),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN114_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][11]  (
-	.CLK(CTS_59),
-	.D(n_8774),
+	.CLK(CTS_78),
+	.D(FE_PSN3961_n_8774),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][12]  (
-	.CLK(CTS_63),
-	.D(n_8777),
+	.CLK(CTS_75),
+	.D(FE_PSN3982_n_8777),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN135_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][13]  (
-	.CLK(CTS_67),
+	.CLK(CTS_74),
 	.D(n_8773),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
-	.SCE(FE_OFN946_n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][14]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(n_8710),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
-	.SCE(FE_OFN946_n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][15]  (
-	.CLK(CTS_59),
+	.CLK(CTS_75),
 	.D(n_8715),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][16]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1513_n_8732),
+	.CLK(CTS_63),
+	.D(FE_OFN1856_n_8732),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
 	.SCE(n_5337), 
 	.VPWR(vccd1), 
@@ -119565,21 +116195,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][17]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1529_n_8755),
+	.CLK(CTS_77),
+	.D(FE_PSN3949_n_8755),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][18]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1524_n_8750),
+	.CLK(CTS_63),
+	.D(FE_PSN3910_n_8750),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
-	.RESET_B(FE_OFN13_io_out_37),
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
 	.SCE(n_5337), 
 	.VPWR(vccd1), 
@@ -119587,21 +116217,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][19]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1523_n_8749),
+	.CLK(CTS_75),
+	.D(n_8749),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN135_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
-	.SCE(FE_OFN946_n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][20]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1522_n_8748),
+	.CLK(CTS_63),
+	.D(FE_PSN3978_FE_OFN1865_n_8748),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN121_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
 	.SCE(n_5337), 
 	.VPWR(vccd1), 
@@ -119609,208 +116239,208 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][21]  (
-	.CLK(CTS_63),
+	.CLK(CTS_77),
 	.D(n_8747),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
-	.RESET_B(FE_OFN92_io_out_37),
+	.RESET_B(FE_OFN102_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][22]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1518_n_8737),
+	.CLK(CTS_70),
+	.D(n_8737),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][23]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1514_n_8733),
+	.CLK(CTS_72),
+	.D(FE_OFN1857_n_8733),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
-	.SCE(FE_OFN946_n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][24]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1515_n_8734),
+	.CLK(CTS_70),
+	.D(n_8734),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][25]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1520_n_8746),
+	.CLK(CTS_77),
+	.D(FE_PSN3909_n_8746),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
-	.SCE(FE_OFN946_n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][26]  (
-	.CLK(CTS_68),
-	.D(n_8745),
+	.CLK(CTS_77),
+	.D(FE_PSN3981_n_8745),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN113_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][27]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1532_n_8759),
+	.CLK(CTS_78),
+	.D(FE_OFN1876_n_8759),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
-	.RESET_B(FE_OFN91_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][28]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1531_n_8758),
+	.CLK(CTS_72),
+	.D(FE_PSN3927_FE_OFN1875_n_8758),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN18780_n_8757),
+	.CLK(CTS_72),
+	.D(n_8757),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
-	.SCE(FE_OFN946_n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][30]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1517_n_8736),
+	.CLK(CTS_74),
+	.D(n_8736),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][31]  (
-	.CLK(CTS_72),
+	.CLK(CTS_71),
 	.D(n_8731),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
-	.RESET_B(FE_OFN92_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
-	.SCE(n_5337), 
+	.SCE(FE_OFN1139_n_5337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][0]  (
-	.CLK(CTS_68),
-	.D(FE_OFN1516_n_8735),
+	.CLK(CTS_57),
+	.D(n_8735),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
-	.RESET_B(FE_OFN11_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][1]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1530_n_8756),
+	.CLK(CTS_57),
+	.D(FE_PSN3945_FE_OFN1873_n_8756),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
-	.RESET_B(FE_OFN99_io_out_37),
+	.RESET_B(FE_OFN119_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][2]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1525_n_8751),
+	.CLK(CTS_57),
+	.D(FE_PSN3905_FE_OFN1868_n_8751),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN107_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][3]  (
-	.CLK(CTS_66),
-	.D(FE_OFN1528_n_8754),
+	.CLK(CTS_70),
+	.D(FE_OFN1871_n_8754),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
-	.RESET_B(FE_OFN96_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][4]  (
-	.CLK(CTS_69),
-	.D(n_8753),
+	.CLK(CTS_57),
+	.D(FE_PSN3928_n_8753),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN107_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][5]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1526_n_8752),
+	.CLK(CTS_55),
+	.D(FE_OFN1869_n_8752),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN119_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][6]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1510_n_8611),
+	.CLK(CTS_70),
+	.D(n_8611),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
-	.RESET_B(FE_OFN99_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][7]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1511_n_8612),
+	.CLK(CTS_57),
+	.D(FE_OFN1855_n_8612),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
-	.RESET_B(FE_OFN50_io_out_37),
+	.RESET_B(FE_OFN107_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
 	.SCE(n_5326), 
 	.VPWR(vccd1), 
@@ -119818,98 +116448,98 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][8]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1512_n_8730),
+	.CLK(CTS_72),
+	.D(n_8730),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
-	.RESET_B(FE_OFN99_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][9]  (
-	.CLK(CTS_65),
-	.D(n_8775),
+	.CLK(CTS_73),
+	.D(FE_PSN3962_n_8775),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
-	.RESET_B(FE_OFN100_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][10]  (
-	.CLK(CTS_24),
-	.D(n_8776),
+	.CLK(CTS_71),
+	.D(FE_PSBN19235_n_8776),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
-	.RESET_B(FE_OFN92_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][11]  (
-	.CLK(CTS_73),
-	.D(n_8774),
+	.CLK(CTS_75),
+	.D(FE_PSN3961_n_8774),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN135_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
-	.SCE(n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][12]  (
-	.CLK(CTS_59),
-	.D(n_8777),
+	.CLK(CTS_75),
+	.D(FE_PSN3982_n_8777),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
-	.RESET_B(FE_OFN50_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
-	.SCE(n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][13]  (
-	.CLK(CTS_64),
+	.CLK(CTS_74),
 	.D(n_8773),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][14]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(n_8710),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][15]  (
-	.CLK(CTS_73),
+	.CLK(CTS_75),
 	.D(n_8715),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
-	.RESET_B(FE_OFN52_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
-	.SCE(n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][16]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1513_n_8732),
+	.CLK(CTS_63),
+	.D(FE_OFN1856_n_8732),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
 	.SCE(n_5326), 
 	.VPWR(vccd1), 
@@ -119917,21 +116547,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][17]  (
-	.CLK(CTS_23),
-	.D(FE_OFN1529_n_8755),
+	.CLK(CTS_73),
+	.D(FE_PSN3949_n_8755),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN102_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
-	.SCE(n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][18]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1524_n_8750),
+	.CLK(CTS_63),
+	.D(FE_PSN3910_n_8750),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN119_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
 	.SCE(n_5326), 
 	.VPWR(vccd1), 
@@ -119939,21 +116569,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][19]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1523_n_8749),
+	.CLK(CTS_75),
+	.D(n_8749),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN135_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][20]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1522_n_8748),
+	.CLK(CTS_63),
+	.D(FE_PSN3978_FE_OFN1865_n_8748),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN119_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
 	.SCE(n_5326), 
 	.VPWR(vccd1), 
@@ -119961,560 +116591,560 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][21]  (
-	.CLK(CTS_72),
+	.CLK(CTS_77),
 	.D(n_8747),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
-	.RESET_B(FE_OFN91_io_out_37),
+	.RESET_B(FE_OFN102_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
-	.SCE(n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][22]  (
-	.CLK(CTS_61),
-	.D(FE_OFN1518_n_8737),
+	.CLK(CTS_70),
+	.D(n_8737),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
-	.SCE(n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][23]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1514_n_8733),
+	.CLK(CTS_74),
+	.D(FE_OFN1857_n_8733),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][24]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1515_n_8734),
+	.CLK(CTS_70),
+	.D(FE_OFN1858_n_8734),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
-	.SCE(n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][25]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1520_n_8746),
+	.CLK(CTS_77),
+	.D(FE_PSN3909_n_8746),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
-	.RESET_B(FE_OFN99_io_out_37),
+	.RESET_B(FE_OFN102_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][26]  (
-	.CLK(CTS_68),
-	.D(n_8745),
+	.CLK(CTS_77),
+	.D(FE_PSN3913_n_8745),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN102_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][27]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1532_n_8759),
+	.CLK(CTS_71),
+	.D(FE_OFN1876_n_8759),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
-	.RESET_B(FE_OFN13_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
-	.SCE(n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][28]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1531_n_8758),
+	.CLK(CTS_74),
+	.D(FE_PSN3927_FE_OFN1875_n_8758),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
-	.SCE(n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN18780_n_8757),
+	.CLK(CTS_74),
+	.D(n_8757),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
-	.SCE(FE_OFN931_n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][30]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_8736),
+	.CLK(CTS_74),
+	.D(n_8736),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
-	.RESET_B(FE_OFN50_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
-	.SCE(n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][31]  (
-	.CLK(CTS_72),
+	.CLK(CTS_71),
 	.D(n_8731),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
-	.RESET_B(FE_OFN92_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
-	.SCE(n_5326), 
+	.SCE(FE_OFN1125_n_5326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][0]  (
-	.CLK(CTS_24),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
-	.RESET_B(FE_OFN45_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN118_io_out_37),
+	.SCD(n_8735),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][1]  (
-	.CLK(CTS_65),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1530_n_8756),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][2]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSN3905_FE_OFN1868_n_8751),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1528_n_8754),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][4]  (
-	.CLK(CTS_68),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(n_8753),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSN3928_n_8753),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][5]  (
-	.CLK(CTS_67),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
-	.SCD(FE_OFN1526_n_8752),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][6]  (
-	.CLK(CTS_65),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1510_n_8611),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN115_io_out_37),
+	.SCD(n_8611),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][7]  (
-	.CLK(CTS_60),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
-	.RESET_B(FE_OFN50_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN955_n_5342), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][8]  (
-	.CLK(CTS_65),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1512_n_8730),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN1148_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][9]  (
-	.CLK(CTS_65),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN118_io_out_37),
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1148_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][10]  (
-	.CLK(CTS_24),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][11]  (
-	.CLK(CTS_73),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN955_n_5342), 
+	.RESET_B(FE_OFN115_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][12]  (
-	.CLK(CTS_59),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN955_n_5342), 
+	.RESET_B(FE_OFN115_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][13]  (
-	.CLK(CTS_64),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
-	.RESET_B(FE_OFN97_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN954_n_5342), 
+	.SCE(FE_OFN1148_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][14]  (
-	.CLK(CTS_64),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
-	.RESET_B(FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN136_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN954_n_5342), 
+	.SCE(FE_OFN1148_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][15]  (
-	.CLK(CTS_59),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN116_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN955_n_5342), 
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][16]  (
-	.CLK(CTS_72),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(n_5342), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_OFN1856_n_8732),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][17]  (
-	.CLK(CTS_24),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(n_5342), 
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(FE_PSN3949_n_8755),
+	.SCE(FE_OFN1148_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][18]  (
-	.CLK(CTS_62),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN955_n_5342), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3910_n_8750),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][19]  (
-	.CLK(CTS_64),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
-	.RESET_B(FE_OFN100_io_out_37),
-	.SCD(FE_OFN1523_n_8749),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN135_io_out_37),
+	.SCD(n_8749),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][20]  (
-	.CLK(CTS_62),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN955_n_5342), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
-	.RESET_B(FE_OFN91_io_out_37),
+	.RESET_B(FE_OFN102_io_out_37),
 	.SCD(n_8747),
-	.SCE(FE_OFN955_n_5342), 
+	.SCE(FE_OFN1148_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][22]  (
-	.CLK(CTS_72),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
-	.RESET_B(FE_OFN19039_FE_OFN47_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(n_5342), 
+	.RESET_B(FE_OFN115_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][23]  (
-	.CLK(CTS_64),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
-	.RESET_B(FE_OFN97_io_out_37),
-	.SCD(FE_OFN1514_n_8733),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN1148_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][24]  (
-	.CLK(CTS_72),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(n_5342), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(n_8734),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][25]  (
-	.CLK(CTS_65),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1520_n_8746),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN116_io_out_37),
+	.SCD(FE_PSN3909_n_8746),
+	.SCE(FE_OFN1148_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][26]  (
-	.CLK(CTS_68),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(n_8745),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(FE_PSN3913_n_8745),
+	.SCE(FE_OFN1148_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][27]  (
-	.CLK(CTS_62),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN955_n_5342), 
+	.RESET_B(FE_OFN115_io_out_37),
+	.SCD(FE_PSN3929_n_8759),
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
-	.SCE(n_5342), 
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(FE_PSN3927_FE_OFN1875_n_8758),
+	.SCE(FE_OFN1148_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][29]  (
-	.CLK(CTS_67),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
-	.SCD(FE_OFN18780_n_8757),
-	.SCE(FE_OFN954_n_5342), 
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8757),
+	.SCE(FE_OFN1148_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][30]  (
-	.CLK(CTS_59),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
-	.RESET_B(FE_OFN50_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN955_n_5342), 
+	.RESET_B(FE_OFN136_io_out_37),
+	.SCD(n_8736),
+	.SCE(FE_OFN1148_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][31]  (
-	.CLK(CTS_72),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
-	.RESET_B(FE_OFN50_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN955_n_5342), 
+	.SCE(FE_OFN1147_n_5342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][0]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1516_n_8735),
+	.CLK(CTS_57),
+	.D(FE_OFN1859_n_8735),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
-	.RESET_B(FE_OFN89_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][1]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1530_n_8756),
+	.CLK(CTS_57),
+	.D(FE_PSN3991_n_8756),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
-	.RESET_B(FE_OFN99_io_out_37),
+	.RESET_B(FE_OFN107_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][2]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1525_n_8751),
+	.CLK(CTS_57),
+	.D(FE_PSN3905_FE_OFN1868_n_8751),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN107_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][3]  (
-	.CLK(CTS_66),
-	.D(FE_OFN1528_n_8754),
+	.CLK(CTS_70),
+	.D(FE_OFN1871_n_8754),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
-	.RESET_B(FE_OFN99_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][4]  (
-	.CLK(CTS_69),
-	.D(FE_OFN1527_n_8753),
+	.CLK(CTS_57),
+	.D(FE_OFN1870_n_8753),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN107_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][5]  (
-	.CLK(CTS_67),
-	.D(FE_OFN1526_n_8752),
+	.CLK(CTS_55),
+	.D(FE_OFN1869_n_8752),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN119_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][6]  (
-	.CLK(CTS_66),
-	.D(FE_OFN1510_n_8611),
+	.CLK(CTS_70),
+	.D(n_8611),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
-	.RESET_B(FE_OFN99_io_out_37),
+	.RESET_B(FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][7]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1511_n_8612),
+	.CLK(CTS_57),
+	.D(FE_OFN1855_n_8612),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN107_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
 	.SCE(n_5336), 
 	.VPWR(vccd1), 
@@ -120522,98 +117152,98 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][8]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1512_n_8730),
+	.CLK(CTS_72),
+	.D(n_8730),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
-	.RESET_B(FE_OFN99_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][9]  (
-	.CLK(CTS_65),
-	.D(n_8775),
+	.CLK(CTS_73),
+	.D(FE_PSN3962_n_8775),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
-	.RESET_B(FE_OFN99_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][10]  (
-	.CLK(CTS_24),
-	.D(n_8776),
+	.CLK(CTS_70),
+	.D(FE_PSBN19235_n_8776),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN105_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
-	.SCE(n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][11]  (
-	.CLK(CTS_59),
-	.D(n_8774),
+	.CLK(CTS_71),
+	.D(FE_PSN3961_n_8774),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
-	.RESET_B(FE_OFN52_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
-	.SCE(n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][12]  (
-	.CLK(CTS_73),
-	.D(n_8777),
+	.CLK(CTS_78),
+	.D(FE_PSN3982_n_8777),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
-	.SCE(n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][13]  (
-	.CLK(CTS_64),
+	.CLK(CTS_74),
 	.D(n_8773),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
-	.RESET_B(FE_OFN114_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][14]  (
-	.CLK(CTS_64),
+	.CLK(CTS_72),
 	.D(n_8710),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
-	.RESET_B(FE_OFN114_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][15]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(n_8715),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
-	.SCE(n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][16]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1513_n_8732),
+	.CLK(CTS_55),
+	.D(FE_OFN1856_n_8732),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
-	.RESET_B(FE_OFN13_io_out_37),
+	.RESET_B(FE_OFN119_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
 	.SCE(n_5336), 
 	.VPWR(vccd1), 
@@ -120621,21 +117251,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][17]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1529_n_8755),
+	.CLK(CTS_73),
+	.D(FE_PSN3949_n_8755),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN102_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
-	.SCE(n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][18]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1524_n_8750),
+	.CLK(CTS_55),
+	.D(FE_PSBN19230_FE_PSN3910_n_8750),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
-	.RESET_B(FE_OFN48_io_out_37),
+	.RESET_B(FE_OFN119_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
 	.SCE(n_5336), 
 	.VPWR(vccd1), 
@@ -120643,21 +117273,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][19]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1523_n_8749),
+	.CLK(CTS_75),
+	.D(n_8749),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
-	.RESET_B(FE_OFN114_io_out_37),
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][20]  (
-	.CLK(CTS_72),
-	.D(FE_OFN1522_n_8748),
+	.CLK(CTS_55),
+	.D(FE_PSN3978_FE_OFN1865_n_8748),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
-	.RESET_B(FE_OFN13_io_out_37),
+	.RESET_B(FE_OFN119_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
 	.SCE(n_5336), 
 	.VPWR(vccd1), 
@@ -120665,483 +117295,483 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][21]  (
-	.CLK(CTS_60),
-	.D(FE_OFN1521_n_8747),
+	.CLK(CTS_77),
+	.D(n_8747),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
-	.RESET_B(FE_OFN50_io_out_37),
+	.RESET_B(FE_OFN102_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
-	.SCE(n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][22]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1518_n_8737),
+	.CLK(CTS_71),
+	.D(FE_OFN1861_n_8737),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
-	.RESET_B(FE_OFN48_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
-	.SCE(n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][23]  (
-	.CLK(CTS_64),
-	.D(FE_OFN1514_n_8733),
+	.CLK(CTS_77),
+	.D(n_8733),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
-	.RESET_B(FE_OFN114_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][24]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1515_n_8734),
+	.CLK(CTS_70),
+	.D(FE_OFN1858_n_8734),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
+	.RESET_B(FE_OFN103_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
-	.SCE(n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][25]  (
-	.CLK(CTS_65),
-	.D(FE_OFN1520_n_8746),
+	.CLK(CTS_77),
+	.D(FE_PSN3909_n_8746),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
-	.RESET_B(FE_OFN99_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][26]  (
-	.CLK(CTS_68),
-	.D(n_8745),
+	.CLK(CTS_77),
+	.D(FE_PSN3913_n_8745),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
-	.RESET_B(FE_OFN90_io_out_37),
+	.RESET_B(FE_OFN102_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
-	.SCE(n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][27]  (
-	.CLK(CTS_62),
-	.D(FE_OFN1532_n_8759),
+	.CLK(CTS_71),
+	.D(FE_OFN1876_n_8759),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
-	.RESET_B(FE_OFN48_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
-	.SCE(n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][28]  (
-	.CLK(CTS_24),
-	.D(FE_OFN1531_n_8758),
+	.CLK(CTS_72),
+	.D(FE_PSN3927_FE_OFN1875_n_8758),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
-	.SCE(n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][29]  (
-	.CLK(CTS_67),
-	.D(FE_OFN18780_n_8757),
+	.CLK(CTS_74),
+	.D(n_8757),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
-	.SCE(FE_OFN945_n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][30]  (
-	.CLK(CTS_59),
-	.D(FE_OFN1517_n_8736),
+	.CLK(CTS_74),
+	.D(FE_OFN1860_n_8736),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
-	.SCE(n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_71),
 	.D(n_8731),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
-	.RESET_B(FE_OFN50_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
-	.SCE(n_5336), 
+	.SCE(FE_OFN1138_n_5336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][0]  (
-	.CLK(CTS_68),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
-	.RESET_B(FE_OFN11_io_out_37),
-	.SCD(FE_OFN1516_n_8735),
-	.SCE(FE_OFN937_n_5330), 
+	.RESET_B(FE_OFN118_io_out_37),
+	.SCD(FE_OFN1859_n_8735),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][1]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1530_n_8756),
-	.SCE(FE_OFN937_n_5330), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3945_FE_OFN1873_n_8756),
+	.SCE(n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][2]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1525_n_8751),
-	.SCE(FE_OFN937_n_5330), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_PSN3905_FE_OFN1868_n_8751),
+	.SCE(n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][3]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1528_n_8754),
-	.SCE(FE_OFN937_n_5330), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1871_n_8754),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][4]  (
-	.CLK(CTS_69),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN1527_n_8753),
-	.SCE(FE_OFN937_n_5330), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1870_n_8753),
+	.SCE(n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][5]  (
-	.CLK(CTS_67),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
-	.SCD(FE_OFN1526_n_8752),
-	.SCE(FE_OFN937_n_5330), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_OFN1869_n_8752),
+	.SCE(n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][6]  (
-	.CLK(CTS_66),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1510_n_8611),
-	.SCE(FE_OFN937_n_5330), 
+	.RESET_B(FE_OFN115_io_out_37),
+	.SCD(n_8611),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][7]  (
-	.CLK(CTS_59),
+	.CLK(CTS_57),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1511_n_8612),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN107_io_out_37),
+	.SCD(FE_OFN1855_n_8612),
+	.SCE(n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][8]  (
-	.CLK(CTS_65),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1512_n_8730),
-	.SCE(FE_OFN937_n_5330), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8730),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][9]  (
-	.CLK(CTS_65),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(n_8775),
-	.SCE(FE_OFN937_n_5330), 
+	.RESET_B(FE_OFN118_io_out_37),
+	.SCD(FE_PSN3962_n_8775),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][10]  (
-	.CLK(CTS_68),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(n_8776),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_PSBN19235_n_8776),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][11]  (
-	.CLK(CTS_59),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
-	.RESET_B(FE_OFN52_io_out_37),
-	.SCD(n_8774),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_PSN3961_n_8774),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][12]  (
-	.CLK(CTS_59),
+	.CLK(CTS_75),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(n_8777),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
+	.SCD(FE_PSN3982_n_8777),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][13]  (
-	.CLK(CTS_64),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
-	.RESET_B(FE_OFN114_io_out_37),
+	.RESET_B(FE_OFN112_io_out_37),
 	.SCD(n_8773),
-	.SCE(FE_OFN937_n_5330), 
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][14]  (
-	.CLK(CTS_64),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
-	.RESET_B(FE_OFN114_io_out_37),
+	.RESET_B(FE_OFN137_io_out_37),
 	.SCD(n_8710),
-	.SCE(FE_OFN937_n_5330), 
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][15]  (
-	.CLK(CTS_73),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
-	.RESET_B(FE_OFN95_io_out_37),
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
 	.SCD(n_8715),
-	.SCE(FE_OFN936_n_5330), 
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][16]  (
-	.CLK(CTS_72),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
-	.RESET_B(FE_OFN13_io_out_37),
-	.SCD(FE_OFN1513_n_8732),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_OFN1856_n_8732),
+	.SCE(n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][17]  (
-	.CLK(CTS_24),
+	.CLK(CTS_73),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1529_n_8755),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(FE_PSN3949_n_8755),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][18]  (
-	.CLK(CTS_62),
+	.CLK(CTS_63),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1524_n_8750),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN18792_FE_OFN119_io_out_37),
+	.SCD(FE_PSBN19230_FE_PSN3910_n_8750),
+	.SCE(n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][19]  (
-	.CLK(CTS_66),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
-	.RESET_B(FE_OFN137_io_out_37),
-	.SCD(FE_OFN1523_n_8749),
-	.SCE(FE_OFN937_n_5330), 
+	.RESET_B(FE_OFN18807_FE_OFN115_io_out_37),
+	.SCD(n_8749),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][20]  (
-	.CLK(CTS_62),
+	.CLK(CTS_55),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1522_n_8748),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN119_io_out_37),
+	.SCD(FE_PSN3978_FE_OFN1865_n_8748),
+	.SCE(n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][21]  (
-	.CLK(CTS_60),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
-	.RESET_B(FE_OFN50_io_out_37),
-	.SCD(FE_OFN1521_n_8747),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(n_8747),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][22]  (
-	.CLK(CTS_62),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1518_n_8737),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN133_io_out_37),
+	.SCD(FE_OFN1861_n_8737),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][23]  (
-	.CLK(CTS_64),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
-	.RESET_B(FE_OFN114_io_out_37),
-	.SCD(FE_OFN1514_n_8733),
-	.SCE(FE_OFN937_n_5330), 
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(n_8733),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][24]  (
-	.CLK(CTS_24),
+	.CLK(CTS_70),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
-	.RESET_B(FE_OFN87_io_out_37),
-	.SCD(FE_OFN1515_n_8734),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN103_io_out_37),
+	.SCD(FE_OFN1858_n_8734),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][25]  (
-	.CLK(CTS_65),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
-	.RESET_B(FE_OFN99_io_out_37),
-	.SCD(FE_OFN1520_n_8746),
-	.SCE(FE_OFN937_n_5330), 
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(FE_PSN3909_n_8746),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][26]  (
-	.CLK(CTS_68),
+	.CLK(CTS_77),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
-	.RESET_B(FE_OFN90_io_out_37),
-	.SCD(n_8745),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN102_io_out_37),
+	.SCD(FE_PSN3913_n_8745),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][27]  (
-	.CLK(CTS_62),
+	.CLK(CTS_78),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
-	.RESET_B(FE_OFN48_io_out_37),
-	.SCD(FE_OFN1532_n_8759),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN115_io_out_37),
+	.SCD(FE_OFN1876_n_8759),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][28]  (
-	.CLK(CTS_24),
+	.CLK(CTS_72),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
-	.RESET_B(FE_OFN43_io_out_37),
-	.SCD(FE_OFN1531_n_8758),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(FE_PSN3927_FE_OFN1875_n_8758),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][29]  (
-	.CLK(CTS_67),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
-	.RESET_B(FE_OFN19048_FE_OFN101_io_out_37),
-	.SCD(FE_OFN18780_n_8757),
-	.SCE(FE_OFN937_n_5330), 
+	.RESET_B(FE_OFN137_io_out_37),
+	.SCD(n_8757),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][30]  (
-	.CLK(CTS_59),
+	.CLK(CTS_74),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
-	.RESET_B(FE_OFN95_io_out_37),
-	.SCD(FE_OFN1517_n_8736),
-	.SCE(FE_OFN936_n_5330), 
+	.RESET_B(FE_OFN112_io_out_37),
+	.SCD(FE_OFN1860_n_8736),
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][31]  (
-	.CLK(CTS_60),
+	.CLK(CTS_71),
 	.D(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
 	.Q(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
-	.RESET_B(FE_OFN50_io_out_37),
+	.RESET_B(FE_OFN133_io_out_37),
 	.SCD(n_8731),
-	.SCE(FE_OFN936_n_5330), 
+	.SCE(FE_OFN1130_n_5330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 u_soc_u_top_u_core_id_stage_i_id_fsm_q_reg (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(n_5888),
 	.Q(u_soc_u_top_u_core_id_stage_i_id_fsm_q),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN150_io_out_37),
 	.SCD(n_15891),
 	.SCE(u_soc_u_top_u_core_id_stage_i_id_fsm_q), 
 	.VPWR(vccd1), 
@@ -121149,619 +117779,619 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][0]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_8723),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [0]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][1]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_7928),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [1]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][2]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7677),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][3]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7704),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][4]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7676),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][5]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7681),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
-	.RESET_B(FE_OFN19029_FE_OFN96_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][6]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7603),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [6]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][7]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7675),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [7]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][8]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7674),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][9]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7673),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][10]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7672),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [10]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][11]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7671),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [11]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][12]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7670),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [12]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][13]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_7669),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [13]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][14]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_7668),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [14]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][15]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_7667),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [15]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][16]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7710),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [16]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][17]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7738),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][18]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7688),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [18]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][19]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7735),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][20]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7680),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [20]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][21]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7722),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [21]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][22]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7666),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][23]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7706),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][24]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7665),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [24]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][25]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7697),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][26]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7664),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [26]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][27]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7678),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][28]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7663),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [28]),
-	.RESET_B(FE_OFN143_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][29]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_7662),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [29]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][30]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7661),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][31]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7660),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
-	.RESET_B(FE_OFN141_io_out_37), 
+	.RESET_B(FE_OFN70_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[0][32]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_15917),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[0] [32]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][0]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_6543),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [0]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][1]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_6354),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [1]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][2]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_6310),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [2]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][3]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_6308),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [3]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][4]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_6542),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [4]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][5]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_6309),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [5]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][6]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_6541),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [6]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][7]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6540),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [7]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][8]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6539),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [8]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][9]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6538),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [9]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][10]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6537),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [10]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][11]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6536),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [11]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][12]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6544),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [12]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][13]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_6535),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [13]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][14]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_6534),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [14]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][15]  (
-	.CLK(CTS_79),
+	.CLK(CTS_46),
 	.D(n_6533),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [15]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][16]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6532),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [16]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][17]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6531),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [17]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][18]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6530),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [18]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][19]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6529),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [19]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][20]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6528),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [20]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][21]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6527),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [21]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][22]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6526),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [22]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][23]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6525),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [23]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][24]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6524),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [24]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN73_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][25]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6523),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [25]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][26]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6522),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [26]),
-	.RESET_B(FE_OFN117_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][27]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6521),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [27]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][28]  (
-	.CLK(CTS_80),
+	.CLK(CTS_46),
 	.D(n_6520),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [28]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][29]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6519),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [29]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][30]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6518),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [30]),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_id_stage_i_imd_val_q_reg[1][31]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_6517),
 	.Q(\u_soc_u_top_u_core_imd_val_q_ex[1] [31]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q_reg[0]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_6678),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [0]),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q_reg[1]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_6691),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [1]),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q_reg  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_1455),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q ),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[2]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_2672),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
 	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
@@ -121771,7 +118401,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[3]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_5147),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [3]),
 	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [3]),
@@ -121781,7 +118411,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[4]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_6368),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
 	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
@@ -121791,7 +118421,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[5]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_7200),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [5]),
 	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [5]),
@@ -121801,7 +118431,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[6]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_7658),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
 	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
@@ -121811,7 +118441,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[7]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_8300),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [7]),
 	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [7]),
@@ -121821,7 +118451,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[8]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_8593),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
 	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
@@ -121831,7 +118461,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[9]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_8718),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [9]),
 	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [9]),
@@ -121841,7 +118471,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[10]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_8779),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
 	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
@@ -121851,7 +118481,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q_reg[11]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_8783),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [11]),
 	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [11]),
@@ -121861,7 +118491,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[2]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_7643),
 	.Q(u_soc_u_top_u_core_pc_if[2]), 
 	.VPWR(vccd1), 
@@ -121869,7 +118499,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[3]  (
-	.CLK(CTS_81),
+	.CLK(CTS_38),
 	.D(n_7604),
 	.Q(u_soc_u_top_u_core_pc_if[3]), 
 	.VPWR(vccd1), 
@@ -121877,7 +118507,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[4]  (
-	.CLK(CTS_81),
+	.CLK(CTS_38),
 	.D(n_7644),
 	.Q(u_soc_u_top_u_core_pc_if[4]), 
 	.VPWR(vccd1), 
@@ -121885,7 +118515,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[5]  (
-	.CLK(CTS_81),
+	.CLK(CTS_38),
 	.D(n_7605),
 	.Q(u_soc_u_top_u_core_pc_if[5]), 
 	.VPWR(vccd1), 
@@ -121893,7 +118523,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[6]  (
-	.CLK(CTS_81),
+	.CLK(CTS_38),
 	.D(n_7645),
 	.Q(u_soc_u_top_u_core_pc_if[6]), 
 	.VPWR(vccd1), 
@@ -121901,7 +118531,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[7]  (
-	.CLK(CTS_81),
+	.CLK(CTS_42),
 	.D(n_7573),
 	.Q(u_soc_u_top_u_core_pc_if[7]), 
 	.VPWR(vccd1), 
@@ -121909,7 +118539,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[8]  (
-	.CLK(CTS_81),
+	.CLK(CTS_42),
 	.D(n_7646),
 	.Q(u_soc_u_top_u_core_pc_if[8]), 
 	.VPWR(vccd1), 
@@ -121917,7 +118547,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[9]  (
-	.CLK(CTS_81),
+	.CLK(CTS_42),
 	.D(n_7570),
 	.Q(u_soc_u_top_u_core_pc_if[9]), 
 	.VPWR(vccd1), 
@@ -121925,7 +118555,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[10]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7647),
 	.Q(u_soc_u_top_u_core_pc_if[10]), 
 	.VPWR(vccd1), 
@@ -121933,7 +118563,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[11]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(n_7648),
 	.Q(u_soc_u_top_u_core_pc_if[11]), 
 	.VPWR(vccd1), 
@@ -121941,7 +118571,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[12]  (
-	.CLK(CTS_92),
+	.CLK(CTS_41),
 	.D(n_7871),
 	.Q(u_soc_u_top_u_core_pc_if[12]), 
 	.VPWR(vccd1), 
@@ -121949,7 +118579,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[13]  (
-	.CLK(CTS_92),
+	.CLK(CTS_41),
 	.D(n_7870),
 	.Q(u_soc_u_top_u_core_pc_if[13]), 
 	.VPWR(vccd1), 
@@ -121957,7 +118587,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[14]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_7869),
 	.Q(u_soc_u_top_u_core_pc_if[14]), 
 	.VPWR(vccd1), 
@@ -121965,7 +118595,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[15]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_7868),
 	.Q(u_soc_u_top_u_core_pc_if[15]), 
 	.VPWR(vccd1), 
@@ -121973,7 +118603,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[16]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_7867),
 	.Q(u_soc_u_top_u_core_pc_if[16]), 
 	.VPWR(vccd1), 
@@ -121981,7 +118611,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[17]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_7866),
 	.Q(u_soc_u_top_u_core_pc_if[17]), 
 	.VPWR(vccd1), 
@@ -121989,7 +118619,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[18]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_7865),
 	.Q(u_soc_u_top_u_core_pc_if[18]), 
 	.VPWR(vccd1), 
@@ -121997,7 +118627,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[19]  (
-	.CLK(CTS_87),
+	.CLK(CTS_44),
 	.D(n_7864),
 	.Q(u_soc_u_top_u_core_pc_if[19]), 
 	.VPWR(vccd1), 
@@ -122005,7 +118635,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[20]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_7863),
 	.Q(u_soc_u_top_u_core_pc_if[20]), 
 	.VPWR(vccd1), 
@@ -122013,7 +118643,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[21]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(n_7862),
 	.Q(u_soc_u_top_u_core_pc_if[21]), 
 	.VPWR(vccd1), 
@@ -122021,7 +118651,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[22]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_7861),
 	.Q(u_soc_u_top_u_core_pc_if[22]), 
 	.VPWR(vccd1), 
@@ -122029,7 +118659,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[23]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7860),
 	.Q(u_soc_u_top_u_core_pc_if[23]), 
 	.VPWR(vccd1), 
@@ -122037,7 +118667,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[24]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7859),
 	.Q(u_soc_u_top_u_core_pc_if[24]), 
 	.VPWR(vccd1), 
@@ -122045,7 +118675,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[25]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(n_7858),
 	.Q(u_soc_u_top_u_core_pc_if[25]), 
 	.VPWR(vccd1), 
@@ -122053,7 +118683,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[26]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(n_7857),
 	.Q(u_soc_u_top_u_core_pc_if[26]), 
 	.VPWR(vccd1), 
@@ -122061,7 +118691,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[27]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(n_7856),
 	.Q(u_soc_u_top_u_core_pc_if[27]), 
 	.VPWR(vccd1), 
@@ -122069,7 +118699,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[28]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(n_7855),
 	.Q(u_soc_u_top_u_core_pc_if[28]), 
 	.VPWR(vccd1), 
@@ -122077,7 +118707,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[29]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(n_7847),
 	.Q(u_soc_u_top_u_core_pc_if[29]), 
 	.VPWR(vccd1), 
@@ -122085,7 +118715,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[30]  (
-	.CLK(CTS_87),
+	.CLK(CTS_52),
 	.D(n_7854),
 	.Q(u_soc_u_top_u_core_pc_if[30]), 
 	.VPWR(vccd1), 
@@ -122093,7 +118723,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[31]  (
-	.CLK(CTS_87),
+	.CLK(CTS_52),
 	.D(n_7853),
 	.Q(u_soc_u_top_u_core_pc_if[31]), 
 	.VPWR(vccd1), 
@@ -122101,7 +118731,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][0]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8352),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [0]), 
 	.VPWR(vccd1), 
@@ -122109,7 +118739,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][1]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8346),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [1]), 
 	.VPWR(vccd1), 
@@ -122117,7 +118747,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][2]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8227),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [2]), 
 	.VPWR(vccd1), 
@@ -122125,7 +118755,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][3]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8226),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [3]), 
 	.VPWR(vccd1), 
@@ -122133,7 +118763,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][4]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8225),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [4]), 
 	.VPWR(vccd1), 
@@ -122141,7 +118771,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][5]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8224),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [5]), 
 	.VPWR(vccd1), 
@@ -122149,7 +118779,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][6]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8223),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [6]), 
 	.VPWR(vccd1), 
@@ -122157,7 +118787,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][7]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8222),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [7]), 
 	.VPWR(vccd1), 
@@ -122165,7 +118795,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][8]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8205),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [8]), 
 	.VPWR(vccd1), 
@@ -122173,7 +118803,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][9]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8204),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [9]), 
 	.VPWR(vccd1), 
@@ -122181,7 +118811,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][10]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8203),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [10]), 
 	.VPWR(vccd1), 
@@ -122189,7 +118819,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][11]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8202),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [11]), 
 	.VPWR(vccd1), 
@@ -122197,7 +118827,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][12]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8201),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [12]), 
 	.VPWR(vccd1), 
@@ -122205,7 +118835,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][13]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8200),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [13]), 
 	.VPWR(vccd1), 
@@ -122213,7 +118843,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][14]  (
-	.CLK(CTS_88),
+	.CLK(CTS_40),
 	.D(n_8199),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [14]), 
 	.VPWR(vccd1), 
@@ -122221,7 +118851,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][15]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8198),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [15]), 
 	.VPWR(vccd1), 
@@ -122229,7 +118859,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][16]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8347),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [16]), 
 	.VPWR(vccd1), 
@@ -122237,7 +118867,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][17]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8348),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [17]), 
 	.VPWR(vccd1), 
@@ -122245,7 +118875,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][18]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8221),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [18]), 
 	.VPWR(vccd1), 
@@ -122253,7 +118883,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][19]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8220),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [19]), 
 	.VPWR(vccd1), 
@@ -122261,7 +118891,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][20]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8219),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [20]), 
 	.VPWR(vccd1), 
@@ -122269,7 +118899,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][21]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8218),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [21]), 
 	.VPWR(vccd1), 
@@ -122277,7 +118907,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][22]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8217),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [22]), 
 	.VPWR(vccd1), 
@@ -122285,7 +118915,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][23]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8216),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [23]), 
 	.VPWR(vccd1), 
@@ -122293,7 +118923,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][24]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8197),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [24]), 
 	.VPWR(vccd1), 
@@ -122301,7 +118931,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][25]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8196),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [25]), 
 	.VPWR(vccd1), 
@@ -122309,7 +118939,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][26]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8195),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [26]), 
 	.VPWR(vccd1), 
@@ -122317,7 +118947,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][27]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8194),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [27]), 
 	.VPWR(vccd1), 
@@ -122325,7 +118955,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][28]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8193),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [28]), 
 	.VPWR(vccd1), 
@@ -122333,7 +118963,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][29]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8192),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [29]), 
 	.VPWR(vccd1), 
@@ -122341,7 +118971,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][30]  (
-	.CLK(CTS_88),
+	.CLK(CTS_40),
 	.D(n_8191),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [30]), 
 	.VPWR(vccd1), 
@@ -122349,7 +118979,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[0][31]  (
-	.CLK(CTS_88),
+	.CLK(CTS_40),
 	.D(n_8190),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [31]), 
 	.VPWR(vccd1), 
@@ -122357,7 +118987,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][0]  (
-	.CLK(CTS_94),
+	.CLK(CTS_42),
 	.D(n_8349),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [0]), 
 	.VPWR(vccd1), 
@@ -122365,7 +118995,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][1]  (
-	.CLK(CTS_94),
+	.CLK(CTS_42),
 	.D(n_8350),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [1]), 
 	.VPWR(vccd1), 
@@ -122373,7 +119003,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][2]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8245),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [2]), 
 	.VPWR(vccd1), 
@@ -122381,7 +119011,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][3]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8244),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [3]), 
 	.VPWR(vccd1), 
@@ -122389,7 +119019,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][4]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8243),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [4]), 
 	.VPWR(vccd1), 
@@ -122397,7 +119027,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][5]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8293),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [5]), 
 	.VPWR(vccd1), 
@@ -122405,7 +119035,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][6]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8292),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [6]), 
 	.VPWR(vccd1), 
@@ -122413,7 +119043,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][7]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8240),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [7]), 
 	.VPWR(vccd1), 
@@ -122421,7 +119051,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][8]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8189),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [8]), 
 	.VPWR(vccd1), 
@@ -122429,7 +119059,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][9]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8188),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [9]), 
 	.VPWR(vccd1), 
@@ -122437,7 +119067,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][10]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8187),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [10]), 
 	.VPWR(vccd1), 
@@ -122445,7 +119075,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][11]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8186),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [11]), 
 	.VPWR(vccd1), 
@@ -122453,7 +119083,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][12]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8185),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [12]), 
 	.VPWR(vccd1), 
@@ -122461,7 +119091,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][13]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8184),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [13]), 
 	.VPWR(vccd1), 
@@ -122469,7 +119099,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][14]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8183),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [14]), 
 	.VPWR(vccd1), 
@@ -122477,7 +119107,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][15]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8182),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [15]), 
 	.VPWR(vccd1), 
@@ -122485,7 +119115,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][16]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8351),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [16]), 
 	.VPWR(vccd1), 
@@ -122493,7 +119123,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][17]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8345),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [17]), 
 	.VPWR(vccd1), 
@@ -122501,7 +119131,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][18]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8239),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [18]), 
 	.VPWR(vccd1), 
@@ -122509,7 +119139,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][19]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8238),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [19]), 
 	.VPWR(vccd1), 
@@ -122517,7 +119147,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][20]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8291),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [20]), 
 	.VPWR(vccd1), 
@@ -122525,7 +119155,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][21]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8236),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [21]), 
 	.VPWR(vccd1), 
@@ -122533,7 +119163,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][22]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8235),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [22]), 
 	.VPWR(vccd1), 
@@ -122541,7 +119171,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][23]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8234),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [23]), 
 	.VPWR(vccd1), 
@@ -122549,7 +119179,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][24]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8290),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [24]), 
 	.VPWR(vccd1), 
@@ -122557,7 +119187,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][25]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8179),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [25]), 
 	.VPWR(vccd1), 
@@ -122565,7 +119195,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][26]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8178),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [26]), 
 	.VPWR(vccd1), 
@@ -122573,7 +119203,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][27]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_8177),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [27]), 
 	.VPWR(vccd1), 
@@ -122581,7 +119211,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][28]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8176),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [28]), 
 	.VPWR(vccd1), 
@@ -122589,7 +119219,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][29]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8175),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [29]), 
 	.VPWR(vccd1), 
@@ -122597,7 +119227,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][30]  (
-	.CLK(CTS_88),
+	.CLK(CTS_40),
 	.D(n_8174),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [30]), 
 	.VPWR(vccd1), 
@@ -122605,7 +119235,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[1][31]  (
-	.CLK(CTS_88),
+	.CLK(CTS_40),
 	.D(n_8173),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [31]), 
 	.VPWR(vccd1), 
@@ -122613,345 +119243,345 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][0]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
 	.SCD(\u_soc_iccm_to_xbar[d_data] [0]),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][1]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
 	.SCD(\u_soc_iccm_to_xbar[d_data] [1]),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][2]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
 	.SCD(n_3012),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][3]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
 	.SCD(n_2988),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][4]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
 	.SCD(n_2990),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][5]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
 	.SCD(n_2993),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][6]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
 	.SCD(n_2995),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][7]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
 	.SCD(n_2996),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][8]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
 	.SCD(n_5825),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][9]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
 	.SCD(n_5827),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][10]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
 	.SCD(n_5829),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][11]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
-	.SCD(n_5831),
-	.SCE(FE_OFN988_n_5934), 
+	.SCD(FE_OFN19019_n_5832),
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][12]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
 	.SCD(n_5833),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][13]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
 	.SCD(n_5835),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][14]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
 	.SCD(n_5837),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][15]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
-	.SCD(n_5839),
-	.SCE(FE_OFN988_n_5934), 
+	.SCD(FE_OFN19022_n_5840),
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][16]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
 	.SCD(\u_soc_iccm_to_xbar[d_data] [16]),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][17]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
 	.SCD(\u_soc_iccm_to_xbar[d_data] [17]),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][18]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
 	.SCD(n_2998),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][19]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
 	.SCD(n_3000),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][20]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
 	.SCD(n_3005),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][21]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
 	.SCD(n_3010),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][22]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
 	.SCD(n_3006),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][23]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
 	.SCD(n_3008),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][24]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
 	.SCD(n_5842),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][25]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
 	.SCD(n_5843),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][26]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
 	.SCD(n_5845),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][27]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
 	.SCD(n_5847),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][28]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
 	.SCD(n_5849),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][29]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
 	.SCD(n_5851),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][30]  (
-	.CLK(CTS_88),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
 	.SCD(n_5853),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q_reg[2][31]  (
-	.CLK(CTS_88),
+	.CLK(CTS_40),
 	.D(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
 	.SCD(n_5855),
-	.SCE(FE_OFN988_n_5934), 
+	.SCE(FE_OFN1183_n_5934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q_reg[0]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_5631),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q_reg[1]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_5897),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[2]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_6516),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [2]), 
 	.VPWR(vccd1), 
@@ -122959,7 +119589,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[3]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_6515),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [3]), 
 	.VPWR(vccd1), 
@@ -122967,7 +119597,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[4]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_6514),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [4]), 
 	.VPWR(vccd1), 
@@ -122975,7 +119605,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[5]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_6513),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [5]), 
 	.VPWR(vccd1), 
@@ -122983,7 +119613,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[6]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_6512),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [6]), 
 	.VPWR(vccd1), 
@@ -122991,7 +119621,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[7]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_6511),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [7]), 
 	.VPWR(vccd1), 
@@ -122999,7 +119629,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[8]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_6510),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [8]), 
 	.VPWR(vccd1), 
@@ -123007,7 +119637,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[9]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_6509),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [9]), 
 	.VPWR(vccd1), 
@@ -123015,7 +119645,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[10]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(\u_soc_ifu_to_xbar[a_address] [10]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [10]),
 	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [10]),
@@ -123025,7 +119655,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q_reg[11]  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(\u_soc_ifu_to_xbar[a_address] [11]),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [11]),
 	.SCD(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_stored_addr_q [11]),
@@ -123035,43 +119665,43 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 u_soc_u_top_u_core_if_stage_i_illegal_c_insn_id_o_reg (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_8676),
 	.Q(u_soc_u_top_u_core_illegal_c_insn_id),
 	.SCD(u_soc_u_top_u_core_illegal_c_insn_id),
-	.SCE(FE_OFN18321_n_6708), 
+	.SCE(FE_OFN18242_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 u_soc_u_top_u_core_if_stage_i_instr_is_compressed_id_o_reg (
-	.CLK(CTS_81),
-	.D(FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
+	.CLK(CTS_49),
+	.D(u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
 	.Q(u_soc_u_top_u_core_instr_is_compressed_id),
 	.SCD(u_soc_u_top_u_core_instr_is_compressed_id),
-	.SCE(FE_OFN18219_n_6709), 
+	.SCE(FE_OFN18243_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[0]  (
-	.CLK(CTS_81),
+   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[0]  (
+	.CLK(CTS_49),
 	.D(n_8115),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[1]  (
-	.CLK(CTS_81),
+   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[1]  (
+	.CLK(CTS_49),
 	.D(n_8433),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[2]  (
-	.CLK(CTS_94),
+   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[2]  (
+	.CLK(FE_USKN4008_CTS_49),
 	.D(n_15906),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[2]), 
 	.VPWR(vccd1), 
@@ -123079,31 +119709,31 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[3]  (
-	.CLK(CTS_88),
+	.CLK(CTS_49),
 	.D(n_8521),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[3]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[4]  (
-	.CLK(CTS_81),
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[4]  (
+	.CLK(CTS_49),
 	.D(n_8720),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[4]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[5]  (
-	.CLK(CTS_81),
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[5]  (
+	.CLK(CTS_49),
 	.D(n_8704),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[5]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[6]  (
-	.CLK(CTS_88),
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[6]  (
+	.CLK(CTS_49),
 	.D(n_8601),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[6]), 
 	.VPWR(vccd1), 
@@ -123111,7 +119741,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[12]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_8659),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[12]), 
 	.VPWR(vccd1), 
@@ -123119,7 +119749,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[13]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_8654),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[13]), 
 	.VPWR(vccd1), 
@@ -123127,7 +119757,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[14]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_8540),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[14]), 
 	.VPWR(vccd1), 
@@ -123135,47 +119765,47 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[25]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_8768),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[25]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[26]  (
-	.CLK(CTS_88),
+   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[26]  (
+	.CLK(CTS_38),
 	.D(n_8763),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[26]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[27]  (
-	.CLK(CTS_88),
+   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[27]  (
+	.CLK(CTS_38),
 	.D(n_8663),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[27]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[28]  (
-	.CLK(CTS_88),
+   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[28]  (
+	.CLK(CTS_49),
 	.D(n_8760),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[28]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[29]  (
-	.CLK(CTS_88),
+   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[29]  (
+	.CLK(CTS_49),
 	.D(n_8724),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[29]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_4 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[30]  (
-	.CLK(CTS_88),
+   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[30]  (
+	.CLK(CTS_49),
 	.D(n_8604),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[30]), 
 	.VPWR(vccd1), 
@@ -123183,7 +119813,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[31]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(n_8596),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[31]), 
 	.VPWR(vccd1), 
@@ -123191,7 +119821,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[0]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_7156),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[0]), 
 	.VPWR(vccd1), 
@@ -123199,7 +119829,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[1]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_7155),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[1]), 
 	.VPWR(vccd1), 
@@ -123207,147 +119837,147 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[2]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_5952),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[2]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[2]),
-	.SCE(n_6708), 
+	.SCE(FE_OFN18242_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[3]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(n_5963),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[3]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[3]),
-	.SCE(n_6708), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[4]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_5965),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[4]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[4]),
-	.SCE(n_6708), 
+	.SCE(FE_OFN18289_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[5]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_5954),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[5]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[5]),
-	.SCE(FE_OFN18320_n_6708), 
+	.SCE(FE_OFN18242_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[6]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_5946),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[6]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[6]),
-	.SCE(FE_OFN18320_n_6708), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[7]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_5973),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[7]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[7]),
-	.SCE(FE_OFN18320_n_6708), 
+	.SCE(FE_OFN18289_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[8]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_6870),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[8]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[8]),
-	.SCE(FE_OFN18320_n_6708), 
+	.SCE(FE_OFN18289_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[9]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_6873),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[9]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[9]),
-	.SCE(FE_OFN18320_n_6708), 
+	.SCE(FE_OFN18289_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[10]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_6866),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[10]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[10]),
-	.SCE(FE_OFN18320_n_6708), 
+	.SCE(FE_OFN18289_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[11]  (
-	.CLK(CTS_88),
+	.CLK(CTS_49),
 	.D(n_6864),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[11]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[11]),
-	.SCE(n_6708), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[12]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_6856),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[12]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[12]),
-	.SCE(n_6708), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[13]  (
-	.CLK(CTS_82),
-	.D(n_6862),
+	.CLK(CTS_38),
+	.D(FE_OFN18153_n_6863),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[13]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[13]),
-	.SCE(n_6708), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[14]  (
-	.CLK(CTS_82),
-	.D(n_6858),
+	.CLK(CTS_38),
+	.D(FE_OFN1194_n_6859),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[14]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[14]),
-	.SCE(n_6708), 
+	.SCE(FE_OFN18289_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[15]  (
-	.CLK(CTS_82),
+	.CLK(CTS_38),
 	.D(n_6860),
 	.Q(u_soc_u_top_u_core_instr_rdata_c_id[15]),
 	.SCD(u_soc_u_top_u_core_instr_rdata_c_id[15]),
-	.SCE(n_6708), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[7]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8586),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[7]), 
 	.VPWR(vccd1), 
@@ -123355,7 +119985,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[8]  (
-	.CLK(CTS_88),
+	.CLK(CTS_38),
 	.D(n_8368),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[8]), 
 	.VPWR(vccd1), 
@@ -123363,7 +119993,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[9]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8511),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[9]), 
 	.VPWR(vccd1), 
@@ -123371,7 +120001,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[10]  (
-	.CLK(CTS_94),
+	.CLK(CTS_38),
 	.D(n_8703),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[10]), 
 	.VPWR(vccd1), 
@@ -123379,7 +120009,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[11]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_8656),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[11]), 
 	.VPWR(vccd1), 
@@ -123387,331 +120017,331 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[1]  (
-	.CLK(CTS_92),
+	.CLK(CTS_49),
 	.D(u_soc_u_top_u_core_pc_if[1]),
 	.Q(u_soc_u_top_u_core_pc_id[1]),
 	.SCD(u_soc_u_top_u_core_pc_id[1]),
-	.SCE(FE_OFN999_n_6709), 
+	.SCE(FE_OFN18243_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[2]  (
-	.CLK(CTS_92),
+	.CLK(CTS_49),
 	.D(u_soc_u_top_u_core_pc_if[2]),
 	.Q(u_soc_u_top_u_core_pc_id[2]),
 	.SCD(u_soc_u_top_u_core_pc_id[2]),
-	.SCE(FE_OFN999_n_6709), 
+	.SCE(FE_OFN18243_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[3]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(u_soc_u_top_u_core_pc_if[3]),
 	.Q(u_soc_u_top_u_core_pc_id[3]),
 	.SCD(u_soc_u_top_u_core_pc_id[3]),
-	.SCE(FE_OFN999_n_6709), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[4]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(u_soc_u_top_u_core_pc_if[4]),
 	.Q(u_soc_u_top_u_core_pc_id[4]),
 	.SCD(u_soc_u_top_u_core_pc_id[4]),
-	.SCE(FE_OFN999_n_6709), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[5]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(u_soc_u_top_u_core_pc_if[5]),
 	.Q(u_soc_u_top_u_core_pc_id[5]),
 	.SCD(u_soc_u_top_u_core_pc_id[5]),
-	.SCE(FE_OFN999_n_6709), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[6]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(u_soc_u_top_u_core_pc_if[6]),
 	.Q(u_soc_u_top_u_core_pc_id[6]),
 	.SCD(u_soc_u_top_u_core_pc_id[6]),
-	.SCE(FE_OFN999_n_6709), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[7]  (
-	.CLK(CTS_82),
+	.CLK(CTS_38),
 	.D(u_soc_u_top_u_core_pc_if[7]),
 	.Q(u_soc_u_top_u_core_pc_id[7]),
 	.SCD(u_soc_u_top_u_core_pc_id[7]),
-	.SCE(FE_OFN999_n_6709), 
+	.SCE(FE_OFN18289_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[8]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(u_soc_u_top_u_core_pc_if[8]),
 	.Q(u_soc_u_top_u_core_pc_id[8]),
 	.SCD(u_soc_u_top_u_core_pc_id[8]),
-	.SCE(FE_OFN999_n_6709), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[9]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(u_soc_u_top_u_core_pc_if[9]),
 	.Q(u_soc_u_top_u_core_pc_id[9]),
 	.SCD(u_soc_u_top_u_core_pc_id[9]),
-	.SCE(FE_OFN999_n_6709), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[10]  (
-	.CLK(CTS_82),
+	.CLK(CTS_42),
 	.D(u_soc_u_top_u_core_pc_if[10]),
 	.Q(u_soc_u_top_u_core_pc_id[10]),
 	.SCD(u_soc_u_top_u_core_pc_id[10]),
-	.SCE(FE_OFN999_n_6709), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[11]  (
-	.CLK(CTS_92),
+	.CLK(CTS_52),
 	.D(u_soc_u_top_u_core_pc_if[11]),
 	.Q(u_soc_u_top_u_core_pc_id[11]),
 	.SCD(u_soc_u_top_u_core_pc_id[11]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN1191_n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[12]  (
-	.CLK(CTS_92),
+	.CLK(CTS_41),
 	.D(u_soc_u_top_u_core_pc_if[12]),
 	.Q(u_soc_u_top_u_core_pc_id[12]),
 	.SCD(u_soc_u_top_u_core_pc_id[12]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[13]  (
-	.CLK(CTS_92),
+	.CLK(CTS_41),
 	.D(u_soc_u_top_u_core_pc_if[13]),
 	.Q(u_soc_u_top_u_core_pc_id[13]),
 	.SCD(u_soc_u_top_u_core_pc_id[13]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[14]  (
-	.CLK(CTS_92),
+	.CLK(CTS_41),
 	.D(u_soc_u_top_u_core_pc_if[14]),
 	.Q(u_soc_u_top_u_core_pc_id[14]),
 	.SCD(u_soc_u_top_u_core_pc_id[14]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[15]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(u_soc_u_top_u_core_pc_if[15]),
 	.Q(u_soc_u_top_u_core_pc_id[15]),
 	.SCD(u_soc_u_top_u_core_pc_id[15]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[16]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(u_soc_u_top_u_core_pc_if[16]),
 	.Q(u_soc_u_top_u_core_pc_id[16]),
 	.SCD(u_soc_u_top_u_core_pc_id[16]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[17]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(u_soc_u_top_u_core_pc_if[17]),
 	.Q(u_soc_u_top_u_core_pc_id[17]),
 	.SCD(u_soc_u_top_u_core_pc_id[17]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[18]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(u_soc_u_top_u_core_pc_if[18]),
 	.Q(u_soc_u_top_u_core_pc_id[18]),
 	.SCD(u_soc_u_top_u_core_pc_id[18]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[19]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(u_soc_u_top_u_core_pc_if[19]),
 	.Q(u_soc_u_top_u_core_pc_id[19]),
 	.SCD(u_soc_u_top_u_core_pc_id[19]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[20]  (
-	.CLK(CTS_87),
+	.CLK(CTS_41),
 	.D(u_soc_u_top_u_core_pc_if[20]),
 	.Q(u_soc_u_top_u_core_pc_id[20]),
 	.SCD(u_soc_u_top_u_core_pc_id[20]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[21]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(u_soc_u_top_u_core_pc_if[21]),
 	.Q(u_soc_u_top_u_core_pc_id[21]),
 	.SCD(u_soc_u_top_u_core_pc_id[21]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[22]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(u_soc_u_top_u_core_pc_if[22]),
 	.Q(u_soc_u_top_u_core_pc_id[22]),
 	.SCD(u_soc_u_top_u_core_pc_id[22]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[23]  (
-	.CLK(CTS_93),
+	.CLK(CTS_50),
 	.D(u_soc_u_top_u_core_pc_if[23]),
 	.Q(u_soc_u_top_u_core_pc_id[23]),
 	.SCD(u_soc_u_top_u_core_pc_id[23]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[24]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(u_soc_u_top_u_core_pc_if[24]),
 	.Q(u_soc_u_top_u_core_pc_id[24]),
 	.SCD(u_soc_u_top_u_core_pc_id[24]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[25]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(u_soc_u_top_u_core_pc_if[25]),
 	.Q(u_soc_u_top_u_core_pc_id[25]),
 	.SCD(u_soc_u_top_u_core_pc_id[25]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[26]  (
-	.CLK(CTS_93),
+	.CLK(CTS_45),
 	.D(u_soc_u_top_u_core_pc_if[26]),
 	.Q(u_soc_u_top_u_core_pc_id[26]),
 	.SCD(u_soc_u_top_u_core_pc_id[26]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[27]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(u_soc_u_top_u_core_pc_if[27]),
 	.Q(u_soc_u_top_u_core_pc_id[27]),
 	.SCD(u_soc_u_top_u_core_pc_id[27]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[28]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(u_soc_u_top_u_core_pc_if[28]),
 	.Q(u_soc_u_top_u_core_pc_id[28]),
 	.SCD(u_soc_u_top_u_core_pc_id[28]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[29]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(u_soc_u_top_u_core_pc_if[29]),
 	.Q(u_soc_u_top_u_core_pc_id[29]),
 	.SCD(u_soc_u_top_u_core_pc_id[29]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[30]  (
-	.CLK(CTS_87),
+	.CLK(CTS_52),
 	.D(u_soc_u_top_u_core_pc_if[30]),
 	.Q(u_soc_u_top_u_core_pc_id[30]),
 	.SCD(u_soc_u_top_u_core_pc_id[30]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_if_stage_i_pc_id_o_reg[31]  (
-	.CLK(CTS_87),
+	.CLK(CTS_52),
 	.D(u_soc_u_top_u_core_pc_if[31]),
 	.Q(u_soc_u_top_u_core_pc_id[31]),
 	.SCD(u_soc_u_top_u_core_pc_id[31]),
-	.SCE(FE_OFN18367_n), 
+	.SCE(FE_OFN18290_n), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[0]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[0]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[0]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN18279_n_16012),
+	.RESET_B(FE_OFN150_io_out_37),
+	.SCD(FE_OFN1272_n_16012),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[1]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[1]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[1]),
-	.RESET_B(FE_OFN88_io_out_37),
+	.RESET_B(FE_OFN150_io_out_37),
 	.SCD(u_soc_u_top_u_core_alu_adder_result_ex[1]),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
@@ -123719,340 +120349,340 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[2]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[2]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[2]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.RESET_B(FE_OFN150_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_address] [2]),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[3]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[3]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[3]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.RESET_B(FE_OFN150_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_address] [3]),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[4]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[4]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[4]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.RESET_B(FE_OFN150_io_out_37),
+	.SCD(FE_OFN904_u_soc_xbar_to_dccm_a_address__4),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[5]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[5]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[5]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.RESET_B(FE_OFN150_io_out_37),
+	.SCD(FE_OFN903_u_soc_xbar_to_dccm_a_address__5),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[6]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[6]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[6]),
-	.RESET_B(FE_OFN88_io_out_37),
-	.SCD(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_address] [6]),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[7]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[7]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[7]),
-	.RESET_B(FE_OFN85_io_out_37),
-	.SCD(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.RESET_B(FE_OFN152_io_out_37),
+	.SCD(FE_OFN18390_u_soc_xbar_to_dccm_a_address__7),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[8]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[8]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[8]),
-	.RESET_B(FE_OFN85_io_out_37),
-	.SCD(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.RESET_B(FE_OFN152_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_address] [8]),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[9]  (
-	.CLK(CTS_79),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[9]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[9]),
-	.RESET_B(FE_OFN141_io_out_37),
-	.SCD(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.RESET_B(FE_OFN70_io_out_37),
+	.SCD(FE_OFN19045_u_soc_xbar_to_dccm_a_address__9),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[10]  (
-	.CLK(CTS_79),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[10]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[10]),
-	.RESET_B(FE_OFN141_io_out_37),
-	.SCD(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_address] [10]),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[11]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[11]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[11]),
-	.RESET_B(FE_OFN82_io_out_37),
-	.SCD(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.RESET_B(FE_OFN152_io_out_37),
+	.SCD(FE_OFN18210_u_soc_xbar_to_dccm_a_address__11),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[12]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[12]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[12]),
-	.RESET_B(FE_OFN82_io_out_37),
-	.SCD(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.RESET_B(FE_OFN152_io_out_37),
+	.SCD(\u_soc_xbar_to_dccm[a_address] [12]),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[13]  (
-	.CLK(CTS_92),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[13]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[13]),
-	.RESET_B(FE_OFN82_io_out_37),
-	.SCD(\u_soc_xbar_to_dccm[a_address] [13]),
+	.RESET_B(FE_OFN152_io_out_37),
+	.SCD(FE_OFN895_u_soc_xbar_to_dccm_a_address__13),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[14]  (
-	.CLK(CTS_87),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[14]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[14]),
-	.RESET_B(FE_OFN82_io_out_37),
-	.SCD(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.RESET_B(FE_OFN152_io_out_37),
+	.SCD(FE_OFN894_u_soc_lsu_to_xbar_a_address__14),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[15]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(u_soc_u_top_u_core_lsu_addr_last[15]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[15]),
-	.RESET_B(FE_OFN82_io_out_37),
-	.SCD(FE_OFN762_u_soc_lsu_to_xbar_a_address__15),
+	.RESET_B(FE_OFN152_io_out_37),
+	.SCD(FE_OFN893_u_soc_lsu_to_xbar_a_address__15),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[16]  (
-	.CLK(CTS_87),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[16]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[16]),
-	.RESET_B(FE_OFN82_io_out_37),
-	.SCD(\u_soc_lsu_to_xbar[a_address] [16]),
+	.RESET_B(FE_OFN152_io_out_37),
+	.SCD(FE_OFN18195_u_soc_lsu_to_xbar_a_address__16),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[17]  (
-	.CLK(CTS_87),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[17]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[17]),
-	.RESET_B(FE_OFN81_io_out_37),
-	.SCD(FE_OFN18360_n),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(FE_OFN18408_u_soc_lsu_to_xbar_a_address__17),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[18]  (
-	.CLK(CTS_87),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[18]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[18]),
-	.RESET_B(FE_OFN81_io_out_37),
-	.SCD(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.RESET_B(FE_OFN124_io_out_37),
+	.SCD(FE_OFN890_u_soc_lsu_to_xbar_a_address__18),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[19]  (
-	.CLK(CTS_87),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[19]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[19]),
-	.RESET_B(FE_OFN81_io_out_37),
-	.SCD(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.RESET_B(FE_OFN124_io_out_37),
+	.SCD(FE_OFN889_u_soc_lsu_to_xbar_a_address__19),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[20]  (
-	.CLK(CTS_87),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[20]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[20]),
-	.RESET_B(FE_OFN81_io_out_37),
-	.SCD(FE_OFN18273_u_soc_lsu_to_xbar_a_address__20),
+	.RESET_B(FE_OFN124_io_out_37),
+	.SCD(FE_OFN888_u_soc_lsu_to_xbar_a_address__20),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[21]  (
-	.CLK(CTS_93),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[21]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[21]),
-	.RESET_B(FE_OFN81_io_out_37),
-	.SCD(FE_OFN756_u_soc_lsu_to_xbar_a_address__21),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(FE_OFN887_u_soc_lsu_to_xbar_a_address__21),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[22]  (
-	.CLK(CTS_93),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[22]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[22]),
-	.RESET_B(FE_OFN81_io_out_37),
-	.SCD(FE_OFN755_u_soc_lsu_to_xbar_a_address__22),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(FE_OFN886_u_soc_lsu_to_xbar_a_address__22),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[23]  (
-	.CLK(CTS_93),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[23]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[23]),
-	.RESET_B(FE_OFN81_io_out_37),
-	.SCD(FE_OFN754_u_soc_lsu_to_xbar_a_address__23),
+	.RESET_B(FE_OFN124_io_out_37),
+	.SCD(FE_OFN885_u_soc_lsu_to_xbar_a_address__23),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[24]  (
-	.CLK(CTS_93),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[24]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[24]),
-	.RESET_B(FE_OFN81_io_out_37),
-	.SCD(FE_PSN4133_u_soc_lsu_to_xbar_a_address_24),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(FE_OFN884_u_soc_lsu_to_xbar_a_address__24),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[25]  (
-	.CLK(CTS_93),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[25]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[25]),
-	.RESET_B(FE_OFN81_io_out_37),
-	.SCD(FE_COEN4267_u_soc_lsu_to_xbar_a_address_25),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(FE_OFN883_u_soc_lsu_to_xbar_a_address__25),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[26]  (
-	.CLK(CTS_93),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[26]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[26]),
-	.RESET_B(FE_OFN81_io_out_37),
-	.SCD(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(FE_OFN882_u_soc_lsu_to_xbar_a_address__26),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[27]  (
-	.CLK(CTS_93),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[27]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[27]),
-	.RESET_B(FE_OFN81_io_out_37),
-	.SCD(FE_OFN750_u_soc_lsu_to_xbar_a_address__27),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(FE_OFN881_u_soc_lsu_to_xbar_a_address__27),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[28]  (
-	.CLK(CTS_87),
+	.CLK(CTS_48),
 	.D(u_soc_u_top_u_core_lsu_addr_last[28]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[28]),
-	.RESET_B(FE_OFN81_io_out_37),
-	.SCD(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
+	.RESET_B(FE_OFN101_io_out_37),
+	.SCD(\u_soc_lsu_to_xbar[a_address] [28]),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[29]  (
-	.CLK(CTS_87),
+	.CLK(CTS_50),
 	.D(u_soc_u_top_u_core_lsu_addr_last[29]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[29]),
-	.RESET_B(FE_OFN82_io_out_37),
-	.SCD(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+	.RESET_B(FE_OFN152_io_out_37),
+	.SCD(FE_OFN879_u_soc_lsu_to_xbar_a_address__29),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[30]  (
-	.CLK(CTS_87),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[30]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[30]),
-	.RESET_B(FE_OFN82_io_out_37),
-	.SCD(FE_PSN4663_FE_OFN18361_n),
+	.RESET_B(FE_OFN152_io_out_37),
+	.SCD(FE_OFN18378_u_soc_lsu_to_xbar_a_address__30),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_addr_last_q_reg[31]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_lsu_addr_last[31]),
 	.Q(u_soc_u_top_u_core_lsu_addr_last[31]),
-	.RESET_B(FE_OFN82_io_out_37),
-	.SCD(FE_OFN18195_u_soc_lsu_to_xbar_a_address__31),
+	.RESET_B(FE_OFN152_io_out_37),
+	.SCD(FE_PSN3912_FE_OFN877_u_soc_lsu_to_xbar_a_address__31),
 	.SCE(n_2411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q_reg (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_data_sign_ext_q),
-	.RESET_B(FE_OFN136_io_out_37),
+	.RESET_B(FE_OFN150_io_out_37),
 	.SCD(u_soc_u_top_u_core_lsu_sign_ext),
 	.SCE(n_1414), 
 	.VPWR(vccd1), 
@@ -124060,10 +120690,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_data_type_q_reg[0]  (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_data_type_q[0]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN150_io_out_37),
 	.SCD(u_soc_u_top_u_core_lsu_type[0]),
 	.SCE(n_1414), 
 	.VPWR(vccd1), 
@@ -124071,10 +120701,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_data_type_q_reg[1]  (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN150_io_out_37),
 	.SCD(u_soc_u_top_u_core_lsu_type[1]),
 	.SCE(n_1414), 
 	.VPWR(vccd1), 
@@ -124082,52 +120712,52 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 u_soc_u_top_u_core_load_store_unit_i_data_we_q_reg (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_load_store_unit_i_data_we_q),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_data_we_q),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(FE_OFN1142_u_soc_u_top_data_we),
+	.RESET_B(FE_OFN150_io_out_37),
+	.SCD(FE_OFN1290_u_soc_u_top_data_we),
 	.SCE(n_1414), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_2 \u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[2]  (
-	.CLK(CTS_85),
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[2]  (
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_load_store_unit_i_n_937),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[0]  (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(FE_OFN18279_n_16012),
+	.RESET_B(FE_OFN150_io_out_37),
+	.SCD(FE_OFN1272_n_16012),
 	.SCE(n_1414), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[1]  (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.RESET_B(FE_OFN136_io_out_37),
-	.SCD(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.RESET_B(FE_OFN150_io_out_37),
+	.SCD(u_soc_u_top_u_core_alu_adder_result_ex[1]),
 	.SCE(n_1414), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[8]  (
-	.CLK(CTS_85),
-	.D(n_7800),
+	.CLK(CTS_48),
+	.D(FE_OFN1777_n_7800),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[8]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN110_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[8]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124135,21 +120765,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[9]  (
-	.CLK(CTS_85),
-	.D(n_7263),
+	.CLK(CTS_48),
+	.D(FE_OFN1768_n_7263),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[9]),
-	.RESET_B(FE_OFN135_io_out_37),
-	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[9]),
+	.RESET_B(FE_OFN124_io_out_37),
+	.SCD(FE_PHN4053_u_soc_u_top_u_core_load_store_unit_i_rdata_q_9),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[10]  (
-	.CLK(CTS_85),
-	.D(n_7801),
+	.CLK(CTS_48),
+	.D(FE_OFN1778_n_7801),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[10]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN110_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[10]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124157,10 +120787,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[11]  (
-	.CLK(CTS_85),
-	.D(n_7802),
+	.CLK(CTS_48),
+	.D(FE_OFN1779_n_7802),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[11]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[11]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124168,10 +120798,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[12]  (
-	.CLK(CTS_85),
-	.D(n_7258),
+	.CLK(CTS_48),
+	.D(FE_OFN1762_n_7258),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[12]),
-	.RESET_B(FE_OFN113_io_out_37),
+	.RESET_B(FE_OFN110_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[12]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124179,10 +120809,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[13]  (
-	.CLK(CTS_85),
-	.D(n_7804),
+	.CLK(CTS_48),
+	.D(FE_PDN4059_FE_OFN1781_n_7804),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[13]),
-	.RESET_B(FE_OFN113_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[13]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124190,10 +120820,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[14]  (
-	.CLK(CTS_85),
-	.D(n_7269),
+	.CLK(CTS_48),
+	.D(FE_OFN1773_n_7269),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[14]),
-	.RESET_B(FE_OFN113_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[14]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124201,10 +120831,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[15]  (
-	.CLK(CTS_85),
-	.D(n_7797),
+	.CLK(CTS_48),
+	.D(FE_OFN18086_n_7797),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[15]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[15]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124212,10 +120842,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[16]  (
-	.CLK(CTS_85),
-	.D(n_7264),
+	.CLK(CTS_48),
+	.D(FE_PDN4062_FE_OFN1769_n_7264),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124223,10 +120853,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[17]  (
-	.CLK(CTS_85),
-	.D(n_7262),
+	.CLK(CTS_48),
+	.D(FE_OFN1767_n_7262),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124234,10 +120864,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[18]  (
-	.CLK(CTS_85),
-	.D(n_7260),
+	.CLK(CTS_48),
+	.D(FE_OFN1764_n_7260),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN124_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124245,10 +120875,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[19]  (
-	.CLK(CTS_85),
-	.D(n_7259),
+	.CLK(CTS_48),
+	.D(FE_OFN1763_n_7259),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124256,10 +120886,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[20]  (
-	.CLK(CTS_85),
-	.D(n_7261),
+	.CLK(CTS_48),
+	.D(FE_OFN1765_n_7261),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]),
-	.RESET_B(FE_OFN113_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124267,10 +120897,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[21]  (
-	.CLK(CTS_85),
-	.D(n_7266),
+	.CLK(CTS_48),
+	.D(FE_OFN1770_n_7266),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]),
-	.RESET_B(FE_OFN113_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124278,10 +120908,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[22]  (
-	.CLK(CTS_85),
-	.D(n_7267),
+	.CLK(CTS_48),
+	.D(FE_OFN1771_n_7267),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]),
-	.RESET_B(FE_OFN113_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124289,10 +120919,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[23]  (
-	.CLK(CTS_85),
-	.D(n_7268),
+	.CLK(CTS_48),
+	.D(FE_OFN1772_n_7268),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN110_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124300,10 +120930,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[24]  (
-	.CLK(CTS_85),
-	.D(n_7974),
+	.CLK(CTS_48),
+	.D(FE_PDN3655_FE_OFN1591_n_7974),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124311,10 +120941,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[25]  (
-	.CLK(CTS_85),
-	.D(n_7975),
+	.CLK(CTS_48),
+	.D(FE_OFN1592_n_7975),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124322,10 +120952,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[26]  (
-	.CLK(CTS_85),
-	.D(n_7976),
+	.CLK(CTS_48),
+	.D(FE_OFN1593_n_7976),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN110_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124333,10 +120963,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[27]  (
-	.CLK(CTS_85),
-	.D(n_7272),
+	.CLK(CTS_48),
+	.D(FE_OFN1774_n_7272),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124344,10 +120974,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[28]  (
-	.CLK(CTS_85),
-	.D(n_7978),
+	.CLK(CTS_48),
+	.D(FE_OFN1594_n_7978),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN118_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124355,10 +120985,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[29]  (
-	.CLK(CTS_85),
-	.D(n_7979),
+	.CLK(CTS_48),
+	.D(FE_PDN4069_FE_OFN1595_n_7979),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]),
-	.RESET_B(FE_OFN113_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124366,10 +120996,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[30]  (
-	.CLK(CTS_85),
-	.D(n_7973),
+	.CLK(CTS_48),
+	.D(FE_OFN1589_n_7973),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]),
-	.RESET_B(FE_OFN113_io_out_37),
+	.RESET_B(FE_OFN101_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124377,10 +121007,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_top_u_core_load_store_unit_i_rdata_q_reg[31]  (
-	.CLK(CTS_85),
-	.D(n_7969),
+	.CLK(CTS_48),
+	.D(FE_OFN1588_n_7969),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]),
-	.RESET_B(FE_OFN135_io_out_37),
+	.RESET_B(FE_OFN110_io_out_37),
 	.SCD(u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]),
 	.SCE(n_2416), 
 	.VPWR(vccd1), 
@@ -124388,57 +121018,57 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_2 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[0]  (
-	.CLK(CTS_81),
+	.CLK(CTS_50),
 	.D(u_soc_u_top_u_core_instr_rdata_id[7]),
 	.Q(u_soc_u_top_u_core_rf_waddr_wb[0]),
-	.SCD(FE_COEN4307_FE_OFN18572_u_soc_u_top_u_core_rf_waddr_wb_0),
-	.SCE(FE_OFN19534_n_13380), 
+	.SCD(u_soc_u_top_u_core_rf_waddr_wb[0]),
+	.SCE(FE_OFN18083_n_13380), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_4 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[1]  (
-	.CLK(CTS_81),
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[1]  (
+	.CLK(CTS_50),
 	.D(u_soc_u_top_u_core_instr_rdata_id[8]),
 	.Q(u_soc_u_top_u_core_rf_waddr_wb[1]),
 	.SCD(u_soc_u_top_u_core_rf_waddr_wb[1]),
-	.SCE(FE_OFN19534_n_13380), 
+	.SCE(FE_OFN18083_n_13380), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_2 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[2]  (
-	.CLK(CTS_81),
+	.CLK(CTS_50),
 	.D(u_soc_u_top_u_core_instr_rdata_id[9]),
 	.Q(u_soc_u_top_u_core_rf_waddr_wb[2]),
-	.SCD(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
-	.SCE(FE_OFN19534_n_13380), 
+	.SCD(u_soc_u_top_u_core_rf_waddr_wb[2]),
+	.SCE(FE_OFN18083_n_13380), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__sdfxtp_4 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[3]  (
-	.CLK(CTS_81),
+   sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[3]  (
+	.CLK(CTS_50),
 	.D(u_soc_u_top_u_core_instr_rdata_id[10]),
 	.Q(u_soc_u_top_u_core_rf_waddr_wb[3]),
-	.SCD(u_soc_u_top_u_core_rf_waddr_wb[3]),
-	.SCE(FE_OFN19534_n_13380), 
+	.SCD(FE_OFN18335_u_soc_u_top_u_core_rf_waddr_wb_3),
+	.SCE(FE_OFN18083_n_13380), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_4 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[4]  (
-	.CLK(CTS_81),
+	.CLK(CTS_50),
 	.D(u_soc_u_top_u_core_instr_rdata_id[11]),
 	.Q(u_soc_u_top_u_core_rf_waddr_wb[4]),
 	.SCD(u_soc_u_top_u_core_rf_waddr_wb[4]),
-	.SCE(FE_OFN19534_n_13380), 
+	.SCE(FE_OFN18083_n_13380), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[0]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(n_8232),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[0]), 
 	.VPWR(vccd1), 
@@ -124446,7 +121076,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[1]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(n_8517),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[1]), 
 	.VPWR(vccd1), 
@@ -124454,7 +121084,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[2]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(n_8651),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[2]), 
 	.VPWR(vccd1), 
@@ -124462,7 +121092,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[3]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(n_8719),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[3]), 
 	.VPWR(vccd1), 
@@ -124470,7 +121100,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[4]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(n_8487),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[4]), 
 	.VPWR(vccd1), 
@@ -124478,7 +121108,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[5]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(n_8515),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[5]), 
 	.VPWR(vccd1), 
@@ -124486,7 +121116,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[6]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(n_8516),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[6]), 
 	.VPWR(vccd1), 
@@ -124494,7 +121124,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[7]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(n_8652),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[7]), 
 	.VPWR(vccd1), 
@@ -124502,7 +121132,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[8]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(n_8705),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[8]), 
 	.VPWR(vccd1), 
@@ -124510,7 +121140,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[9]  (
-	.CLK(CTS_79),
+	.CLK(CTS_53),
 	.D(n_8542),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[9]), 
 	.VPWR(vccd1), 
@@ -124518,7 +121148,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[10]  (
-	.CLK(CTS_79),
+	.CLK(CTS_53),
 	.D(n_8532),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[10]), 
 	.VPWR(vccd1), 
@@ -124526,7 +121156,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[11]  (
-	.CLK(CTS_79),
+	.CLK(CTS_53),
 	.D(n_8744),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[11]), 
 	.VPWR(vccd1), 
@@ -124534,7 +121164,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[12]  (
-	.CLK(CTS_79),
+	.CLK(CTS_53),
 	.D(n_8646),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[12]), 
 	.VPWR(vccd1), 
@@ -124542,7 +121172,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[13]  (
-	.CLK(CTS_79),
+	.CLK(CTS_53),
 	.D(n_8706),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[13]), 
 	.VPWR(vccd1), 
@@ -124550,7 +121180,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[14]  (
-	.CLK(CTS_79),
+	.CLK(CTS_48),
 	.D(n_8409),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[14]), 
 	.VPWR(vccd1), 
@@ -124558,15 +121188,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[15]  (
-	.CLK(CTS_79),
+	.CLK(CTS_48),
 	.D(n_8408),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[15]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[16]  (
-	.CLK(CTS_79),
+   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[16]  (
+	.CLK(CTS_48),
 	.D(n_8508),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[16]), 
 	.VPWR(vccd1), 
@@ -124574,7 +121204,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[17]  (
-	.CLK(CTS_80),
+	.CLK(CTS_48),
 	.D(n_8696),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[17]), 
 	.VPWR(vccd1), 
@@ -124582,7 +121212,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[18]  (
-	.CLK(CTS_80),
+	.CLK(CTS_48),
 	.D(n_8507),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[18]), 
 	.VPWR(vccd1), 
@@ -124590,7 +121220,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[19]  (
-	.CLK(CTS_80),
+	.CLK(CTS_48),
 	.D(n_8506),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[19]), 
 	.VPWR(vccd1), 
@@ -124598,7 +121228,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[20]  (
-	.CLK(CTS_80),
+	.CLK(CTS_48),
 	.D(n_8694),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[20]), 
 	.VPWR(vccd1), 
@@ -124606,7 +121236,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[21]  (
-	.CLK(CTS_80),
+	.CLK(CTS_48),
 	.D(n_8695),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[21]), 
 	.VPWR(vccd1), 
@@ -124614,7 +121244,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[22]  (
-	.CLK(CTS_80),
+	.CLK(CTS_48),
 	.D(n_8505),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[22]), 
 	.VPWR(vccd1), 
@@ -124622,7 +121252,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[23]  (
-	.CLK(CTS_80),
+	.CLK(CTS_48),
 	.D(n_8504),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[23]), 
 	.VPWR(vccd1), 
@@ -124630,7 +121260,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[24]  (
-	.CLK(CTS_87),
+	.CLK(CTS_48),
 	.D(n_8413),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[24]), 
 	.VPWR(vccd1), 
@@ -124638,7 +121268,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[25]  (
-	.CLK(CTS_80),
+	.CLK(CTS_48),
 	.D(n_8425),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[25]), 
 	.VPWR(vccd1), 
@@ -124646,7 +121276,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[26]  (
-	.CLK(CTS_80),
+	.CLK(CTS_48),
 	.D(n_8431),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[26]), 
 	.VPWR(vccd1), 
@@ -124654,7 +121284,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[27]  (
-	.CLK(CTS_80),
+	.CLK(CTS_48),
 	.D(n_8594),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[27]), 
 	.VPWR(vccd1), 
@@ -124662,7 +121292,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[28]  (
-	.CLK(CTS_80),
+	.CLK(CTS_48),
 	.D(n_8430),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[28]), 
 	.VPWR(vccd1), 
@@ -124670,7 +121300,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[29]  (
-	.CLK(CTS_80),
+	.CLK(CTS_48),
 	.D(n_8595),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[29]), 
 	.VPWR(vccd1), 
@@ -124678,7 +121308,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[30]  (
-	.CLK(CTS_79),
+	.CLK(CTS_48),
 	.D(n_8603),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[30]), 
 	.VPWR(vccd1), 
@@ -124686,7 +121316,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[31]  (
-	.CLK(CTS_92),
+	.CLK(CTS_53),
 	.D(n_8514),
 	.Q(u_soc_u_top_u_core_rf_wdata_fwd_wb[31]), 
 	.VPWR(vccd1), 
@@ -124694,27 +121324,27 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_2 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q_reg  (
-	.CLK(CTS_81),
+	.CLK(CTS_53),
 	.D(n_1937),
 	.Q(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
 	.SCD(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
-	.SCE(FE_OFN19534_n_13380), 
+	.SCE(FE_OFN1266_n_13380), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q_reg  (
-	.CLK(CTS_81),
+	.CLK(CTS_53),
 	.D(n_1686),
 	.Q(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
 	.SCD(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
-	.SCE(FE_OFN19534_n_13380), 
+	.SCE(n_13380), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxtp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[0]  (
-	.CLK(CTS_81),
+   sky130_fd_sc_hd__dfxtp_2 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[0]  (
+	.CLK(CTS_53),
 	.D(n_1671),
 	.Q(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]), 
 	.VPWR(vccd1), 
@@ -124722,19 +121352,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_2 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q_reg  (
-	.CLK(CTS_81),
+	.CLK(CTS_53),
 	.D(n_1191),
 	.Q(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
-	.RESET_B(FE_OFN89_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_reg_if_outstanding_reg (
-	.CLK(CTS_46),
+	.CLK(CTS_66),
 	.D(n_7),
 	.Q(\u_soc_uart_to_xbar[d_valid] ),
-	.RESET_B(FE_OFN31_io_out_37),
+	.RESET_B(FE_OFN98_io_out_37),
 	.SCD(\u_soc_uart_to_xbar[d_valid] ),
 	.SCE(n_1168), 
 	.VPWR(vccd1), 
@@ -124742,298 +121372,298 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[0]  (
-	.CLK(CTS_25),
+	.CLK(CTS_66),
 	.D(n_5165),
 	.Q(\u_soc_uart_to_xbar[d_data] [0]),
-	.RESET_B(FE_OFN16_io_out_37), 
+	.RESET_B(FE_OFN131_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[1]  (
-	.CLK(CTS_25),
+	.CLK(CTS_10),
 	.D(n_3034),
 	.Q(\u_soc_uart_to_xbar[d_data] [1]),
-	.RESET_B(FE_OFN16_io_out_37), 
+	.RESET_B(FE_OFN127_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[2]  (
-	.CLK(CTS_25),
+	.CLK(CTS_10),
 	.D(n_3039),
 	.Q(\u_soc_uart_to_xbar[d_data] [2]),
-	.RESET_B(FE_OFN16_io_out_37), 
+	.RESET_B(FE_OFN127_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[3]  (
-	.CLK(CTS_25),
+	.CLK(CTS_10),
 	.D(n_3033),
 	.Q(\u_soc_uart_to_xbar[d_data] [3]),
-	.RESET_B(FE_OFN16_io_out_37), 
+	.RESET_B(FE_OFN127_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[4]  (
-	.CLK(CTS_25),
+	.CLK(CTS_16),
 	.D(n_3038),
 	.Q(\u_soc_uart_to_xbar[d_data] [4]),
-	.RESET_B(FE_OFN16_io_out_37), 
+	.RESET_B(FE_OFN127_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[5]  (
-	.CLK(CTS_25),
+	.CLK(CTS_16),
 	.D(n_3037),
 	.Q(\u_soc_uart_to_xbar[d_data] [5]),
-	.RESET_B(FE_OFN16_io_out_37), 
+	.RESET_B(FE_OFN127_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[6]  (
-	.CLK(CTS_25),
+	.CLK(CTS_10),
 	.D(n_3036),
 	.Q(\u_soc_uart_to_xbar[d_data] [6]),
-	.RESET_B(FE_OFN30_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[7]  (
-	.CLK(CTS_25),
+	.CLK(CTS_10),
 	.D(n_2938),
 	.Q(\u_soc_uart_to_xbar[d_data] [7]),
-	.RESET_B(FE_OFN16_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[8]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_3035),
 	.Q(\u_soc_uart_to_xbar[d_data] [8]),
-	.RESET_B(FE_OFN30_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[9]  (
-	.CLK(CTS_43),
+	.CLK(CTS_15),
 	.D(n_1313),
 	.Q(\u_soc_uart_to_xbar[d_data] [9]),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[10]  (
-	.CLK(CTS_30),
+	.CLK(CTS_15),
 	.D(n_1364),
 	.Q(\u_soc_uart_to_xbar[d_data] [10]),
-	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[11]  (
-	.CLK(CTS_30),
+	.CLK(CTS_15),
 	.D(n_1331),
 	.Q(\u_soc_uart_to_xbar[d_data] [11]),
-	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[12]  (
-	.CLK(CTS_30),
+	.CLK(CTS_15),
 	.D(n_1319),
 	.Q(\u_soc_uart_to_xbar[d_data] [12]),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[13]  (
-	.CLK(CTS_30),
+	.CLK(CTS_15),
 	.D(n_1338),
 	.Q(\u_soc_uart_to_xbar[d_data] [13]),
-	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[14]  (
-	.CLK(CTS_30),
+	.CLK(CTS_15),
 	.D(n_1321),
 	.Q(\u_soc_uart_to_xbar[d_data] [14]),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[15]  (
-	.CLK(CTS_30),
+	.CLK(CTS_15),
 	.D(n_1339),
 	.Q(\u_soc_uart_to_xbar[d_data] [15]),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[16]  (
-	.CLK(CTS_44),
+	.CLK(CTS_15),
 	.D(n_1371),
 	.Q(\u_soc_uart_to_xbar[d_data] [16]),
-	.RESET_B(FE_OFN30_io_out_37), 
+	.RESET_B(FE_OFN149_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[17]  (
-	.CLK(CTS_25),
+	.CLK(CTS_15),
 	.D(n_1379),
 	.Q(\u_soc_uart_to_xbar[d_data] [17]),
-	.RESET_B(FE_OFN16_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[18]  (
-	.CLK(CTS_25),
+	.CLK(CTS_15),
 	.D(n_1353),
 	.Q(\u_soc_uart_to_xbar[d_data] [18]),
-	.RESET_B(FE_OFN16_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[19]  (
-	.CLK(CTS_25),
+	.CLK(CTS_15),
 	.D(n_1318),
 	.Q(\u_soc_uart_to_xbar[d_data] [19]),
-	.RESET_B(FE_OFN30_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[20]  (
-	.CLK(CTS_25),
+	.CLK(CTS_15),
 	.D(n_1332),
 	.Q(\u_soc_uart_to_xbar[d_data] [20]),
-	.RESET_B(FE_OFN30_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[21]  (
-	.CLK(CTS_25),
+	.CLK(CTS_15),
 	.D(n_1317),
 	.Q(\u_soc_uart_to_xbar[d_data] [21]),
-	.RESET_B(FE_OFN30_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[22]  (
-	.CLK(CTS_25),
+	.CLK(CTS_15),
 	.D(n_1308),
 	.Q(\u_soc_uart_to_xbar[d_data] [22]),
-	.RESET_B(FE_OFN30_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[23]  (
-	.CLK(CTS_25),
+	.CLK(CTS_15),
 	.D(n_1359),
 	.Q(\u_soc_uart_to_xbar[d_data] [23]),
-	.RESET_B(FE_OFN30_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[24]  (
-	.CLK(CTS_30),
+	.CLK(CTS_15),
 	.D(n_1310),
 	.Q(\u_soc_uart_to_xbar[d_data] [24]),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[25]  (
-	.CLK(CTS_30),
+	.CLK(CTS_15),
 	.D(n_1316),
 	.Q(\u_soc_uart_to_xbar[d_data] [25]),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[26]  (
-	.CLK(CTS_43),
+	.CLK(CTS_15),
 	.D(n_1334),
 	.Q(\u_soc_uart_to_xbar[d_data] [26]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[27]  (
-	.CLK(CTS_30),
+	.CLK(CTS_15),
 	.D(n_1383),
 	.Q(\u_soc_uart_to_xbar[d_data] [27]),
-	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[28]  (
-	.CLK(CTS_43),
+	.CLK(CTS_15),
 	.D(n_1322),
 	.Q(\u_soc_uart_to_xbar[d_data] [28]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[29]  (
-	.CLK(CTS_30),
+	.CLK(CTS_15),
 	.D(n_1309),
 	.Q(\u_soc_uart_to_xbar[d_data] [29]),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[30]  (
-	.CLK(CTS_43),
+	.CLK(CTS_15),
 	.D(n_1312),
 	.Q(\u_soc_uart_to_xbar[d_data] [30]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_reg_if_rdata_reg[31]  (
-	.CLK(CTS_43),
+	.CLK(CTS_15),
 	.D(n_1328),
 	.Q(\u_soc_uart_to_xbar[d_data] [31]),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_reg_if_rspop_reg[0]  (
-	.CLK(CTS_46),
+	.CLK(CTS_86),
 	.D(n_640),
 	.Q(\u_soc_uart_to_xbar[d_opcode] [0]),
-	.RESET_B(FE_OFN31_io_out_37),
+	.RESET_B(FE_OFN15_io_out_37),
 	.SCD(\u_soc_uart_to_xbar[d_opcode] [0]),
 	.SCE(n_13558), 
 	.VPWR(vccd1), 
@@ -125041,195 +121671,195 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[0]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[0]),
 	.Q(u_soc_u_uart_u_uart_core_control[0]),
-	.RESET_B(FE_OFN153_io_out_37),
-	.SCD(\u_soc_xbar_to_dccm[a_data] [0]),
+	.RESET_B(FE_OFN67_io_out_37),
+	.SCD(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
 	.SCE(n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[1]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[1]),
 	.Q(u_soc_u_uart_u_uart_core_control[1]),
-	.RESET_B(FE_OFN153_io_out_37),
+	.RESET_B(FE_OFN67_io_out_37),
 	.SCD(\u_soc_xbar_to_dccm[a_data] [1]),
-	.SCE(n_2245), 
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[2]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[2]),
 	.Q(u_soc_u_uart_u_uart_core_control[2]),
-	.RESET_B(FE_OFN153_io_out_37),
-	.SCD(\u_soc_xbar_to_dccm[a_data] [2]),
-	.SCE(n_2245), 
+	.RESET_B(FE_OFN67_io_out_37),
+	.SCD(FE_OFN1724_u_soc_xbar_to_dccm_a_data__2),
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[3]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[3]),
 	.Q(u_soc_u_uart_u_uart_core_control[3]),
-	.RESET_B(FE_OFN153_io_out_37),
-	.SCD(\u_soc_xbar_to_dccm[a_data] [3]),
-	.SCE(n_2245), 
+	.RESET_B(FE_OFN67_io_out_37),
+	.SCD(FE_OFN1723_u_soc_xbar_to_dccm_a_data__3),
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[4]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[4]),
 	.Q(u_soc_u_uart_u_uart_core_control[4]),
-	.RESET_B(FE_OFN119_io_out_37),
-	.SCD(\u_soc_xbar_to_dccm[a_data] [4]),
-	.SCE(n_2245), 
+	.RESET_B(FE_OFN67_io_out_37),
+	.SCD(FE_OFN1722_u_soc_xbar_to_dccm_a_data__4),
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[5]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[5]),
 	.Q(u_soc_u_uart_u_uart_core_control[5]),
-	.RESET_B(FE_OFN119_io_out_37),
-	.SCD(\u_soc_xbar_to_dccm[a_data] [5]),
-	.SCE(n_2245), 
+	.RESET_B(FE_OFN67_io_out_37),
+	.SCD(FE_OFN1721_u_soc_xbar_to_dccm_a_data__5),
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[6]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[6]),
 	.Q(u_soc_u_uart_u_uart_core_control[6]),
-	.RESET_B(FE_OFN119_io_out_37),
-	.SCD(\u_soc_xbar_to_dccm[a_data] [6]),
-	.SCE(n_2245), 
+	.RESET_B(FE_OFN67_io_out_37),
+	.SCD(FE_OFN1719_u_soc_xbar_to_dccm_a_data__6),
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[7]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[7]),
 	.Q(u_soc_u_uart_u_uart_core_control[7]),
-	.RESET_B(FE_OFN119_io_out_37),
-	.SCD(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
-	.SCE(n_2245), 
+	.RESET_B(FE_OFN67_io_out_37),
+	.SCD(FE_OFN1717_u_soc_xbar_to_dccm_a_data__7),
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[8]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[8]),
 	.Q(u_soc_u_uart_u_uart_core_control[8]),
-	.RESET_B(FE_OFN153_io_out_37),
+	.RESET_B(FE_OFN67_io_out_37),
 	.SCD(\u_soc_xbar_to_dccm[a_data] [8]),
-	.SCE(n_2245), 
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[9]  (
-	.CLK(CTS_71),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[9]),
 	.Q(u_soc_u_uart_u_uart_core_control[9]),
-	.RESET_B(FE_OFN153_io_out_37),
+	.RESET_B(FE_OFN67_io_out_37),
 	.SCD(\u_soc_xbar_to_dccm[a_data] [9]),
-	.SCE(n_2245), 
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[10]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[10]),
 	.Q(u_soc_u_uart_u_uart_core_control[10]),
-	.RESET_B(FE_OFN153_io_out_37),
+	.RESET_B(FE_OFN67_io_out_37),
 	.SCD(\u_soc_xbar_to_dccm[a_data] [10]),
-	.SCE(n_2245), 
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[11]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[11]),
 	.Q(u_soc_u_uart_u_uart_core_control[11]),
-	.RESET_B(FE_OFN153_io_out_37),
+	.RESET_B(FE_OFN67_io_out_37),
 	.SCD(\u_soc_xbar_to_dccm[a_data] [11]),
-	.SCE(n_2245), 
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[12]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[12]),
 	.Q(u_soc_u_uart_u_uart_core_control[12]),
-	.RESET_B(FE_OFN153_io_out_37),
-	.SCD(\u_soc_xbar_to_dccm[a_data] [12]),
-	.SCE(n_2245), 
+	.RESET_B(FE_OFN67_io_out_37),
+	.SCD(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[13]  (
-	.CLK(CTS_71),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[13]),
 	.Q(u_soc_u_uart_u_uart_core_control[13]),
-	.RESET_B(FE_OFN153_io_out_37),
-	.SCD(\u_soc_xbar_to_dccm[a_data] [13]),
-	.SCE(n_2245), 
+	.RESET_B(FE_OFN67_io_out_37),
+	.SCD(FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[14]  (
-	.CLK(CTS_71),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[14]),
 	.Q(u_soc_u_uart_u_uart_core_control[14]),
-	.RESET_B(FE_OFN153_io_out_37),
+	.RESET_B(FE_OFN67_io_out_37),
 	.SCD(\u_soc_xbar_to_dccm[a_data] [14]),
-	.SCE(n_2245), 
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_control_reg[15]  (
-	.CLK(CTS_46),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_control[15]),
 	.Q(u_soc_u_uart_u_uart_core_control[15]),
-	.RESET_B(FE_OFN153_io_out_37),
+	.RESET_B(FE_OFN67_io_out_37),
 	.SCD(\u_soc_xbar_to_dccm[a_data] [15]),
-	.SCE(n_2245), 
+	.SCE(FE_OFN1114_n_2245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 u_soc_u_uart_u_uart_core_intr_tx_reg (
-	.CLK(CTS_71),
+	.CLK(CTS_64),
 	.D(n_1197),
 	.Q(u_soc_intr_u_tx),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN68_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_read_fifo_buffer_empty_reg (
-	.CLK(CTS_30),
+	.CLK(CTS_16),
 	.D(n_557),
 	.Q(u_soc_u_uart_u_uart_core_read_fifo_buffer_empty),
-	.RESET_B(FE_OFN30_io_out_37),
+	.RESET_B(FE_OFN142_io_out_37),
 	.SCD(u_soc_u_uart_u_uart_core_read_fifo_buffer_empty),
 	.SCE(n_1305), 
 	.VPWR(vccd1), 
@@ -125237,3034 +121867,3034 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][1]  (
-	.CLK(CTS_12),
+	.CLK(CTS_9),
 	.D(n_4741),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [1]),
-	.RESET_B(FE_OFN72_io_out_37), 
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][2]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_4740),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [2]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][3]  (
-	.CLK(CTS_12),
+	.CLK(CTS_7),
 	.D(n_5325),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [3]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][4]  (
-	.CLK(CTS_12),
+	.CLK(CTS_7),
 	.D(n_4738),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [4]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][5]  (
-	.CLK(CTS_12),
+	.CLK(CTS_7),
 	.D(n_4737),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [5]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][6]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_4736),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [6]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][7]  (
-	.CLK(CTS_12),
+	.CLK(CTS_7),
 	.D(n_4735),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [7]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[0][8]  (
-	.CLK(CTS_10),
+	.CLK(CTS_14),
 	.D(n_4734),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][1]  (
-	.CLK(CTS_5),
+	.CLK(CTS_20),
 	.D(n_4733),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [1]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][2]  (
-	.CLK(CTS_5),
+	.CLK(CTS_34),
 	.D(n_4732),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [2]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][3]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_4731),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [3]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][4]  (
-	.CLK(CTS_5),
+	.CLK(CTS_34),
 	.D(n_4730),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [4]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][5]  (
-	.CLK(CTS_5),
+	.CLK(CTS_28),
 	.D(n_4729),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [5]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][6]  (
-	.CLK(CTS_5),
+	.CLK(CTS_28),
 	.D(n_4728),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [6]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][7]  (
-	.CLK(CTS_5),
+	.CLK(CTS_34),
 	.D(n_4727),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [7]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[1][8]  (
-	.CLK(CTS_5),
+	.CLK(CTS_34),
 	.D(n_4726),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [8]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][1]  (
-	.CLK(CTS_1),
+	.CLK(CTS_9),
 	.D(n_4725),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [1]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][2]  (
-	.CLK(CTS_10),
+	.CLK(CTS_9),
 	.D(n_4724),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [2]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][3]  (
-	.CLK(CTS_10),
+	.CLK(CTS_9),
 	.D(n_4723),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [3]),
-	.RESET_B(FE_OFN22_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][4]  (
-	.CLK(CTS_1),
+	.CLK(CTS_9),
 	.D(n_4722),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [4]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][5]  (
-	.CLK(CTS_10),
+	.CLK(CTS_9),
 	.D(n_4721),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [5]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][6]  (
-	.CLK(CTS_10),
+	.CLK(CTS_30),
 	.D(n_4720),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [6]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][7]  (
-	.CLK(CTS_1),
+	.CLK(CTS_9),
 	.D(n_4719),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [7]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[2][8]  (
-	.CLK(CTS_10),
+	.CLK(CTS_9),
 	.D(n_4718),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [8]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_30),
 	.D(n_4717),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [1]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][2]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_4716),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [2]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][3]  (
-	.CLK(CTS_15),
+	.CLK(CTS_32),
 	.D(n_4715),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [3]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][4]  (
-	.CLK(CTS_15),
+	.CLK(CTS_32),
 	.D(n_4714),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [4]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][5]  (
-	.CLK(CTS_15),
+	.CLK(CTS_32),
 	.D(n_4713),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [5]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][6]  (
-	.CLK(CTS_15),
+	.CLK(CTS_32),
 	.D(n_4712),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [6]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][7]  (
-	.CLK(CTS_15),
+	.CLK(CTS_32),
 	.D(n_4711),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [7]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[3][8]  (
-	.CLK(CTS_15),
+	.CLK(CTS_30),
 	.D(n_4710),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [8]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][1]  (
-	.CLK(CTS_4),
+	.CLK(CTS_58),
 	.D(n_4709),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [1]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][2]  (
-	.CLK(CTS_8),
+	.CLK(CTS_63),
 	.D(n_4708),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [2]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][3]  (
-	.CLK(CTS_4),
+	.CLK(CTS_63),
 	.D(n_4706),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [3]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][4]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_4705),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [4]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][5]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_4704),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [5]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][6]  (
-	.CLK(CTS_8),
+	.CLK(CTS_63),
 	.D(n_4703),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [6]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][7]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_4702),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [7]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[4][8]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_4700),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [8]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][1]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_4699),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [1]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][2]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_4698),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [2]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][3]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_4697),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [3]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][4]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_4696),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [4]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][5]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_4695),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [5]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][6]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_4693),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [6]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][7]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_4692),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [7]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[5][8]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_4691),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [8]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][1]  (
-	.CLK(CTS_16),
+	.CLK(CTS_32),
 	.D(n_4690),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [1]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][2]  (
-	.CLK(CTS_2),
+	.CLK(CTS_32),
 	.D(n_4689),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [2]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][3]  (
-	.CLK(CTS_13),
+	.CLK(CTS_32),
 	.D(n_4688),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [3]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][4]  (
-	.CLK(CTS_6),
+	.CLK(CTS_35),
 	.D(n_4687),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [4]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][5]  (
-	.CLK(CTS_6),
+	.CLK(CTS_35),
 	.D(n_4686),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [5]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][6]  (
-	.CLK(CTS_2),
+	.CLK(CTS_35),
 	.D(n_4685),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [6]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][7]  (
-	.CLK(CTS_6),
+	.CLK(CTS_32),
 	.D(n_4684),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [7]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[6][8]  (
-	.CLK(CTS_2),
+	.CLK(CTS_32),
 	.D(n_4683),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [8]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][1]  (
-	.CLK(CTS_1),
+	.CLK(CTS_28),
 	.D(n_4682),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [1]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][2]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_4681),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [2]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][3]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_4680),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [3]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][4]  (
-	.CLK(CTS_9),
+	.CLK(CTS_34),
 	.D(n_4679),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [4]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][5]  (
-	.CLK(CTS_9),
+	.CLK(CTS_28),
 	.D(n_4678),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [5]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][6]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_4677),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [6]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][7]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_4676),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [7]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[7][8]  (
-	.CLK(CTS_9),
+	.CLK(CTS_34),
 	.D(n_4675),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [8]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][1]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_4674),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [1]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][2]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_4673),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [2]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][3]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_4672),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [3]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][4]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_4671),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [4]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][5]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_4670),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [5]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][6]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_4669),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [6]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][7]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_4668),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [7]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[8][8]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_4667),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_35),
 	.D(n_4666),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [1]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][2]  (
-	.CLK(CTS_41),
+	.CLK(CTS_26),
 	.D(n_4664),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [2]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][3]  (
-	.CLK(CTS_41),
+	.CLK(CTS_35),
 	.D(n_4663),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [3]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][4]  (
-	.CLK(CTS_41),
+	.CLK(CTS_20),
 	.D(n_4662),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [4]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_35),
 	.D(n_4661),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [5]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][6]  (
-	.CLK(CTS_15),
+	.CLK(CTS_35),
 	.D(n_4660),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [6]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][7]  (
-	.CLK(CTS_15),
+	.CLK(CTS_35),
 	.D(n_4659),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [7]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[9][8]  (
-	.CLK(CTS_15),
+	.CLK(CTS_35),
 	.D(n_4658),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [8]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][1]  (
-	.CLK(CTS_7),
+	.CLK(CTS_18),
 	.D(n_4657),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [1]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][2]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_5002),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [2]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][3]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_4656),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [3]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][4]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_4655),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [4]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][5]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_4653),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [5]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][6]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_4652),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [6]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][7]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_4651),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [7]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[10][8]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_5014),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [8]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][1]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_4649),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [1]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][2]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_4648),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [2]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][3]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_4647),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [3]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][4]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_4646),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [4]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][5]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_4645),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [5]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][6]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_4644),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [6]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][7]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_4643),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [7]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[11][8]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_4642),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [8]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][1]  (
-	.CLK(CTS_57),
+	.CLK(CTS_56),
 	.D(n_4641),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [1]),
-	.RESET_B(FE_OFN109_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][2]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_4640),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [2]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][3]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_4638),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [3]),
-	.RESET_B(FE_OFN109_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][4]  (
-	.CLK(CTS_16),
-	.D(n_4637),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [4]),
 	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][4]  (
+	.CLK(CTS_56),
+	.D(n_4637),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [4]),
+	.RESET_B(FE_OFN86_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][5]  (
-	.CLK(CTS_57),
+	.CLK(CTS_56),
 	.D(n_4636),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [5]),
-	.RESET_B(FE_OFN109_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][6]  (
-	.CLK(CTS_57),
+	.CLK(CTS_62),
 	.D(n_4635),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [6]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][7]  (
-	.CLK(CTS_57),
+	.CLK(CTS_62),
 	.D(n_4634),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [7]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[12][8]  (
-	.CLK(CTS_57),
+	.CLK(CTS_56),
 	.D(n_4633),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [8]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][1]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_4631),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [1]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][2]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_4629),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [2]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][3]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_4628),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [3]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][4]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_4627),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [4]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][5]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_4626),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [5]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][6]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_4625),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [6]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][7]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_4624),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [7]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[13][8]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_4623),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [8]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][1]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_4622),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [1]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][2]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_4621),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [2]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][3]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_4620),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [3]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][4]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_4619),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [4]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][5]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_4618),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [5]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][6]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_4617),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [6]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][7]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_4616),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [7]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[14][8]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_4615),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [8]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][1]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4614),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [1]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][2]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3149),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [2]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][3]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4612),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [3]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][4]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4611),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [4]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][5]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4610),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [5]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][6]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4609),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [6]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][7]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4608),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [7]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[15][8]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4607),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [8]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][1]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4606),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [1]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][2]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4605),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [2]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][3]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4604),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [3]),
-	.RESET_B(FE_OFN105_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][4]  (
-	.CLK(CTS_39),
-	.D(n_4603),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [4]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][5]  (
-	.CLK(CTS_39),
-	.D(n_4602),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [5]),
-	.RESET_B(FE_OFN105_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][6]  (
-	.CLK(CTS_39),
-	.D(n_4601),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [6]),
-	.RESET_B(FE_OFN71_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][7]  (
-	.CLK(CTS_39),
-	.D(n_4600),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [7]),
-	.RESET_B(FE_OFN71_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][8]  (
-	.CLK(CTS_12),
-	.D(n_4599),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][1]  (
-	.CLK(CTS_30),
-	.D(n_4598),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [1]),
-	.RESET_B(FE_OFN76_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][2]  (
-	.CLK(CTS_43),
-	.D(n_4597),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [2]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][3]  (
-	.CLK(CTS_43),
-	.D(n_4596),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [3]),
-	.RESET_B(FE_OFN58_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][4]  (
-	.CLK(CTS_43),
-	.D(n_4595),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [4]),
-	.RESET_B(FE_OFN76_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][5]  (
-	.CLK(CTS_43),
-	.D(n_4594),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [5]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][6]  (
-	.CLK(CTS_43),
-	.D(n_4593),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [6]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][7]  (
-	.CLK(CTS_43),
-	.D(n_4592),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [7]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][8]  (
-	.CLK(CTS_43),
-	.D(n_4591),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [8]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][1]  (
-	.CLK(CTS_44),
-	.D(n_4590),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [1]),
-	.RESET_B(FE_OFN30_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][2]  (
-	.CLK(CTS_30),
-	.D(n_4589),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [2]),
-	.RESET_B(FE_OFN77_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][3]  (
-	.CLK(CTS_30),
-	.D(n_4588),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [3]),
-	.RESET_B(FE_OFN77_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][4]  (
-	.CLK(CTS_30),
-	.D(n_4586),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [4]),
-	.RESET_B(FE_OFN30_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][5]  (
-	.CLK(CTS_30),
-	.D(n_4585),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [5]),
-	.RESET_B(FE_OFN30_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][6]  (
-	.CLK(CTS_30),
-	.D(n_4584),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [6]),
-	.RESET_B(FE_OFN77_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][7]  (
-	.CLK(CTS_30),
-	.D(n_4583),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [7]),
-	.RESET_B(FE_OFN30_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][8]  (
-	.CLK(CTS_30),
-	.D(n_4581),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [8]),
-	.RESET_B(FE_OFN30_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][1]  (
-	.CLK(CTS_40),
-	.D(n_4580),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [1]),
-	.RESET_B(FE_OFN20_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][2]  (
-	.CLK(CTS_37),
-	.D(n_4579),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [2]),
-	.RESET_B(FE_OFN58_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][3]  (
-	.CLK(CTS_37),
-	.D(n_4161),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [3]),
-	.RESET_B(FE_OFN59_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][4]  (
-	.CLK(CTS_37),
-	.D(n_4578),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [4]),
-	.RESET_B(FE_OFN20_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][5]  (
-	.CLK(CTS_37),
-	.D(n_4577),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [5]),
-	.RESET_B(FE_OFN58_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][6]  (
-	.CLK(CTS_37),
-	.D(n_4576),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [6]),
-	.RESET_B(FE_OFN58_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][7]  (
-	.CLK(CTS_37),
-	.D(n_4575),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [7]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][8]  (
-	.CLK(CTS_37),
-	.D(n_4495),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [8]),
-	.RESET_B(FE_OFN58_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][1]  (
-	.CLK(CTS_38),
-	.D(n_4574),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [1]),
-	.RESET_B(FE_OFN102_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][2]  (
-	.CLK(CTS_38),
-	.D(n_4572),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [2]),
-	.RESET_B(FE_OFN102_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][3]  (
-	.CLK(CTS_8),
-	.D(n_4571),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [3]),
-	.RESET_B(FE_OFN130_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][4]  (
-	.CLK(CTS_38),
-	.D(n_4570),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [4]),
-	.RESET_B(FE_OFN107_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][5]  (
-	.CLK(CTS_57),
-	.D(n_4569),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [5]),
-	.RESET_B(FE_OFN130_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][6]  (
-	.CLK(CTS_38),
-	.D(n_4568),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [6]),
-	.RESET_B(FE_OFN107_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][7]  (
-	.CLK(CTS_38),
-	.D(n_4567),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [7]),
-	.RESET_B(FE_OFN102_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][8]  (
-	.CLK(CTS_8),
-	.D(n_4767),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [8]),
-	.RESET_B(FE_OFN107_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][1]  (
-	.CLK(CTS_32),
-	.D(n_4566),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [1]),
-	.RESET_B(FE_OFN138_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][2]  (
-	.CLK(CTS_28),
-	.D(n_4777),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [2]),
-	.RESET_B(FE_OFN113_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][3]  (
-	.CLK(CTS_32),
-	.D(n_4565),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [3]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][4]  (
-	.CLK(CTS_32),
-	.D(n_4564),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [4]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][5]  (
-	.CLK(CTS_28),
-	.D(n_4563),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [5]),
-	.RESET_B(FE_OFN113_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][6]  (
-	.CLK(CTS_32),
-	.D(n_4562),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [6]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][7]  (
-	.CLK(CTS_32),
-	.D(n_4561),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [7]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][8]  (
-	.CLK(CTS_28),
-	.D(n_4968),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [8]),
-	.RESET_B(FE_OFN113_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][1]  (
-	.CLK(CTS_32),
-	.D(n_4970),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [1]),
-	.RESET_B(FE_OFN129_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][2]  (
-	.CLK(CTS_44),
-	.D(n_4559),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [2]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][3]  (
-	.CLK(CTS_32),
-	.D(n_4558),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [3]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][4]  (
-	.CLK(CTS_32),
-	.D(n_4988),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [4]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][5]  (
-	.CLK(CTS_32),
-	.D(n_4557),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [5]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][6]  (
-	.CLK(CTS_32),
-	.D(n_4556),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [6]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][7]  (
-	.CLK(CTS_32),
-	.D(n_4555),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [7]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][8]  (
-	.CLK(CTS_44),
-	.D(n_4553),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [8]),
-	.RESET_B(FE_OFN139_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][1]  (
-	.CLK(CTS_42),
-	.D(n_4551),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [1]),
-	.RESET_B(FE_OFN18_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][2]  (
-	.CLK(CTS_40),
-	.D(n_4550),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [2]),
-	.RESET_B(FE_OFN57_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][3]  (
-	.CLK(CTS_42),
-	.D(n_5003),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [3]),
-	.RESET_B(FE_OFN57_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][4]  (
-	.CLK(CTS_40),
-	.D(n_4549),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [4]),
-	.RESET_B(FE_OFN57_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][5]  (
-	.CLK(CTS_42),
-	.D(n_4548),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [5]),
-	.RESET_B(FE_OFN18_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][6]  (
-	.CLK(CTS_40),
-	.D(n_5012),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [6]),
-	.RESET_B(FE_OFN21_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][7]  (
-	.CLK(CTS_42),
-	.D(n_4547),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [7]),
-	.RESET_B(FE_OFN57_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][8]  (
-	.CLK(CTS_40),
-	.D(n_5016),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [8]),
-	.RESET_B(FE_OFN57_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][1]  (
-	.CLK(CTS_12),
-	.D(n_5015),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [1]),
-	.RESET_B(FE_OFN126_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][2]  (
-	.CLK(CTS_12),
-	.D(n_4546),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [2]),
-	.RESET_B(FE_OFN126_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][3]  (
-	.CLK(CTS_39),
-	.D(n_4545),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [3]),
-	.RESET_B(FE_OFN126_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][4]  (
-	.CLK(CTS_39),
-	.D(n_4544),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [4]),
-	.RESET_B(FE_OFN126_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][5]  (
-	.CLK(CTS_12),
-	.D(n_4543),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [5]),
-	.RESET_B(FE_OFN126_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][6]  (
-	.CLK(CTS_39),
-	.D(n_4542),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [6]),
-	.RESET_B(FE_OFN126_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][7]  (
-	.CLK(CTS_39),
-	.D(n_4541),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [7]),
-	.RESET_B(FE_OFN126_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][8]  (
-	.CLK(CTS_12),
-	.D(n_4540),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [8]),
-	.RESET_B(FE_OFN126_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][1]  (
-	.CLK(CTS_43),
-	.D(n_4539),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [1]),
-	.RESET_B(FE_OFN76_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][2]  (
-	.CLK(CTS_43),
-	.D(n_5028),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [2]),
-	.RESET_B(FE_OFN58_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][3]  (
-	.CLK(CTS_43),
-	.D(n_4538),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [3]),
-	.RESET_B(FE_OFN58_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][4]  (
-	.CLK(CTS_43),
-	.D(n_4537),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [4]),
-	.RESET_B(FE_OFN58_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][5]  (
-	.CLK(CTS_37),
-	.D(n_4536),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [5]),
-	.RESET_B(FE_OFN20_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][6]  (
-	.CLK(CTS_43),
-	.D(n_4535),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [6]),
-	.RESET_B(FE_OFN58_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][7]  (
-	.CLK(CTS_43),
-	.D(n_4534),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [7]),
-	.RESET_B(FE_OFN58_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][8]  (
-	.CLK(CTS_43),
-	.D(n_4138),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [8]),
-	.RESET_B(FE_OFN58_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][1]  (
-	.CLK(CTS_44),
-	.D(n_3204),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [1]),
-	.RESET_B(FE_OFN139_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][2]  (
-	.CLK(CTS_32),
-	.D(n_4532),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [2]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][3]  (
-	.CLK(CTS_32),
-	.D(n_4531),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [3]),
-	.RESET_B(FE_OFN129_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][4]  (
-	.CLK(CTS_44),
-	.D(n_4529),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [4]),
-	.RESET_B(FE_OFN139_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][5]  (
-	.CLK(CTS_32),
-	.D(n_4528),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [5]),
-	.RESET_B(FE_OFN139_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][6]  (
-	.CLK(CTS_32),
-	.D(n_4527),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [6]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][7]  (
-	.CLK(CTS_44),
-	.D(n_4982),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [7]),
-	.RESET_B(FE_OFN139_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][8]  (
-	.CLK(CTS_44),
-	.D(n_4526),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [8]),
-	.RESET_B(FE_OFN139_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][1]  (
-	.CLK(CTS_3),
-	.D(n_4525),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [1]),
-	.RESET_B(FE_OFN23_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][2]  (
-	.CLK(CTS_41),
-	.D(n_4783),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [2]),
-	.RESET_B(FE_OFN60_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][3]  (
-	.CLK(CTS_41),
-	.D(n_4524),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [3]),
-	.RESET_B(FE_OFN60_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][4]  (
-	.CLK(CTS_41),
-	.D(n_4523),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [4]),
-	.RESET_B(FE_OFN23_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][5]  (
-	.CLK(CTS_41),
-	.D(n_4522),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [5]),
-	.RESET_B(FE_OFN60_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][6]  (
-	.CLK(CTS_41),
-	.D(n_4521),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [6]),
-	.RESET_B(FE_OFN60_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][7]  (
-	.CLK(CTS_41),
-	.D(n_4957),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [7]),
-	.RESET_B(FE_OFN23_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][8]  (
-	.CLK(CTS_41),
-	.D(n_4520),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [8]),
-	.RESET_B(FE_OFN60_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][1]  (
-	.CLK(CTS_38),
-	.D(n_4958),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [1]),
-	.RESET_B(FE_OFN102_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][2]  (
-	.CLK(CTS_38),
-	.D(n_4519),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [2]),
-	.RESET_B(FE_OFN106_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][3]  (
-	.CLK(CTS_38),
-	.D(n_4518),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [3]),
-	.RESET_B(FE_OFN106_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][4]  (
-	.CLK(CTS_38),
-	.D(n_4517),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [4]),
-	.RESET_B(FE_OFN106_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][5]  (
-	.CLK(CTS_39),
-	.D(n_4516),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [5]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][6]  (
-	.CLK(CTS_57),
-	.D(n_4515),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [6]),
-	.RESET_B(FE_OFN106_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][7]  (
-	.CLK(CTS_57),
-	.D(n_4514),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [7]),
-	.RESET_B(FE_OFN106_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][8]  (
-	.CLK(CTS_12),
-	.D(n_4513),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [8]),
-	.RESET_B(FE_OFN108_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][1]  (
-	.CLK(CTS_38),
-	.D(n_4512),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [1]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][2]  (
-	.CLK(CTS_26),
-	.D(n_4511),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [2]),
-	.RESET_B(FE_OFN105_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][3]  (
-	.CLK(CTS_47),
-	.D(n_4510),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [3]),
-	.RESET_B(FE_OFN105_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][4]  (
-	.CLK(CTS_38),
-	.D(n_4509),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [4]),
-	.RESET_B(FE_OFN106_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][5]  (
-	.CLK(CTS_47),
-	.D(n_4823),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [5]),
-	.RESET_B(FE_OFN105_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][6]  (
-	.CLK(CTS_26),
-	.D(n_4508),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [6]),
-	.RESET_B(FE_OFN105_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][7]  (
-	.CLK(CTS_38),
-	.D(n_4507),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [7]),
-	.RESET_B(FE_OFN102_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][8]  (
-	.CLK(CTS_39),
-	.D(n_4782),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [8]),
-	.RESET_B(FE_OFN105_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][1]  (
-	.CLK(CTS_28),
-	.D(n_4506),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [1]),
-	.RESET_B(FE_OFN113_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][2]  (
-	.CLK(CTS_28),
-	.D(n_4505),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [2]),
-	.RESET_B(FE_OFN113_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][3]  (
-	.CLK(CTS_28),
-	.D(n_4504),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [3]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][4]  (
-	.CLK(CTS_28),
-	.D(n_4503),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [4]),
-	.RESET_B(FE_OFN113_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][5]  (
-	.CLK(CTS_28),
-	.D(n_4502),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [5]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][6]  (
-	.CLK(CTS_28),
-	.D(n_4501),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [6]),
-	.RESET_B(FE_OFN113_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][7]  (
-	.CLK(CTS_28),
-	.D(n_4500),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [7]),
-	.RESET_B(FE_OFN113_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][8]  (
-	.CLK(CTS_28),
-	.D(n_4357),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [8]),
-	.RESET_B(FE_OFN113_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][1]  (
-	.CLK(CTS_10),
-	.D(n_4498),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [1]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][2]  (
-	.CLK(CTS_40),
-	.D(n_4497),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [2]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][3]  (
-	.CLK(CTS_40),
-	.D(n_4496),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [3]),
-	.RESET_B(FE_OFN21_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][4]  (
-	.CLK(CTS_40),
-	.D(n_4489),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [4]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][5]  (
-	.CLK(CTS_42),
-	.D(n_4494),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [5]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][6]  (
-	.CLK(CTS_40),
-	.D(n_4707),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [6]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][7]  (
-	.CLK(CTS_10),
-	.D(n_4754),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [7]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][8]  (
-	.CLK(CTS_40),
-	.D(n_5075),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [8]),
-	.RESET_B(FE_OFN21_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][1]  (
-	.CLK(CTS_10),
-	.D(n_4491),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [1]),
-	.RESET_B(FE_OFN127_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][2]  (
-	.CLK(CTS_12),
-	.D(n_4490),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [2]),
-	.RESET_B(FE_OFN127_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][3]  (
-	.CLK(CTS_12),
-	.D(n_4488),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [3]),
-	.RESET_B(FE_OFN70_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][4]  (
-	.CLK(CTS_7),
-	.D(n_4487),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [4]),
-	.RESET_B(FE_OFN127_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][5]  (
-	.CLK(CTS_12),
-	.D(n_4485),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [5]),
-	.RESET_B(FE_OFN127_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][6]  (
-	.CLK(CTS_12),
-	.D(n_4484),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [6]),
-	.RESET_B(FE_OFN108_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][7]  (
-	.CLK(CTS_12),
-	.D(n_4483),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [7]),
-	.RESET_B(FE_OFN131_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][8]  (
-	.CLK(CTS_10),
-	.D(n_4482),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][1]  (
-	.CLK(CTS_5),
-	.D(n_4481),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [1]),
-	.RESET_B(FE_OFN74_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][2]  (
-	.CLK(CTS_5),
-	.D(n_4790),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [2]),
-	.RESET_B(FE_OFN128_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][3]  (
-	.CLK(CTS_5),
-	.D(n_4807),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [3]),
-	.RESET_B(FE_OFN128_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][4]  (
-	.CLK(CTS_5),
-	.D(n_4808),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [4]),
-	.RESET_B(FE_OFN74_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][5]  (
-	.CLK(CTS_5),
-	.D(n_4480),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [5]),
-	.RESET_B(FE_OFN74_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][6]  (
-	.CLK(CTS_5),
-	.D(n_4995),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [6]),
-	.RESET_B(FE_OFN128_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][7]  (
-	.CLK(CTS_5),
-	.D(n_4479),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [7]),
-	.RESET_B(FE_OFN128_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][8]  (
-	.CLK(CTS_5),
-	.D(n_4962),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [8]),
-	.RESET_B(FE_OFN128_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][1]  (
-	.CLK(CTS_1),
-	.D(n_4965),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [1]),
-	.RESET_B(FE_OFN64_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][2]  (
-	.CLK(CTS_1),
-	.D(n_4478),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [2]),
-	.RESET_B(FE_OFN64_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][3]  (
-	.CLK(CTS_1),
-	.D(n_4477),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [3]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][4]  (
-	.CLK(CTS_1),
-	.D(n_4476),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [4]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][5]  (
-	.CLK(CTS_1),
-	.D(n_4475),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [5]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][6]  (
-	.CLK(CTS_1),
-	.D(n_4474),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [6]),
-	.RESET_B(FE_OFN64_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][7]  (
-	.CLK(CTS_1),
-	.D(n_4473),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [7]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][8]  (
-	.CLK(CTS_1),
-	.D(n_4472),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [8]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][1]  (
-	.CLK(CTS_15),
-	.D(n_4471),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [1]),
-	.RESET_B(FE_OFN123_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][2]  (
-	.CLK(CTS_15),
-	.D(n_4879),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [2]),
-	.RESET_B(FE_OFN123_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][3]  (
-	.CLK(CTS_15),
-	.D(n_4470),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [3]),
-	.RESET_B(FE_OFN123_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][4]  (
-	.CLK(CTS_15),
-	.D(n_4469),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [4]),
-	.RESET_B(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][5]  (
-	.CLK(CTS_15),
-	.D(n_4468),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [5]),
-	.RESET_B(FE_OFN123_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][6]  (
-	.CLK(CTS_15),
-	.D(n_5241),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [6]),
-	.RESET_B(FE_OFN123_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][7]  (
-	.CLK(CTS_15),
-	.D(n_4467),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [7]),
-	.RESET_B(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][8]  (
-	.CLK(CTS_15),
-	.D(n_4963),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [8]),
-	.RESET_B(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][1]  (
-	.CLK(CTS_4),
-	.D(n_4466),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [1]),
-	.RESET_B(FE_OFN110_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][2]  (
-	.CLK(CTS_8),
-	.D(n_4465),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [2]),
-	.RESET_B(FE_OFN130_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][3]  (
-	.CLK(CTS_8),
-	.D(n_4464),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [3]),
-	.RESET_B(FE_OFN110_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][4]  (
-	.CLK(CTS_4),
-	.D(n_4463),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [4]),
-	.RESET_B(FE_OFN110_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][5]  (
-	.CLK(CTS_4),
-	.D(n_4462),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [5]),
-	.RESET_B(FE_OFN133_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][6]  (
-	.CLK(CTS_8),
-	.D(n_4461),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [6]),
-	.RESET_B(FE_OFN130_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][7]  (
-	.CLK(CTS_4),
-	.D(n_4967),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [7]),
-	.RESET_B(FE_OFN110_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][8]  (
-	.CLK(CTS_8),
-	.D(n_4460),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [8]),
-	.RESET_B(FE_OFN130_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][1]  (
-	.CLK(CTS_2),
-	.D(n_4459),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [1]),
-	.RESET_B(FE_OFN125_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][2]  (
-	.CLK(CTS_2),
-	.D(n_4457),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [2]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][3]  (
-	.CLK(CTS_2),
-	.D(n_4456),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [3]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][4]  (
-	.CLK(CTS_2),
-	.D(n_4455),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [4]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][5]  (
-	.CLK(CTS_2),
-	.D(n_4454),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [5]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][6]  (
-	.CLK(CTS_2),
-	.D(n_4453),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [6]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][7]  (
-	.CLK(CTS_2),
-	.D(n_4452),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [7]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][8]  (
-	.CLK(CTS_2),
-	.D(n_4451),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [8]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][1]  (
-	.CLK(CTS_16),
-	.D(n_3476),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [1]),
-	.RESET_B(FE_OFN134_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][2]  (
-	.CLK(CTS_13),
-	.D(n_4450),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [2]),
-	.RESET_B(FE_OFN68_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][3]  (
-	.CLK(CTS_6),
-	.D(n_4202),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [3]),
-	.RESET_B(FE_OFN134_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][4]  (
-	.CLK(CTS_13),
-	.D(n_4449),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [4]),
-	.RESET_B(FE_OFN124_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][5]  (
-	.CLK(CTS_13),
-	.D(n_4448),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [5]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][6]  (
-	.CLK(CTS_2),
-	.D(n_4298),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [6]),
-	.RESET_B(FE_OFN125_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][7]  (
-	.CLK(CTS_13),
-	.D(n_5235),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [7]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][8]  (
-	.CLK(CTS_13),
-	.D(n_4447),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [8]),
-	.RESET_B(FE_OFN68_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][1]  (
-	.CLK(CTS_11),
-	.D(n_4779),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [1]),
-	.RESET_B(FE_OFN124_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][2]  (
-	.CLK(CTS_11),
-	.D(n_4446),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [2]),
 	.RESET_B(FE_OFN122_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][4]  (
+	.CLK(CTS_7),
+	.D(n_4603),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [4]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][5]  (
+	.CLK(CTS_7),
+	.D(n_4602),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [5]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][6]  (
+	.CLK(CTS_7),
+	.D(n_4601),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [6]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][7]  (
+	.CLK(CTS_7),
+	.D(n_4600),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [7]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[16][8]  (
+	.CLK(CTS_7),
+	.D(n_4599),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [8]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][1]  (
+	.CLK(CTS_22),
+	.D(n_4598),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [1]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][2]  (
+	.CLK(CTS_21),
+	.D(n_4597),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [2]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][3]  (
+	.CLK(CTS_22),
+	.D(n_4596),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [3]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][4]  (
+	.CLK(CTS_21),
+	.D(n_4595),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [4]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][5]  (
+	.CLK(CTS_21),
+	.D(n_4594),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [5]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][6]  (
+	.CLK(CTS_21),
+	.D(n_4593),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [6]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][7]  (
+	.CLK(CTS_21),
+	.D(n_4592),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [7]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[17][8]  (
+	.CLK(CTS_21),
+	.D(n_4591),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [8]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][1]  (
+	.CLK(CTS_10),
+	.D(n_4590),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [1]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][2]  (
+	.CLK(CTS_10),
+	.D(n_4589),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [2]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][3]  (
+	.CLK(CTS_10),
+	.D(n_4588),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [3]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][4]  (
+	.CLK(CTS_10),
+	.D(n_4586),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [4]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][5]  (
+	.CLK(CTS_15),
+	.D(n_4585),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [5]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][6]  (
+	.CLK(CTS_10),
+	.D(n_4584),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [6]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][7]  (
+	.CLK(CTS_10),
+	.D(n_4583),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [7]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[18][8]  (
+	.CLK(CTS_10),
+	.D(n_4581),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [8]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][1]  (
+	.CLK(CTS_23),
+	.D(n_4580),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [1]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][2]  (
+	.CLK(CTS_21),
+	.D(n_4579),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [2]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][3]  (
+	.CLK(CTS_21),
+	.D(n_4161),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [3]),
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][4]  (
+	.CLK(CTS_21),
+	.D(n_4578),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [4]),
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][5]  (
+	.CLK(CTS_12),
+	.D(n_4577),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [5]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][6]  (
+	.CLK(CTS_21),
+	.D(n_4576),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [6]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][7]  (
+	.CLK(CTS_21),
+	.D(n_4575),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [7]),
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[19][8]  (
+	.CLK(CTS_21),
+	.D(n_4495),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [8]),
+	.RESET_B(FE_OFN25_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][1]  (
+	.CLK(CTS_54),
+	.D(n_4574),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [1]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][2]  (
+	.CLK(CTS_54),
+	.D(n_4572),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [2]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][3]  (
+	.CLK(CTS_63),
+	.D(n_4571),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [3]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][4]  (
+	.CLK(CTS_54),
+	.D(n_4570),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [4]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][5]  (
+	.CLK(CTS_58),
+	.D(n_4569),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [5]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][6]  (
+	.CLK(CTS_54),
+	.D(n_4568),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [6]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][7]  (
+	.CLK(CTS_54),
+	.D(n_4567),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [7]),
+	.RESET_B(FE_OFN123_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[20][8]  (
+	.CLK(CTS_63),
+	.D(n_4767),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [8]),
+	.RESET_B(FE_OFN140_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][1]  (
+	.CLK(CTS_27),
+	.D(n_4566),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [1]),
+	.RESET_B(FE_OFN39_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][2]  (
+	.CLK(CTS_27),
+	.D(n_4777),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [2]),
+	.RESET_B(FE_OFN88_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][3]  (
+	.CLK(CTS_27),
+	.D(n_4565),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [3]),
+	.RESET_B(FE_OFN88_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][4]  (
+	.CLK(CTS_27),
+	.D(n_4564),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [4]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][5]  (
+	.CLK(CTS_27),
+	.D(n_4563),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [5]),
+	.RESET_B(FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][6]  (
+	.CLK(CTS_27),
+	.D(n_4562),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [6]),
+	.RESET_B(FE_OFN88_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][7]  (
+	.CLK(CTS_27),
+	.D(n_4561),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [7]),
+	.RESET_B(FE_OFN88_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[21][8]  (
+	.CLK(CTS_26),
+	.D(n_4968),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [8]),
+	.RESET_B(FE_OFN88_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][1]  (
+	.CLK(CTS_29),
+	.D(n_4970),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [1]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][2]  (
+	.CLK(CTS_14),
+	.D(n_4559),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [2]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][3]  (
+	.CLK(CTS_29),
+	.D(n_4558),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [3]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][4]  (
+	.CLK(CTS_29),
+	.D(n_4988),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [4]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][5]  (
+	.CLK(CTS_29),
+	.D(n_4557),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [5]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][6]  (
+	.CLK(CTS_29),
+	.D(n_4556),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [6]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][7]  (
+	.CLK(CTS_29),
+	.D(n_4555),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [7]),
+	.RESET_B(FE_OFN23_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[22][8]  (
+	.CLK(CTS_29),
+	.D(n_4553),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [8]),
+	.RESET_B(FE_OFN22_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][1]  (
+	.CLK(CTS_24),
+	.D(n_4551),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [1]),
+	.RESET_B(FE_OFN37_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][2]  (
+	.CLK(CTS_23),
+	.D(n_4550),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [2]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][3]  (
+	.CLK(CTS_23),
+	.D(n_5003),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [3]),
+	.RESET_B(FE_OFN91_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][4]  (
+	.CLK(CTS_23),
+	.D(n_4549),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [4]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][5]  (
+	.CLK(CTS_23),
+	.D(n_4548),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [5]),
+	.RESET_B(FE_OFN37_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][6]  (
+	.CLK(CTS_23),
+	.D(n_5012),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [6]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][7]  (
+	.CLK(CTS_23),
+	.D(n_4547),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [7]),
+	.RESET_B(FE_OFN91_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[23][8]  (
+	.CLK(CTS_23),
+	.D(n_5016),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [8]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][1]  (
+	.CLK(CTS_10),
+	.D(n_5015),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [1]),
+	.RESET_B(FE_OFN45_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][2]  (
+	.CLK(CTS_16),
+	.D(n_4546),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [2]),
+	.RESET_B(FE_OFN45_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][3]  (
+	.CLK(CTS_16),
+	.D(n_4545),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [3]),
+	.RESET_B(FE_OFN127_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][4]  (
+	.CLK(CTS_16),
+	.D(n_4544),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [4]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][5]  (
+	.CLK(CTS_16),
+	.D(n_4543),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [5]),
+	.RESET_B(FE_OFN142_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][6]  (
+	.CLK(CTS_16),
+	.D(n_4542),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [6]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][7]  (
+	.CLK(CTS_16),
+	.D(n_4541),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [7]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[24][8]  (
+	.CLK(CTS_16),
+	.D(n_4540),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [8]),
+	.RESET_B(FE_OFN45_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][1]  (
+	.CLK(CTS_23),
+	.D(n_4539),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [1]),
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][2]  (
+	.CLK(CTS_23),
+	.D(n_5028),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [2]),
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][3]  (
+	.CLK(CTS_23),
+	.D(n_4538),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [3]),
+	.RESET_B(FE_OFN37_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][4]  (
+	.CLK(CTS_23),
+	.D(n_4537),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [4]),
+	.RESET_B(FE_OFN37_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][5]  (
+	.CLK(CTS_23),
+	.D(n_4536),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [5]),
+	.RESET_B(FE_OFN37_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][6]  (
+	.CLK(CTS_23),
+	.D(n_4535),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [6]),
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][7]  (
+	.CLK(CTS_23),
+	.D(n_4534),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [7]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[25][8]  (
+	.CLK(CTS_22),
+	.D(n_4138),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [8]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][1]  (
+	.CLK(CTS_12),
+	.D(n_3204),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [1]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][2]  (
+	.CLK(CTS_12),
+	.D(n_4532),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [2]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][3]  (
+	.CLK(CTS_10),
+	.D(n_4531),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [3]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][4]  (
+	.CLK(CTS_10),
+	.D(n_4529),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [4]),
+	.RESET_B(FE_OFN128_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][5]  (
+	.CLK(CTS_12),
+	.D(n_4528),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [5]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][6]  (
+	.CLK(CTS_12),
+	.D(n_4527),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [6]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][7]  (
+	.CLK(CTS_12),
+	.D(n_4982),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [7]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[26][8]  (
+	.CLK(CTS_12),
+	.D(n_4526),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [8]),
+	.RESET_B(FE_OFN144_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][1]  (
+	.CLK(CTS_19),
+	.D(n_4525),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [1]),
+	.RESET_B(FE_OFN44_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][2]  (
+	.CLK(CTS_12),
+	.D(n_4783),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [2]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][3]  (
+	.CLK(CTS_12),
+	.D(n_4524),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [3]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][4]  (
+	.CLK(CTS_12),
+	.D(n_4523),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [4]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][5]  (
+	.CLK(CTS_12),
+	.D(n_4522),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [5]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][6]  (
+	.CLK(CTS_12),
+	.D(n_4521),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [6]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][7]  (
+	.CLK(CTS_12),
+	.D(n_4957),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [7]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[27][8]  (
+	.CLK(CTS_12),
+	.D(n_4520),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [8]),
+	.RESET_B(FE_OFN145_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][1]  (
+	.CLK(CTS_63),
+	.D(n_4958),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [1]),
+	.RESET_B(FE_OFN121_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][2]  (
+	.CLK(CTS_58),
+	.D(n_4519),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [2]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][3]  (
+	.CLK(CTS_63),
+	.D(n_4518),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [3]),
+	.RESET_B(FE_OFN121_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][4]  (
+	.CLK(CTS_58),
+	.D(n_4517),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [4]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][5]  (
+	.CLK(CTS_58),
+	.D(n_4516),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [5]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][6]  (
+	.CLK(CTS_63),
+	.D(n_4515),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [6]),
+	.RESET_B(FE_OFN121_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][7]  (
+	.CLK(CTS_63),
+	.D(n_4514),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [7]),
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[28][8]  (
+	.CLK(CTS_7),
+	.D(n_4513),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [8]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][1]  (
+	.CLK(CTS_22),
+	.D(n_4512),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [1]),
+	.RESET_B(FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][2]  (
+	.CLK(CTS_22),
+	.D(n_4511),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [2]),
+	.RESET_B(FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][3]  (
+	.CLK(CTS_27),
+	.D(n_4510),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [3]),
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][4]  (
+	.CLK(CTS_22),
+	.D(n_4509),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [4]),
+	.RESET_B(FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][5]  (
+	.CLK(CTS_22),
+	.D(n_4823),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [5]),
+	.RESET_B(FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][6]  (
+	.CLK(CTS_22),
+	.D(n_4508),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [6]),
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][7]  (
+	.CLK(CTS_22),
+	.D(n_4507),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [7]),
+	.RESET_B(FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[29][8]  (
+	.CLK(CTS_22),
+	.D(n_4782),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [8]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][1]  (
+	.CLK(CTS_19),
+	.D(n_4506),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [1]),
+	.RESET_B(FE_OFN24_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][2]  (
+	.CLK(CTS_24),
+	.D(n_4505),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [2]),
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][3]  (
+	.CLK(CTS_31),
+	.D(n_4504),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [3]),
+	.RESET_B(FE_OFN24_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][4]  (
+	.CLK(CTS_31),
+	.D(n_4503),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [4]),
+	.RESET_B(FE_OFN27_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][5]  (
+	.CLK(CTS_31),
+	.D(n_4502),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [5]),
+	.RESET_B(FE_OFN24_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][6]  (
+	.CLK(CTS_31),
+	.D(n_4501),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [6]),
+	.RESET_B(FE_OFN27_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][7]  (
+	.CLK(CTS_31),
+	.D(n_4500),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [7]),
+	.RESET_B(FE_OFN27_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[30][8]  (
+	.CLK(CTS_24),
+	.D(n_4357),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [8]),
+	.RESET_B(FE_OFN27_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][1]  (
+	.CLK(CTS_19),
+	.D(n_4498),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [1]),
+	.RESET_B(FE_OFN24_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][2]  (
+	.CLK(CTS_19),
+	.D(n_4497),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [2]),
+	.RESET_B(FE_OFN44_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][3]  (
+	.CLK(CTS_19),
+	.D(n_4496),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [3]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][4]  (
+	.CLK(CTS_19),
+	.D(n_4489),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [4]),
+	.RESET_B(FE_OFN44_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][5]  (
+	.CLK(CTS_19),
+	.D(n_4494),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [5]),
+	.RESET_B(FE_OFN24_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][6]  (
+	.CLK(CTS_19),
+	.D(n_4707),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [6]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][7]  (
+	.CLK(CTS_19),
+	.D(n_4754),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [7]),
+	.RESET_B(FE_OFN24_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[31][8]  (
+	.CLK(CTS_19),
+	.D(n_5075),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [8]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][1]  (
+	.CLK(CTS_9),
+	.D(n_4491),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [1]),
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][2]  (
+	.CLK(CTS_9),
+	.D(n_4490),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [2]),
+	.RESET_B(FE_OFN86_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][3]  (
+	.CLK(CTS_56),
+	.D(n_4488),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [3]),
+	.RESET_B(FE_OFN86_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][4]  (
+	.CLK(CTS_9),
+	.D(n_4487),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [4]),
+	.RESET_B(FE_OFN36_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][5]  (
+	.CLK(CTS_56),
+	.D(n_4485),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [5]),
+	.RESET_B(FE_OFN36_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][6]  (
+	.CLK(CTS_56),
+	.D(n_4484),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [6]),
+	.RESET_B(FE_OFN36_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][7]  (
+	.CLK(CTS_56),
+	.D(n_4483),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [7]),
+	.RESET_B(FE_OFN36_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[32][8]  (
+	.CLK(CTS_9),
+	.D(n_4482),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [8]),
+	.RESET_B(FE_OFN36_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][1]  (
+	.CLK(CTS_20),
+	.D(n_4481),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [1]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][2]  (
+	.CLK(CTS_35),
+	.D(n_4790),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [2]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][3]  (
+	.CLK(CTS_35),
+	.D(n_4807),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [3]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][4]  (
+	.CLK(CTS_35),
+	.D(n_4808),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [4]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][5]  (
+	.CLK(CTS_35),
+	.D(n_4480),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [5]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][6]  (
+	.CLK(CTS_35),
+	.D(n_4995),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [6]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][7]  (
+	.CLK(CTS_35),
+	.D(n_4479),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [7]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[33][8]  (
+	.CLK(CTS_20),
+	.D(n_4962),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [8]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][1]  (
+	.CLK(CTS_30),
+	.D(n_4965),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [1]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][2]  (
+	.CLK(CTS_30),
+	.D(n_4478),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [2]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][3]  (
+	.CLK(CTS_30),
+	.D(n_4477),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [3]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][4]  (
+	.CLK(CTS_30),
+	.D(n_4476),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [4]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][5]  (
+	.CLK(CTS_30),
+	.D(n_4475),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [5]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][6]  (
+	.CLK(CTS_30),
+	.D(n_4474),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [6]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][7]  (
+	.CLK(CTS_30),
+	.D(n_4473),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [7]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[34][8]  (
+	.CLK(CTS_30),
+	.D(n_4472),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [8]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][1]  (
+	.CLK(CTS_18),
+	.D(n_4471),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [1]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][2]  (
+	.CLK(CTS_13),
+	.D(n_4879),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [2]),
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][3]  (
+	.CLK(CTS_18),
+	.D(n_4470),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [3]),
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][4]  (
+	.CLK(CTS_13),
+	.D(n_4469),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [4]),
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][5]  (
+	.CLK(CTS_18),
+	.D(n_4468),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [5]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][6]  (
+	.CLK(CTS_13),
+	.D(n_5241),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [6]),
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][7]  (
+	.CLK(CTS_13),
+	.D(n_4467),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [7]),
+	.RESET_B(FE_OFN34_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[35][8]  (
+	.CLK(CTS_13),
+	.D(n_4963),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [8]),
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][1]  (
+	.CLK(CTS_56),
+	.D(n_4466),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [1]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][2]  (
+	.CLK(CTS_62),
+	.D(n_4465),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [2]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][3]  (
+	.CLK(CTS_62),
+	.D(n_4464),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [3]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][4]  (
+	.CLK(CTS_62),
+	.D(n_4463),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [4]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][5]  (
+	.CLK(CTS_62),
+	.D(n_4462),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [5]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][6]  (
+	.CLK(CTS_62),
+	.D(n_4461),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [6]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][7]  (
+	.CLK(CTS_62),
+	.D(n_4967),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [7]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[36][8]  (
+	.CLK(CTS_62),
+	.D(n_4460),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [8]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][1]  (
+	.CLK(CTS_34),
+	.D(n_4459),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [1]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][2]  (
+	.CLK(CTS_20),
+	.D(n_4457),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [2]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][3]  (
+	.CLK(CTS_34),
+	.D(n_4456),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [3]),
+	.RESET_B(FE_OFN18800_FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][4]  (
+	.CLK(CTS_34),
+	.D(n_4455),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [4]),
+	.RESET_B(FE_OFN89_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][5]  (
+	.CLK(CTS_34),
+	.D(n_4454),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [5]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][6]  (
+	.CLK(CTS_34),
+	.D(n_4453),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [6]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][7]  (
+	.CLK(CTS_34),
+	.D(n_4452),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [7]),
+	.RESET_B(FE_OFN18800_FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[37][8]  (
+	.CLK(CTS_34),
+	.D(n_4451),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [8]),
+	.RESET_B(FE_OFN89_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][1]  (
+	.CLK(CTS_32),
+	.D(n_3476),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [1]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][2]  (
+	.CLK(CTS_32),
+	.D(n_4450),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [2]),
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][3]  (
+	.CLK(CTS_32),
+	.D(n_4202),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [3]),
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][4]  (
+	.CLK(CTS_30),
+	.D(n_4449),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [4]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][5]  (
+	.CLK(CTS_30),
+	.D(n_4448),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [5]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][6]  (
+	.CLK(CTS_32),
+	.D(n_4298),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [6]),
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][7]  (
+	.CLK(CTS_30),
+	.D(n_5235),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [7]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[38][8]  (
+	.CLK(CTS_32),
+	.D(n_4447),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [8]),
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][1]  (
+	.CLK(CTS_28),
+	.D(n_4779),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [1]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][2]  (
+	.CLK(CTS_28),
+	.D(n_4446),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [2]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][3]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_4582),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [3]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][4]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_4445),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [4]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][5]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_4613),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [5]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][6]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_4444),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [6]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][7]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_4443),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [7]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[39][8]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_4632),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [8]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][1]  (
-	.CLK(CTS_13),
+	.CLK(CTS_9),
 	.D(n_4639),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [1]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][2]  (
-	.CLK(CTS_13),
+	.CLK(CTS_11),
 	.D(n_4441),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [2]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][3]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_4440),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [3]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][4]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_4748),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [4]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][5]  (
-	.CLK(CTS_7),
+	.CLK(CTS_11),
 	.D(n_4439),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [5]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][6]  (
-	.CLK(CTS_7),
+	.CLK(CTS_11),
 	.D(n_4789),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [6]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][7]  (
-	.CLK(CTS_13),
+	.CLK(CTS_9),
 	.D(n_4438),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [7]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[40][8]  (
-	.CLK(CTS_7),
+	.CLK(CTS_11),
 	.D(n_4436),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [8]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][1]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4888),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [1]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][2]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4434),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [2]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][3]  (
-	.CLK(CTS_41),
+	.CLK(CTS_26),
 	.D(n_4433),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [3]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][4]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4432),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [4]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_24),
 	.D(n_4893),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [5]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][6]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4430),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [6]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][7]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4895),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [7]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[41][8]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4428),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [8]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][1]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_4427),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [1]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -128273,7 +124903,7 @@
 	.CLK(CTS_13),
 	.D(n_4426),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [2]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -128282,16 +124912,16 @@
 	.CLK(CTS_13),
 	.D(n_4425),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [3]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][4]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_4424),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [4]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -128300,7 +124930,7 @@
 	.CLK(CTS_13),
 	.D(n_4423),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [5]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -128309,313 +124939,313 @@
 	.CLK(CTS_13),
 	.D(n_3980),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [6]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][7]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_4422),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [7]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[42][8]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_4421),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [8]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][1]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_4420),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [1]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][2]  (
-	.CLK(CTS_1),
+	.CLK(CTS_11),
 	.D(n_4419),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [2]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][3]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_4321),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [3]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][4]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_4418),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [4]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][5]  (
-	.CLK(CTS_1),
+	.CLK(CTS_11),
 	.D(n_4417),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [5]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][6]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_4416),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [6]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][7]  (
-	.CLK(CTS_1),
+	.CLK(CTS_11),
 	.D(n_4811),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [7]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[43][8]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_4415),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [8]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][1]  (
-	.CLK(CTS_16),
+	.CLK(CTS_8),
 	.D(n_4414),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [1]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][2]  (
-	.CLK(CTS_16),
+	.CLK(CTS_8),
 	.D(n_4413),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [2]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][3]  (
-	.CLK(CTS_16),
+	.CLK(CTS_8),
 	.D(n_4412),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [3]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][4]  (
-	.CLK(CTS_16),
+	.CLK(CTS_11),
 	.D(n_4411),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [4]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][5]  (
-	.CLK(CTS_13),
+	.CLK(CTS_11),
 	.D(n_4890),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [5]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][6]  (
-	.CLK(CTS_16),
+	.CLK(CTS_8),
 	.D(n_4410),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [6]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][7]  (
-	.CLK(CTS_16),
+	.CLK(CTS_8),
 	.D(n_4409),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [7]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[44][8]  (
-	.CLK(CTS_16),
+	.CLK(CTS_11),
 	.D(n_4408),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [8]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][1]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_4896),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [1]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][2]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_4407),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [2]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][3]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_3150),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [3]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][4]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_4406),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [4]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][5]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_4405),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [5]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][6]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_4195),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [6]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][7]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_5276),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [7]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[45][8]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_4404),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [8]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][1]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4403),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [1]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][2]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4891),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [2]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][3]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4402),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [3]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][4]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4401),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [4]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][5]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4400),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [5]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][6]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4399),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [6]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][7]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4398),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [7]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[46][8]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4397),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [8]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -128624,16 +125254,16 @@
 	.CLK(CTS_11),
 	.D(n_4396),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [1]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][2]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_4395),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [2]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -128642,16 +125272,16 @@
 	.CLK(CTS_11),
 	.D(n_4394),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [3]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][4]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_4794),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [4]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -128660,7 +125290,7 @@
 	.CLK(CTS_11),
 	.D(n_4393),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [5]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -128669,16 +125299,16 @@
 	.CLK(CTS_11),
 	.D(n_4392),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [6]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[47][7]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_4391),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [7]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -128687,367 +125317,367 @@
 	.CLK(CTS_11),
 	.D(n_4390),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [8]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][1]  (
-	.CLK(CTS_12),
+	.CLK(CTS_14),
 	.D(n_4389),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [1]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][2]  (
-	.CLK(CTS_42),
+	.CLK(CTS_7),
 	.D(n_4881),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [2]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][3]  (
-	.CLK(CTS_26),
+	.CLK(CTS_7),
 	.D(n_4880),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [3]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][4]  (
-	.CLK(CTS_39),
+	.CLK(CTS_14),
 	.D(n_4386),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [4]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_7),
 	.D(n_4385),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [5]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][6]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4964),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [6]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][7]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4384),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [7]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[48][8]  (
-	.CLK(CTS_42),
+	.CLK(CTS_7),
 	.D(n_4972),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [8]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][1]  (
-	.CLK(CTS_40),
+	.CLK(CTS_22),
 	.D(n_4383),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [1]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][2]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4382),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [2]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][3]  (
-	.CLK(CTS_40),
+	.CLK(CTS_22),
 	.D(n_4381),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [3]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][4]  (
-	.CLK(CTS_37),
+	.CLK(CTS_22),
 	.D(n_4974),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [4]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][5]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4380),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [5]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][6]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4379),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [6]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][7]  (
-	.CLK(CTS_37),
+	.CLK(CTS_22),
 	.D(n_4378),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [7]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN38_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[49][8]  (
-	.CLK(CTS_37),
+	.CLK(CTS_22),
 	.D(n_5187),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [8]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN38_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_4493),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [1]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][2]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_4377),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [2]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][3]  (
-	.CLK(CTS_40),
+	.CLK(CTS_14),
 	.D(n_4376),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [3]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][4]  (
-	.CLK(CTS_40),
+	.CLK(CTS_14),
 	.D(n_4375),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [4]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][5]  (
-	.CLK(CTS_40),
+	.CLK(CTS_14),
 	.D(n_4374),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [5]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][6]  (
-	.CLK(CTS_40),
+	.CLK(CTS_14),
 	.D(n_4373),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [6]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][7]  (
-	.CLK(CTS_40),
+	.CLK(CTS_14),
 	.D(n_4372),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [7]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[50][8]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_4371),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [8]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][1]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4370),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [1]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][2]  (
-	.CLK(CTS_41),
+	.CLK(CTS_12),
 	.D(n_4369),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [2]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][3]  (
-	.CLK(CTS_41),
+	.CLK(CTS_23),
 	.D(n_4368),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [3]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][4]  (
-	.CLK(CTS_41),
+	.CLK(CTS_23),
 	.D(n_4367),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [4]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][5]  (
-	.CLK(CTS_41),
+	.CLK(CTS_21),
 	.D(n_4486),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [5]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][6]  (
-	.CLK(CTS_37),
+	.CLK(CTS_19),
 	.D(n_4366),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [6]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][7]  (
-	.CLK(CTS_41),
+	.CLK(CTS_19),
 	.D(n_4560),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [7]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[51][8]  (
-	.CLK(CTS_37),
+	.CLK(CTS_12),
 	.D(n_4365),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [8]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][1]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_4364),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [1]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN140_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][2]  (
-	.CLK(CTS_38),
+	.CLK(CTS_54),
 	.D(n_4363),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [2]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][3]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_4979),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [3]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN18805_FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][4]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(n_4362),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [4]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][5]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_4985),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [5]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][6]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(n_4361),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [6]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][7]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(n_4360),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [7]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[52][8]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_4359),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [8]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN18805_FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -129056,7 +125686,7 @@
 	.CLK(CTS_26),
 	.D(n_4762),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [1]),
-	.RESET_B(FE_OFN104_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -129065,7 +125695,7 @@
 	.CLK(CTS_26),
 	.D(n_4358),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [2]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -129074,7 +125704,7 @@
 	.CLK(CTS_26),
 	.D(n_4775),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [3]),
-	.RESET_B(FE_OFN104_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -129083,7 +125713,7 @@
 	.CLK(CTS_26),
 	.D(n_4987),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [4]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -129092,7 +125722,7 @@
 	.CLK(CTS_26),
 	.D(n_4356),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [5]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -129101,7 +125731,7 @@
 	.CLK(CTS_26),
 	.D(n_4355),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [6]),
-	.RESET_B(FE_OFN104_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -129110,7 +125740,7 @@
 	.CLK(CTS_26),
 	.D(n_4354),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [7]),
-	.RESET_B(FE_OFN104_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -129119,2239 +125749,2239 @@
 	.CLK(CTS_26),
 	.D(n_4353),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [8]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][1]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4747),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [1]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][2]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4351),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [2]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][3]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4350),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [3]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][4]  (
-	.CLK(CTS_26),
+	.CLK(CTS_30),
 	.D(n_4349),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [4]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4348),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [5]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][6]  (
-	.CLK(CTS_26),
+	.CLK(CTS_30),
 	.D(n_4347),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [6]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][7]  (
-	.CLK(CTS_26),
+	.CLK(CTS_30),
 	.D(n_4346),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [7]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[54][8]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4345),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [8]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_24),
 	.D(n_4344),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [1]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][2]  (
-	.CLK(CTS_10),
+	.CLK(CTS_35),
 	.D(n_4343),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [2]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][3]  (
-	.CLK(CTS_10),
+	.CLK(CTS_24),
 	.D(n_4342),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [3]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][4]  (
-	.CLK(CTS_10),
+	.CLK(CTS_24),
 	.D(n_4341),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [4]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][5]  (
-	.CLK(CTS_10),
+	.CLK(CTS_24),
 	.D(n_4340),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [5]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][6]  (
-	.CLK(CTS_10),
+	.CLK(CTS_24),
 	.D(n_4339),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [6]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][7]  (
-	.CLK(CTS_10),
+	.CLK(CTS_24),
 	.D(n_4338),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [7]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[55][8]  (
-	.CLK(CTS_10),
+	.CLK(CTS_24),
 	.D(n_4337),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [8]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][1]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_4336),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [1]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][2]  (
-	.CLK(CTS_26),
+	.CLK(CTS_7),
 	.D(n_4335),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [2]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][3]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_4334),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [3]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][4]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_4333),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [4]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_7),
 	.D(n_4332),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [5]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][6]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_4331),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [6]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][7]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_4330),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [7]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[56][8]  (
-	.CLK(CTS_42),
+	.CLK(CTS_7),
 	.D(n_4329),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [8]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_24),
 	.D(n_4328),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [1]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][2]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4327),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [2]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][3]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4326),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [3]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][4]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4325),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [4]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_24),
 	.D(n_4324),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [5]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][6]  (
-	.CLK(CTS_41),
+	.CLK(CTS_27),
 	.D(n_4739),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [6]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][7]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4813),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [7]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[57][8]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_5027),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [8]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_29),
 	.D(n_3813),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [1]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][2]  (
-	.CLK(CTS_42),
+	.CLK(CTS_29),
 	.D(n_5038),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [2]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][3]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_5039),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [3]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][4]  (
-	.CLK(CTS_42),
+	.CLK(CTS_29),
 	.D(n_5040),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [4]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_5041),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [5]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][6]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_5042),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [6]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][7]  (
-	.CLK(CTS_44),
+	.CLK(CTS_29),
 	.D(n_5043),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [7]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[58][8]  (
-	.CLK(CTS_42),
+	.CLK(CTS_29),
 	.D(n_5044),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [8]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_29),
 	.D(n_5045),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [1]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][2]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_5046),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [2]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][3]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_5047),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [3]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][4]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_5048),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [4]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_3381),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [5]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][6]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_3439),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [6]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][7]  (
-	.CLK(CTS_40),
+	.CLK(CTS_14),
 	.D(n_5049),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [7]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[59][8]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_5050),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [8]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][1]  (
-	.CLK(CTS_38),
+	.CLK(CTS_58),
 	.D(n_5051),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [1]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN18805_FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][2]  (
-	.CLK(CTS_38),
+	.CLK(CTS_58),
 	.D(n_5052),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [2]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][3]  (
-	.CLK(CTS_38),
+	.CLK(CTS_63),
 	.D(n_5053),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [3]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][4]  (
-	.CLK(CTS_38),
+	.CLK(CTS_63),
 	.D(n_5054),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [4]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][5]  (
-	.CLK(CTS_39),
+	.CLK(CTS_58),
 	.D(n_5055),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [5]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][6]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_5056),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [6]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][7]  (
-	.CLK(CTS_57),
+	.CLK(CTS_63),
 	.D(n_5057),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [7]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[60][8]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_5058),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [8]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][1]  (
-	.CLK(CTS_38),
+	.CLK(CTS_26),
 	.D(n_5059),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [1]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][2]  (
-	.CLK(CTS_47),
+	.CLK(CTS_26),
 	.D(n_5060),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [2]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][3]  (
-	.CLK(CTS_38),
+	.CLK(CTS_26),
 	.D(n_5061),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [3]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][4]  (
-	.CLK(CTS_38),
+	.CLK(CTS_26),
 	.D(n_5062),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [4]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][5]  (
-	.CLK(CTS_38),
+	.CLK(CTS_26),
 	.D(n_5064),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [5]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][6]  (
-	.CLK(CTS_47),
+	.CLK(CTS_26),
 	.D(n_5065),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [6]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][7]  (
-	.CLK(CTS_38),
+	.CLK(CTS_26),
 	.D(n_5066),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [7]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[61][8]  (
-	.CLK(CTS_38),
+	.CLK(CTS_26),
 	.D(n_5067),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [8]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][1]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_5068),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [1]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][2]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_5069),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [2]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][3]  (
-	.CLK(CTS_47),
+	.CLK(CTS_32),
 	.D(n_5070),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [3]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][4]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_5071),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [4]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][5]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_5072),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [5]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][6]  (
-	.CLK(CTS_47),
+	.CLK(CTS_32),
 	.D(n_5073),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [6]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][7]  (
-	.CLK(CTS_47),
+	.CLK(CTS_32),
 	.D(n_5074),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [7]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[62][8]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_5076),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[62] [8]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][1]  (
-	.CLK(CTS_10),
+	.CLK(CTS_31),
 	.D(n_5077),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [1]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][2]  (
-	.CLK(CTS_3),
+	.CLK(CTS_31),
 	.D(n_5079),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [2]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][3]  (
-	.CLK(CTS_10),
+	.CLK(CTS_32),
 	.D(n_5083),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [3]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][4]  (
-	.CLK(CTS_10),
+	.CLK(CTS_30),
 	.D(n_5084),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [4]),
-	.RESET_B(FE_OFN22_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][5]  (
-	.CLK(CTS_10),
+	.CLK(CTS_31),
 	.D(n_5100),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [5]),
-	.RESET_B(FE_OFN22_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][6]  (
-	.CLK(CTS_3),
+	.CLK(CTS_32),
 	.D(n_3466),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [6]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][7]  (
-	.CLK(CTS_10),
+	.CLK(CTS_30),
 	.D(n_5103),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [7]),
-	.RESET_B(FE_OFN22_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[63][8]  (
-	.CLK(CTS_10),
+	.CLK(CTS_31),
 	.D(n_5142),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [8]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][1]  (
-	.CLK(CTS_12),
+	.CLK(CTS_9),
 	.D(n_5163),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [1]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][2]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_5166),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [2]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][3]  (
-	.CLK(CTS_12),
+	.CLK(CTS_7),
 	.D(n_5167),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [3]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][4]  (
-	.CLK(CTS_12),
+	.CLK(CTS_7),
 	.D(n_5169),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [4]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][5]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_5172),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [5]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][6]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3151),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [6]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][7]  (
-	.CLK(CTS_12),
+	.CLK(CTS_7),
 	.D(n_5174),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [7]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[64][8]  (
-	.CLK(CTS_10),
+	.CLK(CTS_7),
 	.D(n_5175),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][1]  (
-	.CLK(CTS_5),
+	.CLK(CTS_34),
 	.D(n_5176),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [1]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][2]  (
-	.CLK(CTS_5),
+	.CLK(CTS_34),
 	.D(n_5177),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [2]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][3]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_5178),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [3]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][4]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_5179),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [4]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][5]  (
-	.CLK(CTS_5),
+	.CLK(CTS_28),
 	.D(n_5180),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [5]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][6]  (
-	.CLK(CTS_5),
+	.CLK(CTS_34),
 	.D(n_5181),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [6]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][7]  (
-	.CLK(CTS_5),
+	.CLK(CTS_28),
 	.D(n_5182),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [7]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[65][8]  (
-	.CLK(CTS_5),
+	.CLK(CTS_34),
 	.D(n_5183),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [8]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][1]  (
-	.CLK(CTS_1),
+	.CLK(CTS_9),
 	.D(n_5184),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [1]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][2]  (
-	.CLK(CTS_1),
+	.CLK(CTS_9),
 	.D(n_5186),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [2]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][3]  (
-	.CLK(CTS_10),
+	.CLK(CTS_9),
 	.D(n_5188),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [3]),
-	.RESET_B(FE_OFN22_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][4]  (
-	.CLK(CTS_1),
+	.CLK(CTS_9),
 	.D(n_5189),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [4]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][5]  (
-	.CLK(CTS_10),
+	.CLK(CTS_9),
 	.D(n_5190),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [5]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][6]  (
-	.CLK(CTS_10),
+	.CLK(CTS_30),
 	.D(n_5191),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [6]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][7]  (
-	.CLK(CTS_1),
+	.CLK(CTS_9),
 	.D(n_4983),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [7]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[66][8]  (
-	.CLK(CTS_1),
+	.CLK(CTS_9),
 	.D(n_5194),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [8]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][1]  (
-	.CLK(CTS_15),
+	.CLK(CTS_30),
 	.D(n_5195),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [1]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][2]  (
-	.CLK(CTS_15),
+	.CLK(CTS_32),
 	.D(n_5196),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [2]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][3]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_5198),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [3]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][4]  (
-	.CLK(CTS_15),
+	.CLK(CTS_30),
 	.D(n_5199),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [4]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][5]  (
-	.CLK(CTS_15),
+	.CLK(CTS_32),
 	.D(n_5200),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [5]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][6]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_5201),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [6]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][7]  (
-	.CLK(CTS_15),
+	.CLK(CTS_32),
 	.D(n_5202),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [7]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[67][8]  (
-	.CLK(CTS_15),
+	.CLK(CTS_32),
 	.D(n_5203),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [8]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][1]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_5205),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [1]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][2]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_5206),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [2]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][3]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_5207),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [3]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][4]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_5208),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [4]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][5]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_5209),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [5]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][6]  (
-	.CLK(CTS_8),
+	.CLK(CTS_63),
 	.D(n_5210),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [6]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][7]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_5211),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [7]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[68][8]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_5024),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [8]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][1]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_5212),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [1]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][2]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_5213),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [2]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][3]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_5214),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [3]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][4]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_5215),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [4]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][5]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_5216),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [5]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][6]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_5217),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [6]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][7]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_5218),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [7]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[69][8]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_5219),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [8]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][1]  (
-	.CLK(CTS_16),
+	.CLK(CTS_32),
 	.D(n_5220),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [1]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][2]  (
-	.CLK(CTS_2),
+	.CLK(CTS_32),
 	.D(n_5221),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [2]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][3]  (
-	.CLK(CTS_13),
+	.CLK(CTS_32),
 	.D(n_5222),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [3]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][4]  (
-	.CLK(CTS_6),
+	.CLK(CTS_32),
 	.D(n_5224),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [4]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][5]  (
-	.CLK(CTS_6),
+	.CLK(CTS_35),
 	.D(n_5033),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [5]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][6]  (
-	.CLK(CTS_6),
+	.CLK(CTS_35),
 	.D(n_5226),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [6]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][7]  (
-	.CLK(CTS_6),
+	.CLK(CTS_32),
 	.D(n_5035),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [7]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[70][8]  (
-	.CLK(CTS_2),
+	.CLK(CTS_32),
 	.D(n_5227),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [8]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][1]  (
-	.CLK(CTS_1),
+	.CLK(CTS_28),
 	.D(n_5228),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [1]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][2]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_5229),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [2]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][3]  (
-	.CLK(CTS_9),
+	.CLK(CTS_34),
 	.D(n_5230),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [3]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][4]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_5231),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [4]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][5]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_5232),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [5]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][6]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_5233),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [6]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][7]  (
-	.CLK(CTS_9),
+	.CLK(CTS_34),
 	.D(n_5234),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [7]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[71][8]  (
-	.CLK(CTS_9),
+	.CLK(CTS_34),
 	.D(n_4961),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [8]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][1]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_5236),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [1]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][2]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_3445),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [2]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][3]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_5237),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [3]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][4]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_5238),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [4]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][5]  (
-	.CLK(CTS_7),
+	.CLK(CTS_56),
 	.D(n_5242),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [5]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][6]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_3408),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [6]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][7]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_5243),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [7]),
-	.RESET_B(FE_OFN109_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[72][8]  (
-	.CLK(CTS_10),
+	.CLK(CTS_9),
 	.D(n_5244),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_35),
 	.D(n_5275),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [1]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][2]  (
-	.CLK(CTS_41),
+	.CLK(CTS_20),
 	.D(n_5278),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [2]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][3]  (
-	.CLK(CTS_15),
+	.CLK(CTS_35),
 	.D(n_5279),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [3]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][4]  (
-	.CLK(CTS_41),
+	.CLK(CTS_35),
 	.D(n_5280),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [4]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_35),
 	.D(n_5281),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [5]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][6]  (
-	.CLK(CTS_15),
+	.CLK(CTS_35),
 	.D(n_5282),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [6]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][7]  (
-	.CLK(CTS_15),
+	.CLK(CTS_35),
 	.D(n_5283),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [7]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[73][8]  (
-	.CLK(CTS_15),
+	.CLK(CTS_35),
 	.D(n_5284),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [8]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][1]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_5285),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [1]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][2]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_5286),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [2]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][3]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_5287),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [3]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][4]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_5288),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [4]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][5]  (
-	.CLK(CTS_11),
+	.CLK(CTS_13),
 	.D(n_5289),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [5]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][6]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_5290),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [6]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][7]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_5291),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [7]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[74][8]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_5292),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [8]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][1]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_5294),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [1]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][2]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_4981),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [2]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][3]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_5295),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [3]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][4]  (
-	.CLK(CTS_5),
+	.CLK(CTS_13),
 	.D(n_5296),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [4]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][5]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_5297),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [5]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][6]  (
-	.CLK(CTS_5),
+	.CLK(CTS_13),
 	.D(n_5298),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [6]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][7]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_5299),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [7]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[75][8]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_5300),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [8]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][1]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_5301),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [1]),
-	.RESET_B(FE_OFN109_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][2]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_5303),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [2]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][3]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_5304),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [3]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][4]  (
-	.CLK(CTS_16),
+	.CLK(CTS_56),
 	.D(n_5305),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [4]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][5]  (
-	.CLK(CTS_16),
+	.CLK(CTS_56),
 	.D(n_5307),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [5]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][6]  (
-	.CLK(CTS_57),
+	.CLK(CTS_62),
 	.D(n_5308),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [6]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][7]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_5309),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [7]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[76][8]  (
-	.CLK(CTS_57),
+	.CLK(CTS_56),
 	.D(n_4887),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [8]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][1]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_5310),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [1]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][2]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_5311),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [2]),
-	.RESET_B(FE_OFN54_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][3]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_5312),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [3]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][4]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_5313),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [4]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][5]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_5315),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [5]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][6]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_5316),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [6]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][7]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_5317),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [7]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[77][8]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_5318),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [8]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][1]  (
-	.CLK(CTS_16),
+	.CLK(CTS_18),
 	.D(n_5319),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [1]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][2]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_5320),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [2]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][3]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_5321),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [3]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][4]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_5322),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [4]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][5]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_4701),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [5]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][6]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_5323),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [6]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][7]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_5324),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [7]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[78][8]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_3735),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [8]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][1]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_5037),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [1]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][2]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4320),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [2]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][3]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4319),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [3]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][4]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4318),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [4]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][5]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4317),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [5]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][6]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4316),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [6]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][7]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4315),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [7]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[79][8]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4314),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [8]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][1]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_5173),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [1]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][2]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4533),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [2]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][3]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4437),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [3]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][4]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4313),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [4]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][5]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4312),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [5]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][6]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4530),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [6]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][7]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4554),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [7]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[80][8]  (
-	.CLK(CTS_12),
+	.CLK(CTS_7),
 	.D(n_4311),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][1]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_4310),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [1]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][2]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_4309),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [2]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][3]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_4308),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [3]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][4]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_4307),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [4]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][5]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_4306),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [5]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][6]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_4305),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [6]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][7]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_4304),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [7]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[81][8]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_4303),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [8]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][1]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_4302),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [1]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][2]  (
-	.CLK(CTS_44),
+	.CLK(CTS_14),
 	.D(n_4301),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [2]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][3]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_3794),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [3]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][4]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_4300),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [4]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][5]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_4299),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [5]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][6]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_5026),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [6]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][7]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_4297),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [7]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[82][8]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_4296),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [8]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][1]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4761),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [1]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][2]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4295),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [2]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][3]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4294),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [3]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][4]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4292),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [4]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][5]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4291),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [5]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][6]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4290),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [6]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][7]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4289),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [7]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[83][8]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4288),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [8]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][1]  (
-	.CLK(CTS_38),
+	.CLK(CTS_54),
 	.D(n_4287),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [1]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN140_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][2]  (
-	.CLK(CTS_38),
+	.CLK(CTS_54),
 	.D(n_4286),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [2]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN140_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][3]  (
-	.CLK(CTS_8),
+	.CLK(CTS_63),
 	.D(n_4285),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [3]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][4]  (
-	.CLK(CTS_38),
+	.CLK(CTS_54),
 	.D(n_4845),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [4]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][5]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_4284),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [5]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN18805_FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][6]  (
-	.CLK(CTS_73),
+	.CLK(CTS_54),
 	.D(n_4283),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [6]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][7]  (
-	.CLK(CTS_73),
+	.CLK(CTS_54),
 	.D(n_4282),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [7]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[84][8]  (
-	.CLK(CTS_8),
+	.CLK(CTS_63),
 	.D(n_4280),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [8]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN140_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -131360,1519 +127990,1519 @@
 	.CLK(CTS_26),
 	.D(n_3159),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [1]),
-	.RESET_B(FE_OFN104_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][2]  (
-	.CLK(CTS_28),
+	.CLK(CTS_26),
 	.D(n_4279),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [2]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][3]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_4278),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [3]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][4]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_4277),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [4]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN38_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][5]  (
-	.CLK(CTS_28),
+	.CLK(CTS_26),
 	.D(n_4276),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [5]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][6]  (
-	.CLK(CTS_32),
+	.CLK(CTS_26),
 	.D(n_4275),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [6]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][7]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_4274),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [7]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[85][8]  (
-	.CLK(CTS_28),
+	.CLK(CTS_26),
 	.D(n_4273),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [8]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][1]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4272),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [1]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][2]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_4271),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [2]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][3]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_4270),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [3]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][4]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_3437),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [4]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4269),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [5]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][6]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_4268),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [6]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][7]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_4267),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [7]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[86][8]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_4266),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [8]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_24),
 	.D(n_4265),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [1]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][2]  (
-	.CLK(CTS_42),
+	.CLK(CTS_31),
 	.D(n_4264),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [2]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][3]  (
-	.CLK(CTS_42),
+	.CLK(CTS_24),
 	.D(n_4263),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [3]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][4]  (
-	.CLK(CTS_42),
+	.CLK(CTS_23),
 	.D(n_3820),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [4]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][5]  (
-	.CLK(CTS_42),
+	.CLK(CTS_24),
 	.D(n_4262),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [5]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][6]  (
-	.CLK(CTS_42),
+	.CLK(CTS_31),
 	.D(n_4261),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [6]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][7]  (
-	.CLK(CTS_42),
+	.CLK(CTS_24),
 	.D(n_4260),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [7]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[87][8]  (
-	.CLK(CTS_42),
+	.CLK(CTS_31),
 	.D(n_4259),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [8]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][1]  (
-	.CLK(CTS_39),
+	.CLK(CTS_10),
 	.D(n_4258),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [1]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][2]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_4257),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [2]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][3]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_4256),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [3]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN122_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][4]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_4255),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [4]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN122_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][5]  (
-	.CLK(CTS_12),
+	.CLK(CTS_16),
 	.D(n_4846),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [5]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][6]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_4253),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [6]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][7]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_4252),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [7]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[88][8]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_4853),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [8]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][1]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4251),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [1]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][2]  (
-	.CLK(CTS_43),
+	.CLK(CTS_23),
 	.D(n_4250),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [2]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][3]  (
-	.CLK(CTS_43),
+	.CLK(CTS_23),
 	.D(n_4249),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [3]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][4]  (
-	.CLK(CTS_43),
+	.CLK(CTS_23),
 	.D(n_4248),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [4]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][5]  (
-	.CLK(CTS_37),
+	.CLK(CTS_24),
 	.D(n_4247),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [5]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][6]  (
-	.CLK(CTS_43),
+	.CLK(CTS_23),
 	.D(n_4245),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [6]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][7]  (
-	.CLK(CTS_43),
+	.CLK(CTS_23),
 	.D(n_4244),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [7]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[89][8]  (
-	.CLK(CTS_43),
+	.CLK(CTS_23),
 	.D(n_4243),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [8]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][1]  (
-	.CLK(CTS_44),
+	.CLK(CTS_29),
 	.D(n_4242),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [1]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN43_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][2]  (
-	.CLK(CTS_44),
+	.CLK(CTS_29),
 	.D(n_4241),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [2]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN43_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][3]  (
-	.CLK(CTS_44),
+	.CLK(CTS_12),
 	.D(n_4240),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [3]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][4]  (
-	.CLK(CTS_44),
+	.CLK(CTS_12),
 	.D(n_5017),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [4]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN43_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][5]  (
-	.CLK(CTS_44),
+	.CLK(CTS_12),
 	.D(n_5025),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [5]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][6]  (
-	.CLK(CTS_44),
+	.CLK(CTS_29),
 	.D(n_4239),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [6]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN43_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][7]  (
-	.CLK(CTS_44),
+	.CLK(CTS_12),
 	.D(n_4238),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [7]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[90][8]  (
-	.CLK(CTS_44),
+	.CLK(CTS_12),
 	.D(n_4237),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [8]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN43_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_19),
 	.D(n_5063),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [1]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][2]  (
-	.CLK(CTS_3),
+	.CLK(CTS_12),
 	.D(n_4236),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [2]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][3]  (
-	.CLK(CTS_41),
+	.CLK(CTS_12),
 	.D(n_4235),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [3]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][4]  (
-	.CLK(CTS_3),
+	.CLK(CTS_12),
 	.D(n_4234),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [4]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][5]  (
-	.CLK(CTS_37),
+	.CLK(CTS_12),
 	.D(n_4387),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [5]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][6]  (
-	.CLK(CTS_41),
+	.CLK(CTS_12),
 	.D(n_4233),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [6]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][7]  (
-	.CLK(CTS_41),
+	.CLK(CTS_12),
 	.D(n_4232),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [7]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[91][8]  (
-	.CLK(CTS_41),
+	.CLK(CTS_12),
 	.D(n_4231),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [8]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][1]  (
-	.CLK(CTS_38),
+	.CLK(CTS_58),
 	.D(n_4764),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [1]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][2]  (
-	.CLK(CTS_38),
+	.CLK(CTS_58),
 	.D(n_4230),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [2]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][3]  (
-	.CLK(CTS_38),
+	.CLK(CTS_63),
 	.D(n_4229),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [3]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][4]  (
-	.CLK(CTS_38),
+	.CLK(CTS_58),
 	.D(n_4228),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [4]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][5]  (
-	.CLK(CTS_38),
+	.CLK(CTS_58),
 	.D(n_4892),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [5]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][6]  (
-	.CLK(CTS_57),
+	.CLK(CTS_63),
 	.D(n_4227),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [6]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][7]  (
-	.CLK(CTS_57),
+	.CLK(CTS_63),
 	.D(n_4886),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [7]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN19009_FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[92][8]  (
-	.CLK(CTS_57),
+	.CLK(CTS_7),
 	.D(n_4889),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [8]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN122_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][1]  (
-	.CLK(CTS_47),
+	.CLK(CTS_22),
 	.D(n_5192),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [1]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][2]  (
-	.CLK(CTS_38),
+	.CLK(CTS_22),
 	.D(n_4226),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [2]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN38_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][3]  (
-	.CLK(CTS_47),
+	.CLK(CTS_27),
 	.D(n_4225),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [3]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][4]  (
-	.CLK(CTS_47),
+	.CLK(CTS_22),
 	.D(n_4224),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [4]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][5]  (
-	.CLK(CTS_38),
+	.CLK(CTS_27),
 	.D(n_4222),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [5]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][6]  (
-	.CLK(CTS_47),
+	.CLK(CTS_27),
 	.D(n_4221),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [6]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][7]  (
-	.CLK(CTS_47),
+	.CLK(CTS_22),
 	.D(n_4220),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [7]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN38_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[93][8]  (
-	.CLK(CTS_38),
+	.CLK(CTS_27),
 	.D(n_4219),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [8]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][1]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_4218),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [1]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][2]  (
-	.CLK(CTS_28),
+	.CLK(CTS_24),
 	.D(n_4217),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [2]),
-	.RESET_B(FE_OFN120_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][3]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_4216),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [3]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][4]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_4215),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [4]),
-	.RESET_B(FE_OFN120_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][5]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_4214),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [5]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][6]  (
-	.CLK(CTS_28),
+	.CLK(CTS_24),
 	.D(n_4213),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [6]),
-	.RESET_B(FE_OFN120_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][7]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_4212),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [7]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[94][8]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_4211),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [8]),
-	.RESET_B(FE_OFN120_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][1]  (
-	.CLK(CTS_10),
+	.CLK(CTS_31),
 	.D(n_4210),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [1]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][2]  (
-	.CLK(CTS_10),
+	.CLK(CTS_19),
 	.D(n_4209),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [2]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][3]  (
-	.CLK(CTS_10),
+	.CLK(CTS_31),
 	.D(n_4208),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [3]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][4]  (
-	.CLK(CTS_10),
+	.CLK(CTS_19),
 	.D(n_4207),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [4]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][5]  (
-	.CLK(CTS_10),
+	.CLK(CTS_31),
 	.D(n_3164),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [5]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][6]  (
-	.CLK(CTS_10),
+	.CLK(CTS_19),
 	.D(n_4206),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [6]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][7]  (
-	.CLK(CTS_10),
+	.CLK(CTS_19),
 	.D(n_4204),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [7]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[95][8]  (
-	.CLK(CTS_10),
+	.CLK(CTS_19),
 	.D(n_5164),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [8]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][1]  (
-	.CLK(CTS_10),
+	.CLK(CTS_9),
 	.D(n_4203),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [1]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][2]  (
-	.CLK(CTS_12),
+	.CLK(CTS_9),
 	.D(n_4201),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [2]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][3]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_4200),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [3]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][4]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_4199),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [4]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][5]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_4198),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [5]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][6]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_4431),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [6]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][7]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_4499),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [7]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[96][8]  (
-	.CLK(CTS_10),
+	.CLK(CTS_9),
 	.D(n_4197),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][1]  (
-	.CLK(CTS_5),
+	.CLK(CTS_20),
 	.D(n_4552),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [1]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][2]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_4196),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [2]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][3]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_4194),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [3]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][4]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_4193),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [4]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][5]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_4192),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [5]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][6]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_4191),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [6]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][7]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_4994),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [7]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[97][8]  (
-	.CLK(CTS_5),
+	.CLK(CTS_20),
 	.D(n_4190),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [8]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][1]  (
-	.CLK(CTS_15),
+	.CLK(CTS_30),
 	.D(n_4189),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [1]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][2]  (
-	.CLK(CTS_15),
+	.CLK(CTS_30),
 	.D(n_5007),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [2]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][3]  (
-	.CLK(CTS_1),
+	.CLK(CTS_30),
 	.D(n_5009),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [3]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][4]  (
-	.CLK(CTS_15),
+	.CLK(CTS_30),
 	.D(n_4188),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [4]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][5]  (
-	.CLK(CTS_1),
+	.CLK(CTS_30),
 	.D(n_5010),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [5]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][6]  (
-	.CLK(CTS_15),
+	.CLK(CTS_30),
 	.D(n_4187),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [6]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][7]  (
-	.CLK(CTS_15),
+	.CLK(CTS_30),
 	.D(n_4186),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [7]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[98][8]  (
-	.CLK(CTS_1),
+	.CLK(CTS_30),
 	.D(n_4185),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [8]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][1]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_4183),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [1]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][2]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_4182),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [2]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][3]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_4181),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [3]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][4]  (
-	.CLK(CTS_15),
+	.CLK(CTS_13),
 	.D(n_4180),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [4]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][5]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_4179),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [5]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][6]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_4178),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [6]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][7]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_3162),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [7]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[99][8]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_4177),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [8]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][1]  (
-	.CLK(CTS_4),
+	.CLK(CTS_56),
 	.D(n_4176),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [1]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][2]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_4175),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [2]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][3]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_4174),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [3]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][4]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_4173),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [4]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][5]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_4171),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [5]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][6]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_4170),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [6]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][7]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_4169),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [7]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[100][8]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_4168),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [8]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][1]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_4167),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [1]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][2]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_4166),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [2]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][3]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_4165),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [3]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN18800_FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][4]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_4164),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [4]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][5]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_4163),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [5]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][6]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_4630),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [6]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][7]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_4162),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [7]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN18800_FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[101][8]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_4160),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [8]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][1]  (
-	.CLK(CTS_6),
+	.CLK(CTS_32),
 	.D(n_4159),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [1]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][2]  (
-	.CLK(CTS_2),
+	.CLK(CTS_32),
 	.D(n_4158),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [2]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][3]  (
-	.CLK(CTS_6),
+	.CLK(CTS_32),
 	.D(n_4157),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [3]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][4]  (
-	.CLK(CTS_13),
+	.CLK(CTS_32),
 	.D(n_4156),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [4]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][5]  (
-	.CLK(CTS_13),
+	.CLK(CTS_32),
 	.D(n_4155),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [5]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][6]  (
-	.CLK(CTS_2),
+	.CLK(CTS_32),
 	.D(n_4154),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [6]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][7]  (
-	.CLK(CTS_6),
+	.CLK(CTS_32),
 	.D(n_4153),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [7]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[102][8]  (
-	.CLK(CTS_2),
+	.CLK(CTS_32),
 	.D(n_4152),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [8]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][1]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_4151),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [1]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][2]  (
-	.CLK(CTS_11),
+	.CLK(CTS_32),
 	.D(n_5034),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [2]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][3]  (
-	.CLK(CTS_11),
+	.CLK(CTS_32),
 	.D(n_4150),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [3]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][4]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_4149),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [4]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][5]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_4148),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [5]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][6]  (
-	.CLK(CTS_11),
+	.CLK(CTS_32),
 	.D(n_4894),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [6]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][7]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_4147),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [7]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[103][8]  (
-	.CLK(CTS_11),
+	.CLK(CTS_32),
 	.D(n_4146),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [8]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][1]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_4145),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [1]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][2]  (
-	.CLK(CTS_7),
+	.CLK(CTS_8),
 	.D(n_4144),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [2]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][3]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_4143),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [3]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][4]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_4142),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [4]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][5]  (
-	.CLK(CTS_13),
+	.CLK(CTS_8),
 	.D(n_4141),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [5]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][6]  (
-	.CLK(CTS_7),
+	.CLK(CTS_11),
 	.D(n_4140),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [6]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][7]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_4139),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [7]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[104][8]  (
-	.CLK(CTS_7),
+	.CLK(CTS_11),
 	.D(n_4980),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [8]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_24),
 	.D(n_4137),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [1]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][2]  (
-	.CLK(CTS_41),
+	.CLK(CTS_35),
 	.D(n_4136),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [2]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][3]  (
-	.CLK(CTS_41),
+	.CLK(CTS_26),
 	.D(n_4135),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [3]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][4]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4134),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [4]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_35),
 	.D(n_4133),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [5]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][6]  (
-	.CLK(CTS_41),
+	.CLK(CTS_35),
 	.D(n_4132),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [6]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][7]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4130),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [7]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[105][8]  (
-	.CLK(CTS_3),
+	.CLK(CTS_24),
 	.D(n_4129),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [8]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][1]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_4128),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [1]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -132881,7 +129511,7 @@
 	.CLK(CTS_13),
 	.D(n_4127),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [2]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -132890,16 +129520,16 @@
 	.CLK(CTS_13),
 	.D(n_4126),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [3]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][4]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_4125),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [4]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -132908,7 +129538,7 @@
 	.CLK(CTS_13),
 	.D(n_4124),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [5]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -132917,148 +129547,148 @@
 	.CLK(CTS_13),
 	.D(n_4123),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [6]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][7]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_4122),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [7]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[106][8]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_4121),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [8]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][1]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_4120),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [1]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][2]  (
-	.CLK(CTS_1),
+	.CLK(CTS_11),
 	.D(n_4119),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [2]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][3]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_4118),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [3]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][4]  (
-	.CLK(CTS_1),
+	.CLK(CTS_11),
 	.D(n_4117),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [4]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][5]  (
-	.CLK(CTS_1),
+	.CLK(CTS_11),
 	.D(n_4116),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [5]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][6]  (
-	.CLK(CTS_1),
+	.CLK(CTS_11),
 	.D(n_4115),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [6]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][7]  (
-	.CLK(CTS_1),
+	.CLK(CTS_11),
 	.D(n_4114),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [7]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[107][8]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_4113),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [8]),
-	.RESET_B(FE_OFN19028_FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][1]  (
-	.CLK(CTS_16),
+	.CLK(CTS_8),
 	.D(n_4112),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [1]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][2]  (
-	.CLK(CTS_16),
+	.CLK(CTS_62),
 	.D(n_4111),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [2]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][3]  (
-	.CLK(CTS_16),
+	.CLK(CTS_62),
 	.D(n_4110),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [3]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][4]  (
-	.CLK(CTS_16),
+	.CLK(CTS_8),
 	.D(n_4109),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [4]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][5]  (
-	.CLK(CTS_16),
+	.CLK(CTS_8),
 	.D(n_4108),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [5]),
-	.RESET_B(FE_OFN109_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][6]  (
-	.CLK(CTS_16),
+	.CLK(CTS_62),
 	.D(n_4107),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [6]),
 	.RESET_B(FE_OFN132_io_out_37), 
@@ -133067,595 +129697,595 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][7]  (
-	.CLK(CTS_16),
+	.CLK(CTS_62),
 	.D(n_4105),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [7]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[108][8]  (
-	.CLK(CTS_16),
+	.CLK(CTS_8),
 	.D(n_4104),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [8]),
-	.RESET_B(FE_OFN109_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][1]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_4573),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [1]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][2]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_4102),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [2]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][3]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_4101),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [3]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][4]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_4100),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [4]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][5]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_4099),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [5]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][6]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_4098),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [6]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][7]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_4097),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [7]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[109][8]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_4096),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [8]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][1]  (
-	.CLK(CTS_4),
+	.CLK(CTS_28),
 	.D(n_4095),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [1]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][2]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4094),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [2]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][3]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4093),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [3]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][4]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4092),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [4]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][5]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4091),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [5]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][6]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4090),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [6]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][7]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4089),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [7]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[110][8]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4088),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [8]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][1]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4087),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [1]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][2]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_4086),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [2]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][3]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_4085),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [3]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][4]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_4084),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [4]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][5]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_4083),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [5]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][6]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_4082),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [6]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][7]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4081),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [7]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[111][8]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_4080),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [8]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][1]  (
-	.CLK(CTS_10),
+	.CLK(CTS_14),
 	.D(n_4079),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [1]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][2]  (
-	.CLK(CTS_42),
+	.CLK(CTS_7),
 	.D(n_4078),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [2]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][3]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4077),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [3]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][4]  (
-	.CLK(CTS_26),
+	.CLK(CTS_7),
 	.D(n_4076),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [4]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_7),
 	.D(n_4075),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [5]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][6]  (
-	.CLK(CTS_26),
+	.CLK(CTS_7),
 	.D(n_4074),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [6]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][7]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4073),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [7]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[112][8]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4072),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [8]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][1]  (
-	.CLK(CTS_37),
+	.CLK(CTS_22),
 	.D(n_4071),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [1]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][2]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4070),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [2]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][3]  (
-	.CLK(CTS_37),
+	.CLK(CTS_22),
 	.D(n_4069),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [3]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][4]  (
-	.CLK(CTS_37),
+	.CLK(CTS_22),
 	.D(n_4067),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [4]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][5]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4066),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [5]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][6]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4065),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [6]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][7]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4064),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [7]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[113][8]  (
-	.CLK(CTS_37),
+	.CLK(CTS_22),
 	.D(n_4063),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [8]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN38_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_4062),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [1]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][2]  (
-	.CLK(CTS_40),
+	.CLK(CTS_14),
 	.D(n_4587),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [2]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][3]  (
-	.CLK(CTS_40),
+	.CLK(CTS_29),
 	.D(n_4654),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [3]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][4]  (
-	.CLK(CTS_40),
+	.CLK(CTS_14),
 	.D(n_4061),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [4]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][5]  (
-	.CLK(CTS_40),
+	.CLK(CTS_14),
 	.D(n_4060),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [5]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][6]  (
-	.CLK(CTS_40),
+	.CLK(CTS_14),
 	.D(n_4059),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [6]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][7]  (
-	.CLK(CTS_40),
+	.CLK(CTS_29),
 	.D(n_4058),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [7]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[114][8]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_4057),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [8]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][1]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4056),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [1]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][2]  (
-	.CLK(CTS_41),
+	.CLK(CTS_12),
 	.D(n_4458),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [2]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][3]  (
-	.CLK(CTS_41),
+	.CLK(CTS_23),
 	.D(n_5302),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [3]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][4]  (
-	.CLK(CTS_41),
+	.CLK(CTS_21),
 	.D(n_4054),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [4]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][5]  (
-	.CLK(CTS_41),
+	.CLK(CTS_21),
 	.D(n_4053),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [5]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][6]  (
-	.CLK(CTS_37),
+	.CLK(CTS_19),
 	.D(n_4052),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [6]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][7]  (
-	.CLK(CTS_41),
+	.CLK(CTS_19),
 	.D(n_4051),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [7]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[115][8]  (
-	.CLK(CTS_41),
+	.CLK(CTS_19),
 	.D(n_4050),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [8]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][1]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_4950),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [1]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN140_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][2]  (
-	.CLK(CTS_38),
+	.CLK(CTS_54),
 	.D(n_4049),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [2]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][3]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_4048),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [3]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN18805_FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][4]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(n_4047),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [4]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][5]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_4046),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [5]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][6]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(n_4045),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [6]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][7]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(n_4947),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [7]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[116][8]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_4044),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [8]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -133664,7 +130294,7 @@
 	.CLK(CTS_26),
 	.D(n_4043),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [1]),
-	.RESET_B(FE_OFN104_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -133673,7 +130303,7 @@
 	.CLK(CTS_26),
 	.D(n_4042),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [2]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -133682,7 +130312,7 @@
 	.CLK(CTS_26),
 	.D(n_4041),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [3]),
-	.RESET_B(FE_OFN104_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -133691,7 +130321,7 @@
 	.CLK(CTS_26),
 	.D(n_4040),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [4]),
-	.RESET_B(FE_OFN104_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -133700,7 +130330,7 @@
 	.CLK(CTS_26),
 	.D(n_4039),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [5]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -133709,7 +130339,7 @@
 	.CLK(CTS_26),
 	.D(n_4038),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [6]),
-	.RESET_B(FE_OFN104_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -133718,7 +130348,7 @@
 	.CLK(CTS_26),
 	.D(n_4037),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [7]),
-	.RESET_B(FE_OFN104_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -133727,2479 +130357,2479 @@
 	.CLK(CTS_26),
 	.D(n_4036),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [8]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][1]  (
-	.CLK(CTS_26),
+	.CLK(CTS_30),
 	.D(n_4034),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [1]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][2]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4033),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [2]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][3]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4032),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [3]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][4]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4031),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [4]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4030),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [5]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][6]  (
-	.CLK(CTS_26),
+	.CLK(CTS_30),
 	.D(n_4029),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [6]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][7]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4028),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [7]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[118][8]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4027),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [8]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_24),
 	.D(n_4026),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [1]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][2]  (
-	.CLK(CTS_10),
+	.CLK(CTS_24),
 	.D(n_4025),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [2]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][3]  (
-	.CLK(CTS_10),
+	.CLK(CTS_24),
 	.D(n_4024),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [3]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][4]  (
-	.CLK(CTS_10),
+	.CLK(CTS_24),
 	.D(n_4023),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [4]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][5]  (
-	.CLK(CTS_10),
+	.CLK(CTS_24),
 	.D(n_4022),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [5]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][6]  (
-	.CLK(CTS_10),
+	.CLK(CTS_24),
 	.D(n_4021),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [6]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][7]  (
-	.CLK(CTS_10),
+	.CLK(CTS_24),
 	.D(n_4020),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [7]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[119][8]  (
-	.CLK(CTS_10),
+	.CLK(CTS_24),
 	.D(n_4018),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [8]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][1]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_4016),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [1]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][2]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_4765),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [2]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][3]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_4015),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [3]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][4]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_4014),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [4]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_4013),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [5]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][6]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_4012),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [6]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][7]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_4011),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [7]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[120][8]  (
-	.CLK(CTS_42),
+	.CLK(CTS_7),
 	.D(n_3152),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [8]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_24),
 	.D(n_3157),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [1]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][2]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4010),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [2]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][3]  (
-	.CLK(CTS_41),
+	.CLK(CTS_27),
 	.D(n_4009),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [3]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][4]  (
-	.CLK(CTS_41),
+	.CLK(CTS_23),
 	.D(n_4008),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [4]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_24),
 	.D(n_4007),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [5]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][6]  (
-	.CLK(CTS_41),
+	.CLK(CTS_27),
 	.D(n_4006),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [6]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][7]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4005),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [7]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[121][8]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4004),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [8]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_29),
 	.D(n_4002),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [1]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][2]  (
-	.CLK(CTS_42),
+	.CLK(CTS_29),
 	.D(n_4001),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [2]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][3]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_4000),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [3]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][4]  (
-	.CLK(CTS_42),
+	.CLK(CTS_29),
 	.D(n_3999),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [4]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_29),
 	.D(n_4429),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [5]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][6]  (
-	.CLK(CTS_42),
+	.CLK(CTS_29),
 	.D(n_3998),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [6]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][7]  (
-	.CLK(CTS_42),
+	.CLK(CTS_29),
 	.D(n_3997),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [7]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[122][8]  (
-	.CLK(CTS_42),
+	.CLK(CTS_29),
 	.D(n_3995),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [8]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_29),
 	.D(n_3994),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [1]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][2]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_3993),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [2]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][3]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_4131),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [3]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][4]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_3992),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [4]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_3991),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [5]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][6]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_3990),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [6]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][7]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_5293),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [7]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[123][8]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_4836),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [8]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][1]  (
-	.CLK(CTS_38),
+	.CLK(CTS_58),
 	.D(n_3989),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [1]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN18805_FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][2]  (
-	.CLK(CTS_38),
+	.CLK(CTS_63),
 	.D(n_4989),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [2]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][3]  (
-	.CLK(CTS_38),
+	.CLK(CTS_63),
 	.D(n_5036),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [3]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][4]  (
-	.CLK(CTS_38),
+	.CLK(CTS_63),
 	.D(n_4019),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [4]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][5]  (
-	.CLK(CTS_39),
+	.CLK(CTS_58),
 	.D(n_3996),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [5]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][6]  (
-	.CLK(CTS_57),
+	.CLK(CTS_63),
 	.D(n_3988),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [6]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN121_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][7]  (
-	.CLK(CTS_57),
+	.CLK(CTS_63),
 	.D(n_4106),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [7]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[124][8]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_4223),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [8]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][1]  (
-	.CLK(CTS_38),
+	.CLK(CTS_26),
 	.D(n_5314),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [1]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][2]  (
-	.CLK(CTS_47),
+	.CLK(CTS_26),
 	.D(n_3987),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [2]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][3]  (
-	.CLK(CTS_38),
+	.CLK(CTS_26),
 	.D(n_3986),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [3]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][4]  (
-	.CLK(CTS_38),
+	.CLK(CTS_26),
 	.D(n_3985),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [4]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][5]  (
-	.CLK(CTS_38),
+	.CLK(CTS_26),
 	.D(n_3984),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [5]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][6]  (
-	.CLK(CTS_47),
+	.CLK(CTS_26),
 	.D(n_3983),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [6]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][7]  (
-	.CLK(CTS_38),
+	.CLK(CTS_26),
 	.D(n_3982),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [7]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[125][8]  (
-	.CLK(CTS_38),
+	.CLK(CTS_26),
 	.D(n_3981),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [8]),
-	.RESET_B(FE_OFN19038_FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][1]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_3979),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [1]),
-	.RESET_B(FE_OFN120_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][2]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_3379),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [2]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][3]  (
-	.CLK(CTS_47),
+	.CLK(CTS_32),
 	.D(n_3978),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [3]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][4]  (
-	.CLK(CTS_47),
+	.CLK(CTS_32),
 	.D(n_3977),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [4]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][5]  (
-	.CLK(CTS_47),
+	.CLK(CTS_24),
 	.D(n_3976),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [5]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][6]  (
-	.CLK(CTS_47),
+	.CLK(CTS_35),
 	.D(n_3975),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [6]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][7]  (
-	.CLK(CTS_47),
+	.CLK(CTS_32),
 	.D(n_3720),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [7]),
-	.RESET_B(FE_OFN120_io_out_37), 
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[126][8]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_3974),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [8]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN18826_FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][1]  (
-	.CLK(CTS_10),
+	.CLK(CTS_31),
 	.D(n_3973),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [1]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][2]  (
-	.CLK(CTS_3),
+	.CLK(CTS_31),
 	.D(n_5023),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [2]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][3]  (
-	.CLK(CTS_10),
+	.CLK(CTS_31),
 	.D(n_3972),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [3]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][4]  (
-	.CLK(CTS_10),
+	.CLK(CTS_32),
 	.D(n_3971),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [4]),
-	.RESET_B(FE_OFN22_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][5]  (
-	.CLK(CTS_10),
+	.CLK(CTS_31),
 	.D(n_3970),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [5]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][6]  (
-	.CLK(CTS_3),
+	.CLK(CTS_32),
 	.D(n_4997),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [6]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][7]  (
-	.CLK(CTS_10),
+	.CLK(CTS_32),
 	.D(n_3969),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [7]),
-	.RESET_B(FE_OFN22_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[127][8]  (
-	.CLK(CTS_3),
+	.CLK(CTS_31),
 	.D(n_5001),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [8]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][1]  (
-	.CLK(CTS_12),
+	.CLK(CTS_9),
 	.D(n_3968),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [1]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][2]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3967),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [2]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][3]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3966),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [3]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][4]  (
-	.CLK(CTS_10),
+	.CLK(CTS_56),
 	.D(n_3965),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [4]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][5]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3964),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [5]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][6]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3963),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [6]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][7]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3962),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [7]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[128][8]  (
-	.CLK(CTS_12),
+	.CLK(CTS_7),
 	.D(n_3961),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][1]  (
-	.CLK(CTS_5),
+	.CLK(CTS_20),
 	.D(n_3960),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [1]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][2]  (
-	.CLK(CTS_5),
+	.CLK(CTS_34),
 	.D(n_3959),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [2]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][3]  (
-	.CLK(CTS_5),
+	.CLK(CTS_34),
 	.D(n_3958),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [3]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][4]  (
-	.CLK(CTS_5),
+	.CLK(CTS_34),
 	.D(n_3957),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [4]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][5]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_3956),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [5]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][6]  (
-	.CLK(CTS_5),
+	.CLK(CTS_28),
 	.D(n_3955),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [6]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][7]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_3953),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [7]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[129][8]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_4859),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [8]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][1]  (
-	.CLK(CTS_1),
+	.CLK(CTS_30),
 	.D(n_3952),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [1]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][2]  (
-	.CLK(CTS_1),
+	.CLK(CTS_9),
 	.D(n_3951),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [2]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][3]  (
-	.CLK(CTS_1),
+	.CLK(CTS_9),
 	.D(n_3950),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [3]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][4]  (
-	.CLK(CTS_1),
+	.CLK(CTS_30),
 	.D(n_3949),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [4]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][5]  (
-	.CLK(CTS_1),
+	.CLK(CTS_30),
 	.D(n_4755),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [5]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][6]  (
-	.CLK(CTS_1),
+	.CLK(CTS_30),
 	.D(n_3948),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [6]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][7]  (
-	.CLK(CTS_1),
+	.CLK(CTS_9),
 	.D(n_4442),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [7]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[130][8]  (
-	.CLK(CTS_1),
+	.CLK(CTS_9),
 	.D(n_4984),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [8]),
-	.RESET_B(FE_OFN19041_FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][1]  (
-	.CLK(CTS_15),
+	.CLK(CTS_30),
 	.D(n_3947),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [1]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][2]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_3946),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [2]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][3]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_3945),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [3]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][4]  (
-	.CLK(CTS_15),
+	.CLK(CTS_32),
 	.D(n_3944),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [4]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][5]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_4435),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [5]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][6]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_3943),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [6]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][7]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_4492),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [7]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[131][8]  (
-	.CLK(CTS_15),
+	.CLK(CTS_32),
 	.D(n_3942),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [8]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][1]  (
-	.CLK(CTS_16),
+	.CLK(CTS_56),
 	.D(n_5101),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [1]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][2]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_3941),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [2]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][3]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_3940),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [3]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][4]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_3939),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [4]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][5]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_3938),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [5]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][6]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_3937),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [6]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][7]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_3936),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [7]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[132][8]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_3935),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [8]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][1]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_3934),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [1]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][2]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_3160),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [2]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][3]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_3933),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [3]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][4]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_3161),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [4]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][5]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_3932),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [5]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][6]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_3931),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [6]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][7]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_3930),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [7]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[133][8]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_3163),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [8]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN89_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][1]  (
-	.CLK(CTS_16),
+	.CLK(CTS_30),
 	.D(n_3929),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [1]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][2]  (
-	.CLK(CTS_2),
+	.CLK(CTS_32),
 	.D(n_3928),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [2]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][3]  (
-	.CLK(CTS_13),
+	.CLK(CTS_32),
 	.D(n_3927),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [3]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][4]  (
-	.CLK(CTS_13),
+	.CLK(CTS_32),
 	.D(n_5006),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [4]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][5]  (
-	.CLK(CTS_16),
+	.CLK(CTS_32),
 	.D(n_3926),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [5]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][6]  (
-	.CLK(CTS_2),
+	.CLK(CTS_32),
 	.D(n_5008),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [6]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][7]  (
-	.CLK(CTS_16),
+	.CLK(CTS_30),
 	.D(n_3925),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [7]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[134][8]  (
-	.CLK(CTS_13),
+	.CLK(CTS_32),
 	.D(n_5011),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [8]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][1]  (
-	.CLK(CTS_9),
+	.CLK(CTS_28),
 	.D(n_3924),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [1]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][2]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_3923),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [2]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][3]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_3922),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [3]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][4]  (
-	.CLK(CTS_9),
+	.CLK(CTS_34),
 	.D(n_3921),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [4]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][5]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_3920),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [5]),
-	.RESET_B(FE_OFN73_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][6]  (
-	.CLK(CTS_11),
+	.CLK(CTS_34),
 	.D(n_3919),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [6]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][7]  (
-	.CLK(CTS_9),
+	.CLK(CTS_28),
 	.D(n_3918),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [7]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[135][8]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_3917),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [8]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][1]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_3916),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [1]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][2]  (
-	.CLK(CTS_13),
+	.CLK(CTS_11),
 	.D(n_3915),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [2]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][3]  (
-	.CLK(CTS_13),
+	.CLK(CTS_8),
 	.D(n_3153),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [3]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][4]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_3914),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [4]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][5]  (
-	.CLK(CTS_13),
+	.CLK(CTS_11),
 	.D(n_3154),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [5]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][6]  (
-	.CLK(CTS_13),
+	.CLK(CTS_8),
 	.D(n_3913),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [6]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][7]  (
-	.CLK(CTS_13),
+	.CLK(CTS_8),
 	.D(n_3156),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [7]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[136][8]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_3912),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [8]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_35),
 	.D(n_3911),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [1]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][2]  (
-	.CLK(CTS_41),
+	.CLK(CTS_20),
 	.D(n_4068),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [2]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][3]  (
-	.CLK(CTS_41),
+	.CLK(CTS_26),
 	.D(n_3910),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [3]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][4]  (
-	.CLK(CTS_41),
+	.CLK(CTS_35),
 	.D(n_4791),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [4]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_35),
 	.D(n_3909),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [5]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][6]  (
-	.CLK(CTS_41),
+	.CLK(CTS_35),
 	.D(n_4992),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [6]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][7]  (
-	.CLK(CTS_41),
+	.CLK(CTS_35),
 	.D(n_3908),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [7]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[137][8]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_4996),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [8]),
-	.RESET_B(FE_OFN25_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][1]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_3907),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [1]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][2]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_3906),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [2]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][3]  (
-	.CLK(CTS_7),
+	.CLK(CTS_30),
 	.D(n_3905),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [3]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][4]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_3904),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [4]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][5]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_3903),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [5]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][6]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_3902),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [6]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN79_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][7]  (
-	.CLK(CTS_7),
+	.CLK(CTS_30),
 	.D(n_3901),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [7]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[138][8]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_3900),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [8]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][1]  (
-	.CLK(CTS_1),
+	.CLK(CTS_11),
 	.D(n_3899),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [1]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][2]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_3898),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [2]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][3]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_3897),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [3]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][4]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_3896),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [4]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][5]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_3895),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [5]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][6]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_3894),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [6]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][7]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_5030),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [7]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[139][8]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_5032),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [8]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][1]  (
-	.CLK(CTS_57),
+	.CLK(CTS_8),
 	.D(n_3893),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [1]),
-	.RESET_B(FE_OFN109_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][2]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_3892),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [2]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][3]  (
-	.CLK(CTS_57),
+	.CLK(CTS_62),
 	.D(n_3891),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [3]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][4]  (
-	.CLK(CTS_16),
+	.CLK(CTS_62),
 	.D(n_3890),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [4]),
-	.RESET_B(FE_OFN109_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][5]  (
-	.CLK(CTS_57),
+	.CLK(CTS_62),
 	.D(n_3889),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [5]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][6]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_3888),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [6]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][7]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_3887),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [7]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[140][8]  (
-	.CLK(CTS_57),
+	.CLK(CTS_56),
 	.D(n_3886),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [8]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][1]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_4969),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [1]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][2]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_3885),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [2]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][3]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_3884),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [3]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][4]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_3883),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [4]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][5]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_3882),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [5]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][6]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_3881),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [6]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][7]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_3880),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [7]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[141][8]  (
-	.CLK(CTS_4),
+	.CLK(CTS_20),
 	.D(n_3879),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [8]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][1]  (
-	.CLK(CTS_16),
+	.CLK(CTS_18),
 	.D(n_3878),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [1]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][2]  (
-	.CLK(CTS_16),
+	.CLK(CTS_18),
 	.D(n_3877),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [2]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][3]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_3876),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [3]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][4]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_3875),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [4]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][5]  (
-	.CLK(CTS_6),
+	.CLK(CTS_18),
 	.D(n_3874),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [5]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][6]  (
-	.CLK(CTS_16),
+	.CLK(CTS_18),
 	.D(n_4861),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [6]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][7]  (
-	.CLK(CTS_16),
+	.CLK(CTS_18),
 	.D(n_3873),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [7]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[142][8]  (
-	.CLK(CTS_16),
+	.CLK(CTS_18),
 	.D(n_3872),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [8]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][1]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3871),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [1]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][2]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4943),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [2]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][3]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3870),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [3]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][4]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3168),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [4]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][5]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3869),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [5]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][6]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3868),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [6]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][7]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3867),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [7]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[143][8]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3866),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [8]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_3865),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [1]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][2]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_3864),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [2]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][3]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_3863),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [3]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN122_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][4]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_3862),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [4]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][5]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_3861),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [5]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][6]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_3860),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [6]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][7]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_3859),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [7]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[144][8]  (
-	.CLK(CTS_10),
+	.CLK(CTS_7),
 	.D(n_3858),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][1]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_3857),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [1]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][2]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_3856),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [2]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][3]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_3855),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [3]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][4]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_3854),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [4]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][5]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_3853),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [5]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][6]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_3852),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [6]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][7]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_3851),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [7]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[145][8]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_3850),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [8]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][1]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_4868),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [1]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][2]  (
-	.CLK(CTS_44),
+	.CLK(CTS_14),
 	.D(n_3849),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [2]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][3]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_3848),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [3]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][4]  (
-	.CLK(CTS_44),
+	.CLK(CTS_14),
 	.D(n_3847),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [4]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][5]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_4665),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [5]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][6]  (
-	.CLK(CTS_44),
+	.CLK(CTS_14),
 	.D(n_3846),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [6]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][7]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_3845),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [7]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[146][8]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_3844),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [8]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][1]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4858),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [1]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][2]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3225),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [2]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][3]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3843),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [3]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][4]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4793),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [4]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][5]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3842),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [5]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][6]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4352),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [6]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][7]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4388),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [7]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[147][8]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3841),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [8]),
-	.RESET_B(FE_OFN19501_FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][1]  (
-	.CLK(CTS_38),
+	.CLK(CTS_54),
 	.D(n_3840),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [1]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN140_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][2]  (
-	.CLK(CTS_38),
+	.CLK(CTS_63),
 	.D(n_3839),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [2]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN140_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][3]  (
-	.CLK(CTS_8),
+	.CLK(CTS_63),
 	.D(n_3838),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [3]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][4]  (
-	.CLK(CTS_38),
+	.CLK(CTS_54),
 	.D(n_3837),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [4]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][5]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3836),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [5]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN18805_FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][6]  (
-	.CLK(CTS_38),
+	.CLK(CTS_54),
 	.D(n_4830),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [6]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][7]  (
-	.CLK(CTS_38),
+	.CLK(CTS_54),
 	.D(n_3835),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [7]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[148][8]  (
-	.CLK(CTS_38),
+	.CLK(CTS_63),
 	.D(n_4803),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [8]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][1]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3834),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [1]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][2]  (
-	.CLK(CTS_28),
+	.CLK(CTS_26),
 	.D(n_3166),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [2]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][3]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_5031),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [3]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][4]  (
-	.CLK(CTS_32),
+	.CLK(CTS_22),
 	.D(n_3833),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [4]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN38_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][5]  (
-	.CLK(CTS_28),
+	.CLK(CTS_27),
 	.D(n_4986),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [5]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][6]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3832),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [6]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][7]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3831),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [7]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN38_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[149][8]  (
-	.CLK(CTS_28),
+	.CLK(CTS_26),
 	.D(n_4860),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [8]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][1]  (
-	.CLK(CTS_26),
+	.CLK(CTS_31),
 	.D(n_3830),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [1]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][2]  (
-	.CLK(CTS_47),
+	.CLK(CTS_29),
 	.D(n_3829),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [2]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][3]  (
-	.CLK(CTS_26),
+	.CLK(CTS_31),
 	.D(n_5185),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [3]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][4]  (
-	.CLK(CTS_47),
+	.CLK(CTS_29),
 	.D(n_3828),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [4]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][5]  (
-	.CLK(CTS_47),
+	.CLK(CTS_29),
 	.D(n_4937),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [5]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][6]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_4885),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [6]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][7]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_3827),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [7]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[150][8]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_3249),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [8]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_24),
 	.D(n_4205),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [1]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][2]  (
-	.CLK(CTS_42),
+	.CLK(CTS_31),
 	.D(n_3826),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [2]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][3]  (
-	.CLK(CTS_42),
+	.CLK(CTS_23),
 	.D(n_3825),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [3]),
-	.RESET_B(FE_OFN22_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][4]  (
-	.CLK(CTS_42),
+	.CLK(CTS_24),
 	.D(n_3824),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [4]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][5]  (
-	.CLK(CTS_42),
+	.CLK(CTS_24),
 	.D(n_3823),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [5]),
-	.RESET_B(FE_OFN22_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][6]  (
-	.CLK(CTS_42),
+	.CLK(CTS_19),
 	.D(n_3822),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [6]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][7]  (
-	.CLK(CTS_42),
+	.CLK(CTS_24),
 	.D(n_3821),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [7]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[151][8]  (
-	.CLK(CTS_42),
+	.CLK(CTS_19),
 	.D(n_3819),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [8]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][1]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_3818),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [1]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][2]  (
-	.CLK(CTS_12),
+	.CLK(CTS_16),
 	.D(n_4975),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [2]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][3]  (
-	.CLK(CTS_12),
+	.CLK(CTS_16),
 	.D(n_4977),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [3]),
 	.RESET_B(FE_OFN126_io_out_37), 
@@ -136208,34 +132838,34 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][4]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_3817),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [4]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN122_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][5]  (
-	.CLK(CTS_12),
+	.CLK(CTS_16),
 	.D(n_4750),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [5]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][6]  (
-	.CLK(CTS_12),
+	.CLK(CTS_16),
 	.D(n_3816),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [6]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN122_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][7]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_3815),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [7]),
 	.RESET_B(FE_OFN126_io_out_37), 
@@ -136244,1234 +132874,1234 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[152][8]  (
-	.CLK(CTS_12),
+	.CLK(CTS_16),
 	.D(n_3814),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][1]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4877),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [1]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][2]  (
-	.CLK(CTS_43),
+	.CLK(CTS_23),
 	.D(n_3812),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [2]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][3]  (
-	.CLK(CTS_43),
+	.CLK(CTS_23),
 	.D(n_3811),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [3]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][4]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4939),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [4]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][5]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_3810),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [5]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][6]  (
-	.CLK(CTS_43),
+	.CLK(CTS_23),
 	.D(n_3809),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [6]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][7]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4784),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [7]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[153][8]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_3808),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [8]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][1]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_4918),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [1]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][2]  (
-	.CLK(CTS_44),
+	.CLK(CTS_29),
 	.D(n_3807),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [2]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][3]  (
-	.CLK(CTS_44),
+	.CLK(CTS_12),
 	.D(n_3806),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [3]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][4]  (
-	.CLK(CTS_44),
+	.CLK(CTS_29),
 	.D(n_4759),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [4]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][5]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_3805),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [5]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][6]  (
-	.CLK(CTS_44),
+	.CLK(CTS_14),
 	.D(n_3804),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [6]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][7]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_4826),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [7]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[154][8]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_4993),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [8]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_19),
 	.D(n_4956),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [1]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][2]  (
-	.CLK(CTS_3),
+	.CLK(CTS_12),
 	.D(n_3803),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [2]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][3]  (
-	.CLK(CTS_41),
+	.CLK(CTS_12),
 	.D(n_3802),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [3]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][4]  (
-	.CLK(CTS_3),
+	.CLK(CTS_12),
 	.D(n_4903),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [4]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][5]  (
-	.CLK(CTS_41),
+	.CLK(CTS_12),
 	.D(n_3801),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [5]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][6]  (
-	.CLK(CTS_41),
+	.CLK(CTS_12),
 	.D(n_3800),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [6]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][7]  (
-	.CLK(CTS_41),
+	.CLK(CTS_12),
 	.D(n_3799),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [7]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[155][8]  (
-	.CLK(CTS_41),
+	.CLK(CTS_12),
 	.D(n_3798),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [8]),
-	.RESET_B(FE_OFN60_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][1]  (
-	.CLK(CTS_38),
+	.CLK(CTS_58),
 	.D(n_3797),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [1]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][2]  (
-	.CLK(CTS_57),
+	.CLK(CTS_7),
 	.D(n_4870),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [2]),
-	.RESET_B(FE_OFN106_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][3]  (
-	.CLK(CTS_57),
-	.D(n_3796),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [3]),
-	.RESET_B(FE_OFN106_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][4]  (
-	.CLK(CTS_57),
-	.D(n_4971),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [4]),
-	.RESET_B(FE_OFN106_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][5]  (
-	.CLK(CTS_39),
-	.D(n_4812),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [5]),
-	.RESET_B(FE_OFN108_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][6]  (
-	.CLK(CTS_57),
-	.D(n_3795),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [6]),
-	.RESET_B(FE_OFN131_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][7]  (
-	.CLK(CTS_57),
-	.D(n_3793),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [7]),
-	.RESET_B(FE_OFN131_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][8]  (
-	.CLK(CTS_12),
-	.D(n_4899),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [8]),
-	.RESET_B(FE_OFN108_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][1]  (
-	.CLK(CTS_47),
-	.D(n_4906),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [1]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][2]  (
-	.CLK(CTS_47),
-	.D(n_4924),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [2]),
-	.RESET_B(FE_OFN105_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][3]  (
-	.CLK(CTS_47),
-	.D(n_3792),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [3]),
-	.RESET_B(FE_OFN103_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][4]  (
-	.CLK(CTS_47),
-	.D(n_4921),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [4]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][5]  (
-	.CLK(CTS_38),
-	.D(n_3791),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [5]),
-	.RESET_B(FE_OFN103_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][6]  (
-	.CLK(CTS_47),
-	.D(n_4929),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [6]),
-	.RESET_B(FE_OFN103_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][7]  (
-	.CLK(CTS_47),
-	.D(n_3790),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [7]),
-	.RESET_B(FE_OFN103_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][8]  (
-	.CLK(CTS_47),
-	.D(n_4914),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [8]),
-	.RESET_B(FE_OFN105_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][1]  (
-	.CLK(CTS_47),
-	.D(n_3789),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [1]),
-	.RESET_B(FE_OFN103_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][2]  (
-	.CLK(CTS_47),
-	.D(n_4842),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [2]),
-	.RESET_B(FE_OFN103_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][3]  (
-	.CLK(CTS_47),
-	.D(n_4833),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [3]),
-	.RESET_B(FE_OFN103_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][4]  (
-	.CLK(CTS_28),
-	.D(n_4946),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [4]),
-	.RESET_B(FE_OFN120_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][5]  (
-	.CLK(CTS_47),
-	.D(n_3787),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [5]),
-	.RESET_B(FE_OFN103_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][6]  (
-	.CLK(CTS_28),
-	.D(n_3658),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [6]),
-	.RESET_B(FE_OFN120_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][7]  (
-	.CLK(CTS_28),
-	.D(n_3786),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [7]),
-	.RESET_B(FE_OFN120_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][8]  (
-	.CLK(CTS_28),
-	.D(n_3155),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [8]),
-	.RESET_B(FE_OFN120_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][1]  (
-	.CLK(CTS_10),
-	.D(n_3785),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [1]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][2]  (
-	.CLK(CTS_10),
-	.D(n_3784),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [2]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][3]  (
-	.CLK(CTS_10),
-	.D(n_3783),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [3]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][4]  (
-	.CLK(CTS_10),
-	.D(n_3782),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [4]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][5]  (
-	.CLK(CTS_10),
-	.D(n_3781),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [5]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][6]  (
-	.CLK(CTS_3),
-	.D(n_3780),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [6]),
-	.RESET_B(FE_OFN61_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][7]  (
-	.CLK(CTS_10),
-	.D(n_3779),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [7]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][8]  (
-	.CLK(CTS_3),
-	.D(n_3778),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [8]),
-	.RESET_B(FE_OFN61_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][1]  (
-	.CLK(CTS_12),
-	.D(n_3777),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [1]),
-	.RESET_B(FE_OFN127_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][2]  (
-	.CLK(CTS_12),
-	.D(n_3776),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [2]),
-	.RESET_B(FE_OFN127_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][3]  (
-	.CLK(CTS_7),
-	.D(n_4998),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [3]),
-	.RESET_B(FE_OFN70_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][4]  (
-	.CLK(CTS_7),
-	.D(n_3775),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [4]),
-	.RESET_B(FE_OFN127_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][5]  (
-	.CLK(CTS_7),
-	.D(n_5000),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [5]),
-	.RESET_B(FE_OFN70_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][6]  (
-	.CLK(CTS_12),
-	.D(n_3774),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [6]),
-	.RESET_B(FE_OFN70_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][7]  (
-	.CLK(CTS_7),
-	.D(n_5020),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [7]),
-	.RESET_B(FE_OFN70_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][8]  (
-	.CLK(CTS_10),
-	.D(n_3773),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][1]  (
-	.CLK(CTS_5),
-	.D(n_5019),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [1]),
-	.RESET_B(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][2]  (
-	.CLK(CTS_15),
-	.D(n_5021),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [2]),
-	.RESET_B(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][3]  (
-	.CLK(CTS_5),
-	.D(n_3772),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [3]),
-	.RESET_B(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][4]  (
-	.CLK(CTS_5),
-	.D(n_5022),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [4]),
-	.RESET_B(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][5]  (
-	.CLK(CTS_5),
-	.D(n_3771),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [5]),
-	.RESET_B(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][6]  (
-	.CLK(CTS_15),
-	.D(n_3770),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [6]),
-	.RESET_B(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][7]  (
-	.CLK(CTS_15),
-	.D(n_5029),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [7]),
-	.RESET_B(FE_OFN128_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][8]  (
-	.CLK(CTS_5),
-	.D(n_3769),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [8]),
-	.RESET_B(FE_OFN128_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][1]  (
-	.CLK(CTS_3),
-	.D(n_3768),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [1]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][2]  (
-	.CLK(CTS_3),
-	.D(n_3767),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [2]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][3]  (
-	.CLK(CTS_3),
-	.D(n_3766),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [3]),
-	.RESET_B(FE_OFN61_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][4]  (
-	.CLK(CTS_3),
-	.D(n_3765),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [4]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][5]  (
-	.CLK(CTS_3),
-	.D(n_3172),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [5]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][6]  (
-	.CLK(CTS_1),
-	.D(n_3764),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [6]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][7]  (
-	.CLK(CTS_1),
-	.D(n_3763),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [7]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][8]  (
-	.CLK(CTS_1),
-	.D(n_3762),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [8]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][1]  (
-	.CLK(CTS_15),
-	.D(n_3761),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [1]),
-	.RESET_B(FE_OFN26_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][2]  (
-	.CLK(CTS_15),
-	.D(n_3760),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [2]),
-	.RESET_B(FE_OFN26_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][3]  (
-	.CLK(CTS_15),
-	.D(n_4829),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [3]),
-	.RESET_B(FE_OFN26_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][4]  (
-	.CLK(CTS_3),
-	.D(n_4828),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [4]),
-	.RESET_B(FE_OFN26_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][5]  (
-	.CLK(CTS_15),
-	.D(n_4872),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [5]),
-	.RESET_B(FE_OFN26_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][6]  (
-	.CLK(CTS_41),
-	.D(n_4949),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [6]),
-	.RESET_B(FE_OFN26_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][7]  (
-	.CLK(CTS_15),
-	.D(n_4923),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [7]),
-	.RESET_B(FE_OFN26_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][8]  (
-	.CLK(CTS_15),
-	.D(n_4954),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [8]),
-	.RESET_B(FE_OFN26_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][1]  (
-	.CLK(CTS_4),
-	.D(n_3759),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [1]),
-	.RESET_B(FE_OFN133_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][2]  (
-	.CLK(CTS_8),
-	.D(n_3758),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [2]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][3]  (
-	.CLK(CTS_8),
-	.D(n_3757),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [3]),
-	.RESET_B(FE_OFN133_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][4]  (
-	.CLK(CTS_4),
-	.D(n_3756),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [4]),
-	.RESET_B(FE_OFN110_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][5]  (
-	.CLK(CTS_4),
-	.D(n_3755),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [5]),
-	.RESET_B(FE_OFN133_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][6]  (
-	.CLK(CTS_8),
-	.D(n_3754),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [6]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][7]  (
-	.CLK(CTS_4),
-	.D(n_3753),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [7]),
-	.RESET_B(FE_OFN133_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][8]  (
-	.CLK(CTS_8),
-	.D(n_3752),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [8]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][1]  (
-	.CLK(CTS_2),
-	.D(n_3751),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [1]),
-	.RESET_B(FE_OFN125_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][2]  (
-	.CLK(CTS_2),
-	.D(n_4944),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [2]),
-	.RESET_B(FE_OFN125_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][3]  (
-	.CLK(CTS_2),
-	.D(n_3749),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [3]),
-	.RESET_B(FE_OFN134_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][4]  (
-	.CLK(CTS_2),
-	.D(n_4742),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [4]),
-	.RESET_B(FE_OFN125_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][5]  (
-	.CLK(CTS_2),
-	.D(n_3748),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [5]),
-	.RESET_B(FE_OFN134_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][6]  (
-	.CLK(CTS_2),
-	.D(n_4281),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [6]),
-	.RESET_B(FE_OFN134_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][7]  (
-	.CLK(CTS_2),
-	.D(n_3747),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [7]),
-	.RESET_B(FE_OFN134_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][8]  (
-	.CLK(CTS_2),
-	.D(n_3746),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [8]),
-	.RESET_B(FE_OFN134_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][1]  (
-	.CLK(CTS_13),
-	.D(n_3745),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [1]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][2]  (
-	.CLK(CTS_13),
-	.D(n_3744),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [2]),
-	.RESET_B(FE_OFN134_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][3]  (
-	.CLK(CTS_13),
-	.D(n_3743),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [3]),
-	.RESET_B(FE_OFN124_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][4]  (
-	.CLK(CTS_13),
-	.D(n_3742),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [4]),
-	.RESET_B(FE_OFN68_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][5]  (
-	.CLK(CTS_13),
-	.D(n_3741),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [5]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][6]  (
-	.CLK(CTS_13),
-	.D(n_3740),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [6]),
-	.RESET_B(FE_OFN134_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][7]  (
-	.CLK(CTS_13),
-	.D(n_3739),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [7]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][8]  (
-	.CLK(CTS_13),
-	.D(n_3738),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [8]),
-	.RESET_B(FE_OFN68_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][1]  (
-	.CLK(CTS_11),
-	.D(n_3737),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [1]),
 	.RESET_B(FE_OFN122_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][3]  (
+	.CLK(CTS_58),
+	.D(n_3796),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [3]),
+	.RESET_B(FE_OFN139_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][4]  (
+	.CLK(CTS_56),
+	.D(n_4971),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [4]),
+	.RESET_B(FE_OFN36_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][5]  (
+	.CLK(CTS_56),
+	.D(n_4812),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [5]),
+	.RESET_B(FE_OFN36_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][6]  (
+	.CLK(CTS_58),
+	.D(n_3795),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [6]),
+	.RESET_B(FE_OFN121_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][7]  (
+	.CLK(CTS_58),
+	.D(n_3793),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [7]),
+	.RESET_B(FE_OFN121_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[156][8]  (
+	.CLK(CTS_7),
+	.D(n_4899),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [8]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][1]  (
+	.CLK(CTS_22),
+	.D(n_4906),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [1]),
+	.RESET_B(FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][2]  (
+	.CLK(CTS_27),
+	.D(n_4924),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [2]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][3]  (
+	.CLK(CTS_27),
+	.D(n_3792),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [3]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][4]  (
+	.CLK(CTS_22),
+	.D(n_4921),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [4]),
+	.RESET_B(FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][5]  (
+	.CLK(CTS_27),
+	.D(n_3791),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [5]),
+	.RESET_B(FE_OFN88_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][6]  (
+	.CLK(CTS_27),
+	.D(n_4929),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [6]),
+	.RESET_B(FE_OFN87_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][7]  (
+	.CLK(CTS_22),
+	.D(n_3790),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [7]),
+	.RESET_B(FE_OFN38_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[157][8]  (
+	.CLK(CTS_27),
+	.D(n_4914),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [8]),
+	.RESET_B(FE_OFN88_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][1]  (
+	.CLK(CTS_31),
+	.D(n_3789),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [1]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][2]  (
+	.CLK(CTS_31),
+	.D(n_4842),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [2]),
+	.RESET_B(FE_OFN27_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][3]  (
+	.CLK(CTS_31),
+	.D(n_4833),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [3]),
+	.RESET_B(FE_OFN27_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][4]  (
+	.CLK(CTS_31),
+	.D(n_4946),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [4]),
+	.RESET_B(FE_OFN27_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][5]  (
+	.CLK(CTS_31),
+	.D(n_3787),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [5]),
+	.RESET_B(FE_OFN27_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][6]  (
+	.CLK(CTS_31),
+	.D(n_3658),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [6]),
+	.RESET_B(FE_OFN27_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][7]  (
+	.CLK(CTS_31),
+	.D(n_3786),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [7]),
+	.RESET_B(FE_OFN27_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[158][8]  (
+	.CLK(CTS_31),
+	.D(n_3155),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [8]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][1]  (
+	.CLK(CTS_19),
+	.D(n_3785),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [1]),
+	.RESET_B(FE_OFN24_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][2]  (
+	.CLK(CTS_19),
+	.D(n_3784),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [2]),
+	.RESET_B(FE_OFN44_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][3]  (
+	.CLK(CTS_19),
+	.D(n_3783),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [3]),
+	.RESET_B(FE_OFN24_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][4]  (
+	.CLK(CTS_19),
+	.D(n_3782),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [4]),
+	.RESET_B(FE_OFN24_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][5]  (
+	.CLK(CTS_31),
+	.D(n_3781),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [5]),
+	.RESET_B(FE_OFN24_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][6]  (
+	.CLK(CTS_19),
+	.D(n_3780),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [6]),
+	.RESET_B(FE_OFN44_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][7]  (
+	.CLK(CTS_19),
+	.D(n_3779),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [7]),
+	.RESET_B(FE_OFN44_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[159][8]  (
+	.CLK(CTS_19),
+	.D(n_3778),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [8]),
+	.RESET_B(FE_OFN24_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][1]  (
+	.CLK(CTS_9),
+	.D(n_3777),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [1]),
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][2]  (
+	.CLK(CTS_9),
+	.D(n_3776),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [2]),
+	.RESET_B(FE_OFN86_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][3]  (
+	.CLK(CTS_9),
+	.D(n_4998),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [3]),
+	.RESET_B(FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][4]  (
+	.CLK(CTS_9),
+	.D(n_3775),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [4]),
+	.RESET_B(FE_OFN86_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][5]  (
+	.CLK(CTS_9),
+	.D(n_5000),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [5]),
+	.RESET_B(FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][6]  (
+	.CLK(CTS_56),
+	.D(n_3774),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [6]),
+	.RESET_B(FE_OFN86_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][7]  (
+	.CLK(CTS_9),
+	.D(n_5020),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [7]),
+	.RESET_B(FE_OFN36_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[160][8]  (
+	.CLK(CTS_9),
+	.D(n_3773),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [8]),
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][1]  (
+	.CLK(CTS_20),
+	.D(n_5019),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [1]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][2]  (
+	.CLK(CTS_35),
+	.D(n_5021),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [2]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][3]  (
+	.CLK(CTS_35),
+	.D(n_3772),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [3]),
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][4]  (
+	.CLK(CTS_35),
+	.D(n_5022),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [4]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][5]  (
+	.CLK(CTS_35),
+	.D(n_3771),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [5]),
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][6]  (
+	.CLK(CTS_35),
+	.D(n_3770),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [6]),
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][7]  (
+	.CLK(CTS_35),
+	.D(n_5029),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [7]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[161][8]  (
+	.CLK(CTS_20),
+	.D(n_3769),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [8]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][1]  (
+	.CLK(CTS_30),
+	.D(n_3768),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [1]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][2]  (
+	.CLK(CTS_30),
+	.D(n_3767),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [2]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][3]  (
+	.CLK(CTS_9),
+	.D(n_3766),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [3]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][4]  (
+	.CLK(CTS_30),
+	.D(n_3765),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [4]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][5]  (
+	.CLK(CTS_9),
+	.D(n_3172),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [5]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][6]  (
+	.CLK(CTS_30),
+	.D(n_3764),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [6]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][7]  (
+	.CLK(CTS_30),
+	.D(n_3763),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [7]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[162][8]  (
+	.CLK(CTS_30),
+	.D(n_3762),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [8]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][1]  (
+	.CLK(CTS_18),
+	.D(n_3761),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [1]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][2]  (
+	.CLK(CTS_18),
+	.D(n_3760),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [2]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][3]  (
+	.CLK(CTS_18),
+	.D(n_4829),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [3]),
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][4]  (
+	.CLK(CTS_18),
+	.D(n_4828),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [4]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][5]  (
+	.CLK(CTS_18),
+	.D(n_4872),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [5]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][6]  (
+	.CLK(CTS_18),
+	.D(n_4949),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [6]),
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][7]  (
+	.CLK(CTS_18),
+	.D(n_4923),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [7]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[163][8]  (
+	.CLK(CTS_18),
+	.D(n_4954),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [8]),
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][1]  (
+	.CLK(CTS_62),
+	.D(n_3759),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [1]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][2]  (
+	.CLK(CTS_62),
+	.D(n_3758),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [2]),
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][3]  (
+	.CLK(CTS_62),
+	.D(n_3757),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [3]),
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][4]  (
+	.CLK(CTS_62),
+	.D(n_3756),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [4]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][5]  (
+	.CLK(CTS_56),
+	.D(n_3755),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [5]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][6]  (
+	.CLK(CTS_62),
+	.D(n_3754),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [6]),
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][7]  (
+	.CLK(CTS_56),
+	.D(n_3753),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [7]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[164][8]  (
+	.CLK(CTS_62),
+	.D(n_3752),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [8]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][1]  (
+	.CLK(CTS_20),
+	.D(n_3751),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [1]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][2]  (
+	.CLK(CTS_34),
+	.D(n_4944),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [2]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][3]  (
+	.CLK(CTS_20),
+	.D(n_3749),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [3]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][4]  (
+	.CLK(CTS_20),
+	.D(n_4742),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [4]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][5]  (
+	.CLK(CTS_20),
+	.D(n_3748),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [5]),
+	.RESET_B(FE_OFN18800_FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][6]  (
+	.CLK(CTS_20),
+	.D(n_4281),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [6]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][7]  (
+	.CLK(CTS_20),
+	.D(n_3747),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [7]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[165][8]  (
+	.CLK(CTS_20),
+	.D(n_3746),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [8]),
+	.RESET_B(FE_OFN18800_FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][1]  (
+	.CLK(CTS_30),
+	.D(n_3745),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [1]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][2]  (
+	.CLK(CTS_32),
+	.D(n_3744),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [2]),
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][3]  (
+	.CLK(CTS_32),
+	.D(n_3743),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [3]),
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][4]  (
+	.CLK(CTS_32),
+	.D(n_3742),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [4]),
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][5]  (
+	.CLK(CTS_30),
+	.D(n_3741),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [5]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][6]  (
+	.CLK(CTS_32),
+	.D(n_3740),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [6]),
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][7]  (
+	.CLK(CTS_30),
+	.D(n_3739),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [7]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[166][8]  (
+	.CLK(CTS_32),
+	.D(n_3738),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [8]),
+	.RESET_B(FE_OFN18803_FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][1]  (
+	.CLK(CTS_32),
+	.D(n_3737),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [1]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][2]  (
-	.CLK(CTS_11),
+	.CLK(CTS_35),
 	.D(n_3736),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [2]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][3]  (
-	.CLK(CTS_11),
+	.CLK(CTS_35),
 	.D(n_3734),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [3]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][4]  (
-	.CLK(CTS_11),
+	.CLK(CTS_35),
 	.D(n_3733),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [4]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][5]  (
-	.CLK(CTS_11),
+	.CLK(CTS_35),
 	.D(n_3732),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [5]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][6]  (
-	.CLK(CTS_11),
+	.CLK(CTS_35),
 	.D(n_3731),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [6]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][7]  (
-	.CLK(CTS_11),
+	.CLK(CTS_35),
 	.D(n_4832),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [7]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[167][8]  (
-	.CLK(CTS_11),
+	.CLK(CTS_35),
 	.D(n_3730),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [8]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][1]  (
-	.CLK(CTS_7),
+	.CLK(CTS_56),
 	.D(n_3729),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [1]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][2]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_4843),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [2]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][3]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_3728),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [3]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][4]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_3727),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [4]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][5]  (
-	.CLK(CTS_7),
+	.CLK(CTS_56),
 	.D(n_3726),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [5]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][6]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_4928),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [6]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][7]  (
-	.CLK(CTS_7),
+	.CLK(CTS_56),
 	.D(n_3725),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [7]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[168][8]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_4978),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [8]),
-	.RESET_B(FE_OFN64_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_24),
 	.D(n_3724),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [1]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][2]  (
-	.CLK(CTS_3),
+	.CLK(CTS_26),
 	.D(n_3723),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [2]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][3]  (
-	.CLK(CTS_3),
+	.CLK(CTS_26),
 	.D(n_3722),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [3]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][4]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_3721),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [4]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_24),
 	.D(n_3719),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [5]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][6]  (
-	.CLK(CTS_41),
+	.CLK(CTS_26),
 	.D(n_3718),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [6]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][7]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_3717),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [7]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[169][8]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_3716),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [8]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -137480,3316 +134110,3316 @@
 	.CLK(CTS_13),
 	.D(n_3715),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [1]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][2]  (
-	.CLK(CTS_2),
+	.CLK(CTS_13),
 	.D(n_4801),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [2]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][3]  (
-	.CLK(CTS_11),
+	.CLK(CTS_18),
 	.D(n_4795),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [3]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][4]  (
-	.CLK(CTS_11),
+	.CLK(CTS_13),
 	.D(n_3714),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [4]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][5]  (
-	.CLK(CTS_11),
+	.CLK(CTS_13),
 	.D(n_4999),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [5]),
-	.RESET_B(FE_OFN68_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][6]  (
-	.CLK(CTS_2),
+	.CLK(CTS_13),
 	.D(n_3713),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [6]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][7]  (
-	.CLK(CTS_7),
+	.CLK(CTS_13),
 	.D(n_3712),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [7]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[170][8]  (
-	.CLK(CTS_11),
+	.CLK(CTS_18),
 	.D(n_3711),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [8]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][1]  (
-	.CLK(CTS_5),
+	.CLK(CTS_13),
 	.D(n_4804),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [1]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][2]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_3710),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [2]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][3]  (
-	.CLK(CTS_5),
+	.CLK(CTS_13),
 	.D(n_3709),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [3]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][4]  (
-	.CLK(CTS_15),
+	.CLK(CTS_13),
 	.D(n_3165),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [4]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][5]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_3708),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [5]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][6]  (
-	.CLK(CTS_15),
+	.CLK(CTS_11),
 	.D(n_3707),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [6]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][7]  (
-	.CLK(CTS_1),
+	.CLK(CTS_11),
 	.D(n_3706),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [7]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[171][8]  (
-	.CLK(CTS_1),
+	.CLK(CTS_11),
 	.D(n_4871),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [8]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][1]  (
-	.CLK(CTS_57),
+	.CLK(CTS_8),
 	.D(n_3705),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [1]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][2]  (
-	.CLK(CTS_57),
+	.CLK(CTS_8),
 	.D(n_3704),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [2]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][3]  (
-	.CLK(CTS_12),
+	.CLK(CTS_8),
 	.D(n_3703),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [3]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][4]  (
-	.CLK(CTS_57),
+	.CLK(CTS_56),
 	.D(n_3702),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [4]),
-	.RESET_B(FE_OFN109_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][5]  (
-	.CLK(CTS_57),
+	.CLK(CTS_8),
 	.D(n_3701),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [5]),
-	.RESET_B(FE_OFN109_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][6]  (
-	.CLK(CTS_57),
+	.CLK(CTS_8),
 	.D(n_3700),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [6]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][7]  (
-	.CLK(CTS_12),
+	.CLK(CTS_8),
 	.D(n_3699),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [7]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[172][8]  (
-	.CLK(CTS_57),
+	.CLK(CTS_56),
 	.D(n_3698),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [8]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][1]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3697),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [1]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][2]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3696),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [2]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][3]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_4246),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [3]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][4]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3695),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [4]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][5]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3694),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [5]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][6]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3693),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [6]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][7]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3171),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [7]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[173][8]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3692),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [8]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][1]  (
-	.CLK(CTS_4),
+	.CLK(CTS_28),
 	.D(n_4897),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [1]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][2]  (
-	.CLK(CTS_4),
+	.CLK(CTS_28),
 	.D(n_3691),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [2]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][3]  (
-	.CLK(CTS_4),
+	.CLK(CTS_28),
 	.D(n_3690),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [3]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][4]  (
-	.CLK(CTS_4),
+	.CLK(CTS_28),
 	.D(n_3689),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [4]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][5]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_3688),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [5]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][6]  (
-	.CLK(CTS_4),
+	.CLK(CTS_28),
 	.D(n_3687),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [6]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][7]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_3686),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [7]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[174][8]  (
-	.CLK(CTS_4),
+	.CLK(CTS_28),
 	.D(n_3685),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [8]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][1]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3684),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [1]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][2]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3683),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [2]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][3]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_3682),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [3]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][4]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_4935),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [4]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][5]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3681),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [5]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][6]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4934),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [6]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][7]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3680),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [7]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[175][8]  (
-	.CLK(CTS_1),
+	.CLK(CTS_11),
 	.D(n_3679),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [8]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_3678),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [1]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][2]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_4966),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [2]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][3]  (
-	.CLK(CTS_26),
+	.CLK(CTS_7),
 	.D(n_3677),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [3]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][4]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_4973),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [4]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][5]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_3675),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [5]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][6]  (
-	.CLK(CTS_26),
+	.CLK(CTS_14),
 	.D(n_4976),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [6]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][7]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_3674),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [7]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[176][8]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_3673),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [8]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][1]  (
-	.CLK(CTS_30),
+	.CLK(CTS_22),
 	.D(n_3672),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [1]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][2]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_3671),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [2]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][3]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_4788),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [3]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][4]  (
-	.CLK(CTS_30),
+	.CLK(CTS_22),
 	.D(n_3670),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [4]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][5]  (
-	.CLK(CTS_30),
+	.CLK(CTS_21),
 	.D(n_4749),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [5]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][6]  (
-	.CLK(CTS_30),
+	.CLK(CTS_22),
 	.D(n_3669),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [6]),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][7]  (
-	.CLK(CTS_30),
+	.CLK(CTS_21),
 	.D(n_4760),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [7]),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[177][8]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_3668),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [8]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][1]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_4778),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [1]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][2]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_4799),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [2]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][3]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_4798),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [3]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][4]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3667),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [4]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][5]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_4821),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [5]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][6]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3666),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [6]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][7]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_4827),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [7]),
-	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[178][8]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_4834),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [8]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][1]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4837),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [1]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][2]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3665),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [2]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][3]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3664),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [3]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][4]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4900),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [4]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][5]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3663),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [5]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][6]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3662),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [6]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][7]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3661),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [7]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[179][8]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4905),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [8]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][1]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_3660),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [1]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][2]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(n_4909),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [2]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][3]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_3659),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [3]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][4]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(n_4913),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [4]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][5]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_4915),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [5]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][6]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_4917),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [6]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][7]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(n_4925),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [7]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[180][8]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(n_4919),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [8]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][1]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3657),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [1]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][2]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3656),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [2]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][3]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3655),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [3]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][4]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3654),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [4]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][5]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_4951),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [5]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][6]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3653),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [6]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][7]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3652),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [7]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[181][8]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3651),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [8]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][1]  (
-	.CLK(CTS_32),
+	.CLK(CTS_14),
 	.D(n_3650),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [1]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][2]  (
-	.CLK(CTS_32),
+	.CLK(CTS_14),
 	.D(n_3649),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [2]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][3]  (
-	.CLK(CTS_32),
+	.CLK(CTS_14),
 	.D(n_4802),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [3]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][4]  (
-	.CLK(CTS_32),
+	.CLK(CTS_14),
 	.D(n_3648),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [4]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_14),
 	.D(n_3647),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [5]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][6]  (
-	.CLK(CTS_32),
+	.CLK(CTS_14),
 	.D(n_3646),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [6]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][7]  (
-	.CLK(CTS_32),
+	.CLK(CTS_14),
 	.D(n_4883),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [7]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[182][8]  (
-	.CLK(CTS_32),
+	.CLK(CTS_14),
 	.D(n_3644),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [8]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_23),
 	.D(n_4936),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [1]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][2]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_4931),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [2]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][3]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_3643),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [3]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][4]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_3642),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [4]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN91_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][5]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_3641),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [5]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][6]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_3640),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [6]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][7]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_3639),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [7]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[183][8]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_3638),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [8]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN91_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][1]  (
-	.CLK(CTS_26),
+	.CLK(CTS_14),
 	.D(n_3637),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [1]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][2]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_4839),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [2]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][3]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_3635),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [3]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][4]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_4850),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [4]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_16),
 	.D(n_3634),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [5]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][6]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_4857),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [6]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][7]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_3633),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [7]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[184][8]  (
-	.CLK(CTS_26),
+	.CLK(CTS_14),
 	.D(n_3632),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [8]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][1]  (
-	.CLK(CTS_40),
+	.CLK(CTS_27),
 	.D(n_3631),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [1]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][2]  (
-	.CLK(CTS_43),
+	.CLK(CTS_23),
 	.D(n_3630),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [2]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][3]  (
-	.CLK(CTS_30),
+	.CLK(CTS_27),
 	.D(n_3629),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [3]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][4]  (
-	.CLK(CTS_40),
+	.CLK(CTS_27),
 	.D(n_3628),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [4]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][5]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_3627),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [5]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][6]  (
-	.CLK(CTS_30),
+	.CLK(CTS_27),
 	.D(n_3626),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [6]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][7]  (
-	.CLK(CTS_40),
+	.CLK(CTS_22),
 	.D(n_3625),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [7]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[185][8]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_3624),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [8]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][1]  (
-	.CLK(CTS_44),
+	.CLK(CTS_19),
 	.D(n_3623),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [1]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][2]  (
-	.CLK(CTS_32),
+	.CLK(CTS_19),
 	.D(n_3622),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [2]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][3]  (
-	.CLK(CTS_44),
+	.CLK(CTS_19),
 	.D(n_3621),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [3]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][4]  (
-	.CLK(CTS_44),
+	.CLK(CTS_19),
 	.D(n_3620),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [4]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][5]  (
-	.CLK(CTS_44),
+	.CLK(CTS_19),
 	.D(n_3619),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [5]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][6]  (
-	.CLK(CTS_44),
+	.CLK(CTS_19),
 	.D(n_3618),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [6]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][7]  (
-	.CLK(CTS_25),
+	.CLK(CTS_19),
 	.D(n_3617),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [7]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[186][8]  (
-	.CLK(CTS_44),
+	.CLK(CTS_19),
 	.D(n_3616),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [8]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][1]  (
-	.CLK(CTS_40),
+	.CLK(CTS_29),
 	.D(n_3615),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [1]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN43_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][2]  (
-	.CLK(CTS_40),
+	.CLK(CTS_12),
 	.D(n_4945),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [2]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][3]  (
-	.CLK(CTS_40),
+	.CLK(CTS_12),
 	.D(n_3614),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [3]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][4]  (
-	.CLK(CTS_40),
+	.CLK(CTS_12),
 	.D(n_3613),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [4]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][5]  (
-	.CLK(CTS_40),
+	.CLK(CTS_12),
 	.D(n_3612),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [5]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][6]  (
-	.CLK(CTS_40),
+	.CLK(CTS_12),
 	.D(n_3611),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [6]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][7]  (
-	.CLK(CTS_37),
+	.CLK(CTS_12),
 	.D(n_3610),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [7]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[187][8]  (
-	.CLK(CTS_40),
+	.CLK(CTS_12),
 	.D(n_3609),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [8]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][1]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3608),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [1]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][2]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_4756),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [2]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][3]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3607),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [3]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][4]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3606),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [4]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][5]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3605),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [5]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][6]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3604),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [6]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][7]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3603),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [7]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[188][8]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_4805),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [8]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][1]  (
-	.CLK(CTS_38),
+	.CLK(CTS_22),
 	.D(n_3602),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [1]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][2]  (
-	.CLK(CTS_39),
+	.CLK(CTS_27),
 	.D(n_3601),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [2]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][3]  (
-	.CLK(CTS_38),
+	.CLK(CTS_27),
 	.D(n_3600),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [3]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][4]  (
-	.CLK(CTS_38),
+	.CLK(CTS_22),
 	.D(n_3599),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [4]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][5]  (
-	.CLK(CTS_38),
+	.CLK(CTS_27),
 	.D(n_3598),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [5]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][6]  (
-	.CLK(CTS_47),
+	.CLK(CTS_27),
 	.D(n_3597),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [6]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][7]  (
-	.CLK(CTS_47),
+	.CLK(CTS_22),
 	.D(n_3596),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [7]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[189][8]  (
-	.CLK(CTS_39),
+	.CLK(CTS_27),
 	.D(n_3595),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [8]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][1]  (
-	.CLK(CTS_26),
+	.CLK(CTS_31),
 	.D(n_3593),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [1]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][2]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_4898),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [2]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][3]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_3590),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [3]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][4]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_3589),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [4]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][5]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_3588),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [5]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][6]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_4910),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [6]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][7]  (
-	.CLK(CTS_47),
+	.CLK(CTS_31),
 	.D(n_3586),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [7]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[190][8]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_3585),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[190] [8]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][1]  (
-	.CLK(CTS_10),
+	.CLK(CTS_19),
 	.D(n_3584),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [1]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][2]  (
-	.CLK(CTS_3),
+	.CLK(CTS_19),
 	.D(n_4922),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [2]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][3]  (
-	.CLK(CTS_42),
+	.CLK(CTS_31),
 	.D(n_3583),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [3]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][4]  (
-	.CLK(CTS_3),
+	.CLK(CTS_19),
 	.D(n_3582),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [4]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][5]  (
-	.CLK(CTS_42),
+	.CLK(CTS_19),
 	.D(n_3581),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [5]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][6]  (
-	.CLK(CTS_40),
+	.CLK(CTS_19),
 	.D(n_3580),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [6]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][7]  (
-	.CLK(CTS_40),
+	.CLK(CTS_19),
 	.D(n_3579),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [7]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[191][8]  (
-	.CLK(CTS_3),
+	.CLK(CTS_19),
 	.D(n_3578),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [8]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][1]  (
-	.CLK(CTS_12),
+	.CLK(CTS_9),
 	.D(n_3577),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [1]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][2]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_4941),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [2]),
-	.RESET_B(FE_OFN72_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][3]  (
-	.CLK(CTS_57),
+	.CLK(CTS_56),
 	.D(n_3576),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [3]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][4]  (
-	.CLK(CTS_10),
+	.CLK(CTS_9),
 	.D(n_3575),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [4]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][5]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3574),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [5]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][6]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3573),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [6]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][7]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3572),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [7]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[192][8]  (
-	.CLK(CTS_10),
+	.CLK(CTS_9),
 	.D(n_4825),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][1]  (
-	.CLK(CTS_5),
+	.CLK(CTS_20),
 	.D(n_3571),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [1]),
-	.RESET_B(FE_OFN74_io_out_37), 
+	.RESET_B(FE_OFN41_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][2]  (
-	.CLK(CTS_5),
+	.CLK(CTS_34),
 	.D(n_3570),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [2]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][3]  (
-	.CLK(CTS_5),
+	.CLK(CTS_34),
 	.D(n_3569),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [3]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][4]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_3568),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [4]),
-	.RESET_B(FE_OFN128_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][5]  (
-	.CLK(CTS_5),
-	.D(n_3567),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [5]),
-	.RESET_B(FE_OFN74_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][6]  (
-	.CLK(CTS_5),
-	.D(n_3566),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [6]),
-	.RESET_B(FE_OFN128_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][7]  (
-	.CLK(CTS_5),
-	.D(n_3565),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [7]),
-	.RESET_B(FE_OFN128_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][8]  (
-	.CLK(CTS_5),
-	.D(n_3564),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [8]),
-	.RESET_B(FE_OFN128_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][1]  (
-	.CLK(CTS_1),
-	.D(n_3563),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [1]),
-	.RESET_B(FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][2]  (
-	.CLK(CTS_7),
-	.D(n_3562),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [2]),
-	.RESET_B(FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][3]  (
-	.CLK(CTS_10),
-	.D(n_3561),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [3]),
-	.RESET_B(FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][4]  (
-	.CLK(CTS_1),
-	.D(n_3560),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [4]),
-	.RESET_B(FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][5]  (
-	.CLK(CTS_10),
-	.D(n_3559),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [5]),
-	.RESET_B(FE_OFN127_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][6]  (
-	.CLK(CTS_10),
-	.D(n_3558),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [6]),
-	.RESET_B(FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][7]  (
-	.CLK(CTS_7),
-	.D(n_3557),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [7]),
-	.RESET_B(FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][8]  (
-	.CLK(CTS_1),
-	.D(n_3556),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [8]),
-	.RESET_B(FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][1]  (
-	.CLK(CTS_15),
-	.D(n_3555),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [1]),
-	.RESET_B(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][2]  (
-	.CLK(CTS_15),
-	.D(n_3554),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [2]),
-	.RESET_B(FE_OFN25_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][3]  (
-	.CLK(CTS_15),
-	.D(n_4831),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [3]),
-	.RESET_B(FE_OFN25_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][4]  (
-	.CLK(CTS_15),
-	.D(n_3553),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [4]),
-	.RESET_B(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][5]  (
-	.CLK(CTS_15),
-	.D(n_3552),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [5]),
-	.RESET_B(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][6]  (
-	.CLK(CTS_15),
-	.D(n_3551),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [6]),
-	.RESET_B(FE_OFN25_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][7]  (
-	.CLK(CTS_15),
-	.D(n_3550),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [7]),
-	.RESET_B(FE_OFN62_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][8]  (
-	.CLK(CTS_15),
-	.D(n_4785),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [8]),
-	.RESET_B(FE_OFN25_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][1]  (
-	.CLK(CTS_4),
-	.D(n_4864),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [1]),
-	.RESET_B(FE_OFN133_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][2]  (
-	.CLK(CTS_8),
-	.D(n_3549),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [2]),
-	.RESET_B(FE_OFN110_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][3]  (
-	.CLK(CTS_4),
-	.D(n_3548),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [3]),
-	.RESET_B(FE_OFN110_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][4]  (
-	.CLK(CTS_4),
-	.D(n_3547),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [4]),
-	.RESET_B(FE_OFN110_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][5]  (
-	.CLK(CTS_4),
-	.D(n_3546),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [5]),
-	.RESET_B(FE_OFN133_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][6]  (
-	.CLK(CTS_8),
-	.D(n_3545),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [6]),
-	.RESET_B(FE_OFN130_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][7]  (
-	.CLK(CTS_4),
-	.D(n_3544),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [7]),
-	.RESET_B(FE_OFN110_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][8]  (
-	.CLK(CTS_8),
-	.D(n_3543),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [8]),
-	.RESET_B(FE_OFN110_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][1]  (
-	.CLK(CTS_11),
-	.D(n_3542),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [1]),
-	.RESET_B(FE_OFN125_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][2]  (
-	.CLK(CTS_2),
-	.D(n_4841),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [2]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][3]  (
-	.CLK(CTS_2),
-	.D(n_3541),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [3]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][4]  (
-	.CLK(CTS_2),
-	.D(n_3540),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [4]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][5]  (
-	.CLK(CTS_2),
-	.D(n_3539),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [5]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][6]  (
-	.CLK(CTS_2),
-	.D(n_3538),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [6]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][7]  (
-	.CLK(CTS_2),
-	.D(n_4840),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [7]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][8]  (
-	.CLK(CTS_2),
-	.D(n_3537),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [8]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][1]  (
-	.CLK(CTS_13),
-	.D(n_4940),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [1]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][2]  (
-	.CLK(CTS_2),
-	.D(n_3536),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [2]),
-	.RESET_B(FE_OFN125_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][3]  (
-	.CLK(CTS_13),
-	.D(n_3535),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [3]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][4]  (
-	.CLK(CTS_13),
-	.D(n_3534),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [4]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][5]  (
-	.CLK(CTS_16),
-	.D(n_3533),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [5]),
-	.RESET_B(FE_OFN132_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][6]  (
-	.CLK(CTS_2),
-	.D(n_4902),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [6]),
-	.RESET_B(FE_OFN125_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][7]  (
-	.CLK(CTS_13),
-	.D(n_3532),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [7]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][8]  (
-	.CLK(CTS_2),
-	.D(n_3531),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [8]),
-	.RESET_B(FE_OFN68_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][1]  (
-	.CLK(CTS_9),
-	.D(n_3530),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [1]),
-	.RESET_B(FE_OFN122_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][2]  (
-	.CLK(CTS_11),
-	.D(n_4912),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [2]),
-	.RESET_B(FE_OFN69_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][3]  (
-	.CLK(CTS_11),
-	.D(n_4911),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [3]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][4]  (
-	.CLK(CTS_9),
-	.D(n_3529),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [4]),
-	.RESET_B(FE_OFN69_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][5]  (
-	.CLK(CTS_11),
-	.D(n_3528),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [5]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][6]  (
-	.CLK(CTS_11),
-	.D(n_4959),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [6]),
-	.RESET_B(FE_OFN73_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][7]  (
-	.CLK(CTS_9),
-	.D(n_3527),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [7]),
-	.RESET_B(FE_OFN69_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][8]  (
-	.CLK(CTS_9),
-	.D(n_4835),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [8]),
-	.RESET_B(FE_OFN69_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][1]  (
-	.CLK(CTS_7),
-	.D(n_4768),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [1]),
-	.RESET_B(FE_OFN70_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][2]  (
-	.CLK(CTS_7),
-	.D(n_3526),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [2]),
-	.RESET_B(FE_OFN70_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][3]  (
-	.CLK(CTS_13),
-	.D(n_3525),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [3]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][4]  (
-	.CLK(CTS_7),
-	.D(n_3524),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [4]),
-	.RESET_B(FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][5]  (
-	.CLK(CTS_13),
-	.D(n_3523),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [5]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][6]  (
-	.CLK(CTS_13),
-	.D(n_3522),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [6]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][7]  (
-	.CLK(CTS_13),
-	.D(n_3521),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [7]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][8]  (
-	.CLK(CTS_7),
-	.D(n_3520),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [8]),
-	.RESET_B(FE_OFN64_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][1]  (
-	.CLK(CTS_3),
-	.D(n_3519),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [1]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][2]  (
-	.CLK(CTS_41),
-	.D(n_4874),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [2]),
-	.RESET_B(FE_OFN25_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][3]  (
-	.CLK(CTS_41),
-	.D(n_3518),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [3]),
-	.RESET_B(FE_OFN25_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][4]  (
-	.CLK(CTS_41),
-	.D(n_3517),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [4]),
-	.RESET_B(FE_OFN23_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][5]  (
-	.CLK(CTS_3),
-	.D(n_3516),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [5]),
-	.RESET_B(FE_OFN61_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][6]  (
-	.CLK(CTS_41),
-	.D(n_3515),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [6]),
-	.RESET_B(FE_OFN60_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][7]  (
-	.CLK(CTS_41),
-	.D(n_3514),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [7]),
-	.RESET_B(FE_OFN60_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][8]  (
-	.CLK(CTS_41),
-	.D(n_3513),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [8]),
-	.RESET_B(FE_OFN25_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][1]  (
-	.CLK(CTS_7),
-	.D(n_4952),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [1]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][2]  (
-	.CLK(CTS_7),
-	.D(n_3512),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [2]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][3]  (
-	.CLK(CTS_7),
-	.D(n_3511),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [3]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][4]  (
-	.CLK(CTS_1),
-	.D(n_3510),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [4]),
-	.RESET_B(FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][5]  (
-	.CLK(CTS_7),
-	.D(n_3509),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [5]),
-	.RESET_B(FE_OFN124_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][6]  (
-	.CLK(CTS_7),
-	.D(n_3508),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [6]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][7]  (
-	.CLK(CTS_7),
-	.D(n_4953),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [7]),
-	.RESET_B(FE_OFN19024_FE_OFN124_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][8]  (
-	.CLK(CTS_1),
-	.D(n_3507),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [8]),
-	.RESET_B(FE_OFN66_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][1]  (
-	.CLK(CTS_1),
-	.D(n_3506),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [1]),
-	.RESET_B(FE_OFN123_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][2]  (
-	.CLK(CTS_9),
-	.D(n_3505),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [2]),
-	.RESET_B(FE_OFN74_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][3]  (
-	.CLK(CTS_9),
-	.D(n_4816),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [3]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][4]  (
-	.CLK(CTS_9),
-	.D(n_3504),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [4]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][5]  (
-	.CLK(CTS_9),
-	.D(n_4771),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [5]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][6]  (
-	.CLK(CTS_5),
-	.D(n_3503),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [6]),
-	.RESET_B(FE_OFN74_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][7]  (
-	.CLK(CTS_1),
-	.D(n_4876),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [7]),
-	.RESET_B(FE_OFN123_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][8]  (
-	.CLK(CTS_9),
-	.D(n_3502),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [8]),
-	.RESET_B(FE_OFN123_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][1]  (
-	.CLK(CTS_13),
-	.D(n_4751),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [1]),
-	.RESET_B(FE_OFN109_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][2]  (
-	.CLK(CTS_8),
-	.D(n_3501),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [2]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][3]  (
-	.CLK(CTS_8),
-	.D(n_3500),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [3]),
-	.RESET_B(FE_OFN133_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][4]  (
-	.CLK(CTS_57),
-	.D(n_3499),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [4]),
-	.RESET_B(FE_OFN109_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][5]  (
-	.CLK(CTS_8),
-	.D(n_3498),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [5]),
-	.RESET_B(FE_OFN133_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][6]  (
-	.CLK(CTS_8),
-	.D(n_3497),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [6]),
-	.RESET_B(FE_OFN130_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][7]  (
-	.CLK(CTS_16),
-	.D(n_3496),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [7]),
-	.RESET_B(FE_OFN109_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][8]  (
-	.CLK(CTS_57),
-	.D(n_3495),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [8]),
-	.RESET_B(FE_OFN131_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][1]  (
-	.CLK(CTS_16),
-	.D(n_3494),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [1]),
-	.RESET_B(FE_OFN111_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][2]  (
-	.CLK(CTS_4),
-	.D(n_4862),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [2]),
-	.RESET_B(FE_OFN112_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][3]  (
-	.CLK(CTS_6),
-	.D(n_3493),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [3]),
-	.RESET_B(FE_OFN111_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][4]  (
-	.CLK(CTS_4),
-	.D(n_3492),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [4]),
-	.RESET_B(FE_OFN112_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][5]  (
-	.CLK(CTS_16),
-	.D(n_3491),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [5]),
-	.RESET_B(FE_OFN111_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][6]  (
-	.CLK(CTS_4),
-	.D(n_4867),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [6]),
-	.RESET_B(FE_OFN112_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][7]  (
-	.CLK(CTS_16),
-	.D(n_3490),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [7]),
-	.RESET_B(FE_OFN112_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][8]  (
-	.CLK(CTS_4),
-	.D(n_4796),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [8]),
-	.RESET_B(FE_OFN112_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][1]  (
-	.CLK(CTS_16),
-	.D(n_3489),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [1]),
-	.RESET_B(FE_OFN111_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][2]  (
-	.CLK(CTS_16),
-	.D(n_3488),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [2]),
-	.RESET_B(FE_OFN111_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][3]  (
-	.CLK(CTS_6),
-	.D(n_3487),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [3]),
-	.RESET_B(FE_OFN111_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][4]  (
-	.CLK(CTS_16),
-	.D(n_3486),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [4]),
-	.RESET_B(FE_OFN111_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][5]  (
-	.CLK(CTS_6),
-	.D(n_3485),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [5]),
-	.RESET_B(FE_OFN111_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][6]  (
-	.CLK(CTS_6),
-	.D(n_3484),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [6]),
-	.RESET_B(FE_OFN111_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][7]  (
-	.CLK(CTS_16),
-	.D(n_3483),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [7]),
-	.RESET_B(FE_OFN111_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][8]  (
-	.CLK(CTS_16),
-	.D(n_3482),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [8]),
-	.RESET_B(FE_OFN111_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][1]  (
-	.CLK(CTS_9),
-	.D(n_3481),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [1]),
-	.RESET_B(FE_OFN122_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][2]  (
-	.CLK(CTS_9),
-	.D(n_3480),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [2]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][3]  (
-	.CLK(CTS_9),
-	.D(n_4960),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [3]),
-	.RESET_B(FE_OFN69_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][4]  (
-	.CLK(CTS_9),
-	.D(n_3479),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [4]),
-	.RESET_B(FE_OFN69_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][5]  (
-	.CLK(CTS_9),
-	.D(n_4990),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [5]),
-	.RESET_B(FE_OFN69_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][6]  (
-	.CLK(CTS_9),
-	.D(n_3478),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [6]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][7]  (
-	.CLK(CTS_9),
-	.D(n_4856),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [7]),
-	.RESET_B(FE_OFN69_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][8]  (
-	.CLK(CTS_9),
-	.D(n_4938),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [8]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][1]  (
-	.CLK(CTS_42),
-	.D(n_4882),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [1]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][2]  (
-	.CLK(CTS_39),
-	.D(n_3477),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [2]),
-	.RESET_B(FE_OFN71_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][3]  (
-	.CLK(CTS_39),
-	.D(n_3475),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [3]),
-	.RESET_B(FE_OFN105_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][4]  (
-	.CLK(CTS_39),
-	.D(n_4933),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [4]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][5]  (
-	.CLK(CTS_39),
-	.D(n_3474),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [5]),
-	.RESET_B(FE_OFN105_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][6]  (
-	.CLK(CTS_39),
-	.D(n_3473),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [6]),
-	.RESET_B(FE_OFN71_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][7]  (
-	.CLK(CTS_39),
-	.D(n_3472),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [7]),
-	.RESET_B(FE_OFN108_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][8]  (
-	.CLK(CTS_42),
-	.D(n_3471),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [8]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][1]  (
-	.CLK(CTS_30),
-	.D(n_3470),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [1]),
-	.RESET_B(FE_OFN76_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][2]  (
-	.CLK(CTS_43),
-	.D(n_3468),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [2]),
-	.RESET_B(FE_OFN76_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][3]  (
-	.CLK(CTS_30),
-	.D(n_3467),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [3]),
-	.RESET_B(FE_OFN76_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][4]  (
-	.CLK(CTS_30),
-	.D(n_4745),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [4]),
 	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][5]  (
+	.CLK(CTS_35),
+	.D(n_3567),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [5]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][6]  (
+	.CLK(CTS_35),
+	.D(n_3566),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [6]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][7]  (
+	.CLK(CTS_35),
+	.D(n_3565),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [7]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[193][8]  (
+	.CLK(CTS_20),
+	.D(n_3564),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [8]),
+	.RESET_B(FE_OFN41_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][1]  (
+	.CLK(CTS_30),
+	.D(n_3563),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [1]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][2]  (
+	.CLK(CTS_30),
+	.D(n_3562),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [2]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][3]  (
+	.CLK(CTS_30),
+	.D(n_3561),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [3]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][4]  (
+	.CLK(CTS_30),
+	.D(n_3560),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [4]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][5]  (
+	.CLK(CTS_30),
+	.D(n_3559),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [5]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][6]  (
+	.CLK(CTS_30),
+	.D(n_3558),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [6]),
+	.RESET_B(FE_OFN75_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][7]  (
+	.CLK(CTS_9),
+	.D(n_3557),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [7]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[194][8]  (
+	.CLK(CTS_9),
+	.D(n_3556),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [8]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][1]  (
+	.CLK(CTS_30),
+	.D(n_3555),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [1]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][2]  (
+	.CLK(CTS_18),
+	.D(n_3554),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [2]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][3]  (
+	.CLK(CTS_18),
+	.D(n_4831),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [3]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][4]  (
+	.CLK(CTS_18),
+	.D(n_3553),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [4]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][5]  (
+	.CLK(CTS_18),
+	.D(n_3552),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [5]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][6]  (
+	.CLK(CTS_18),
+	.D(n_3551),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [6]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][7]  (
+	.CLK(CTS_18),
+	.D(n_3550),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [7]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[195][8]  (
+	.CLK(CTS_32),
+	.D(n_4785),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [8]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][1]  (
+	.CLK(CTS_56),
+	.D(n_4864),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [1]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][2]  (
+	.CLK(CTS_62),
+	.D(n_3549),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [2]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][3]  (
+	.CLK(CTS_62),
+	.D(n_3548),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [3]),
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][4]  (
+	.CLK(CTS_62),
+	.D(n_3547),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [4]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][5]  (
+	.CLK(CTS_56),
+	.D(n_3546),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [5]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][6]  (
+	.CLK(CTS_62),
+	.D(n_3545),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [6]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][7]  (
+	.CLK(CTS_62),
+	.D(n_3544),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [7]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[196][8]  (
+	.CLK(CTS_62),
+	.D(n_3543),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [8]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][1]  (
+	.CLK(CTS_34),
+	.D(n_3542),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [1]),
+	.RESET_B(FE_OFN89_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][2]  (
+	.CLK(CTS_34),
+	.D(n_4841),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [2]),
+	.RESET_B(FE_OFN89_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][3]  (
+	.CLK(CTS_34),
+	.D(n_3541),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [3]),
+	.RESET_B(FE_OFN89_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][4]  (
+	.CLK(CTS_34),
+	.D(n_3540),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [4]),
+	.RESET_B(FE_OFN89_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][5]  (
+	.CLK(CTS_34),
+	.D(n_3539),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [5]),
+	.RESET_B(FE_OFN89_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][6]  (
+	.CLK(CTS_34),
+	.D(n_3538),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [6]),
+	.RESET_B(FE_OFN89_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][7]  (
+	.CLK(CTS_34),
+	.D(n_4840),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [7]),
+	.RESET_B(FE_OFN89_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[197][8]  (
+	.CLK(CTS_34),
+	.D(n_3537),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [8]),
+	.RESET_B(FE_OFN89_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][1]  (
+	.CLK(CTS_30),
+	.D(n_4940),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [1]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][2]  (
+	.CLK(CTS_32),
+	.D(n_3536),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [2]),
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][3]  (
+	.CLK(CTS_32),
+	.D(n_3535),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [3]),
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][4]  (
+	.CLK(CTS_32),
+	.D(n_3534),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [4]),
+	.RESET_B(FE_OFN18816_FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][5]  (
+	.CLK(CTS_30),
+	.D(n_3533),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [5]),
+	.RESET_B(FE_OFN76_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][6]  (
+	.CLK(CTS_32),
+	.D(n_4902),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [6]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][7]  (
+	.CLK(CTS_30),
+	.D(n_3532),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [7]),
+	.RESET_B(FE_OFN30_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[198][8]  (
+	.CLK(CTS_32),
+	.D(n_3531),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [8]),
+	.RESET_B(FE_OFN29_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][1]  (
+	.CLK(CTS_28),
+	.D(n_3530),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [1]),
+	.RESET_B(FE_OFN78_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][2]  (
+	.CLK(CTS_28),
+	.D(n_4912),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [2]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][3]  (
+	.CLK(CTS_28),
+	.D(n_4911),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [3]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][4]  (
+	.CLK(CTS_28),
+	.D(n_3529),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [4]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][5]  (
+	.CLK(CTS_28),
+	.D(n_3528),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [5]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][6]  (
+	.CLK(CTS_28),
+	.D(n_4959),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [6]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][7]  (
+	.CLK(CTS_28),
+	.D(n_3527),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [7]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[199][8]  (
+	.CLK(CTS_28),
+	.D(n_4835),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [8]),
+	.RESET_B(FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][1]  (
+	.CLK(CTS_56),
+	.D(n_4768),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [1]),
+	.RESET_B(FE_OFN86_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][2]  (
+	.CLK(CTS_11),
+	.D(n_3526),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [2]),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][3]  (
+	.CLK(CTS_8),
+	.D(n_3525),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [3]),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][4]  (
+	.CLK(CTS_9),
+	.D(n_3524),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [4]),
+	.RESET_B(FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][5]  (
+	.CLK(CTS_8),
+	.D(n_3523),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [5]),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][6]  (
+	.CLK(CTS_8),
+	.D(n_3522),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [6]),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][7]  (
+	.CLK(CTS_8),
+	.D(n_3521),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [7]),
+	.RESET_B(FE_OFN35_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[200][8]  (
+	.CLK(CTS_9),
+	.D(n_3520),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [8]),
+	.RESET_B(FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][1]  (
+	.CLK(CTS_35),
+	.D(n_3519),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [1]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][2]  (
+	.CLK(CTS_26),
+	.D(n_4874),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [2]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][3]  (
+	.CLK(CTS_26),
+	.D(n_3518),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [3]),
+	.RESET_B(FE_OFN39_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][4]  (
+	.CLK(CTS_35),
+	.D(n_3517),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [4]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][5]  (
+	.CLK(CTS_35),
+	.D(n_3516),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [5]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][6]  (
+	.CLK(CTS_35),
+	.D(n_3515),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [6]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][7]  (
+	.CLK(CTS_35),
+	.D(n_3514),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [7]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[201][8]  (
+	.CLK(CTS_24),
+	.D(n_3513),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [8]),
+	.RESET_B(FE_OFN77_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][1]  (
+	.CLK(CTS_30),
+	.D(n_4952),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [1]),
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][2]  (
+	.CLK(CTS_30),
+	.D(n_3512),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [2]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][3]  (
+	.CLK(CTS_30),
+	.D(n_3511),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [3]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][4]  (
+	.CLK(CTS_30),
+	.D(n_3510),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [4]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][5]  (
+	.CLK(CTS_30),
+	.D(n_3509),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [5]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][6]  (
+	.CLK(CTS_30),
+	.D(n_3508),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [6]),
+	.RESET_B(FE_OFN79_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][7]  (
+	.CLK(CTS_30),
+	.D(n_4953),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [7]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[202][8]  (
+	.CLK(CTS_30),
+	.D(n_3507),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [8]),
+	.RESET_B(FE_OFN31_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][1]  (
+	.CLK(CTS_13),
+	.D(n_3506),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [1]),
+	.RESET_B(FE_OFN18804_FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][2]  (
+	.CLK(CTS_11),
+	.D(n_3505),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [2]),
+	.RESET_B(FE_OFN82_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][3]  (
+	.CLK(CTS_11),
+	.D(n_4816),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [3]),
+	.RESET_B(FE_OFN82_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][4]  (
+	.CLK(CTS_11),
+	.D(n_3504),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [4]),
+	.RESET_B(FE_OFN82_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][5]  (
+	.CLK(CTS_11),
+	.D(n_4771),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [5]),
+	.RESET_B(FE_OFN80_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][6]  (
+	.CLK(CTS_11),
+	.D(n_3503),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [6]),
+	.RESET_B(FE_OFN82_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][7]  (
+	.CLK(CTS_11),
+	.D(n_4876),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [7]),
+	.RESET_B(FE_OFN82_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[203][8]  (
+	.CLK(CTS_11),
+	.D(n_3502),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [8]),
+	.RESET_B(FE_OFN82_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][1]  (
+	.CLK(CTS_56),
+	.D(n_4751),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [1]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][2]  (
+	.CLK(CTS_62),
+	.D(n_3501),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [2]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][3]  (
+	.CLK(CTS_62),
+	.D(n_3500),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [3]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][4]  (
+	.CLK(CTS_62),
+	.D(n_3499),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [4]),
+	.RESET_B(FE_OFN111_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][5]  (
+	.CLK(CTS_62),
+	.D(n_3498),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [5]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][6]  (
+	.CLK(CTS_62),
+	.D(n_3497),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [6]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][7]  (
+	.CLK(CTS_62),
+	.D(n_3496),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [7]),
+	.RESET_B(FE_OFN132_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[204][8]  (
+	.CLK(CTS_56),
+	.D(n_3495),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [8]),
+	.RESET_B(FE_OFN84_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][1]  (
+	.CLK(CTS_20),
+	.D(n_3494),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [1]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][2]  (
+	.CLK(CTS_20),
+	.D(n_4862),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [2]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][3]  (
+	.CLK(CTS_20),
+	.D(n_3493),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [3]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][4]  (
+	.CLK(CTS_20),
+	.D(n_3492),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [4]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][5]  (
+	.CLK(CTS_20),
+	.D(n_3491),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [5]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][6]  (
+	.CLK(CTS_20),
+	.D(n_4867),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [6]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][7]  (
+	.CLK(CTS_20),
+	.D(n_3490),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [7]),
+	.RESET_B(FE_OFN90_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[205][8]  (
+	.CLK(CTS_20),
+	.D(n_4796),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [8]),
+	.RESET_B(FE_OFN40_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][1]  (
+	.CLK(CTS_18),
+	.D(n_3489),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [1]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][2]  (
+	.CLK(CTS_18),
+	.D(n_3488),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [2]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][3]  (
+	.CLK(CTS_28),
+	.D(n_3487),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [3]),
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][4]  (
+	.CLK(CTS_18),
+	.D(n_3486),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [4]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][5]  (
+	.CLK(CTS_18),
+	.D(n_3485),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [5]),
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][6]  (
+	.CLK(CTS_18),
+	.D(n_3484),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [6]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][7]  (
+	.CLK(CTS_18),
+	.D(n_3483),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [7]),
+	.RESET_B(FE_OFN83_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[206][8]  (
+	.CLK(CTS_18),
+	.D(n_3482),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [8]),
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][1]  (
+	.CLK(CTS_8),
+	.D(n_3481),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [1]),
+	.RESET_B(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][2]  (
+	.CLK(CTS_8),
+	.D(n_3480),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [2]),
+	.RESET_B(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][3]  (
+	.CLK(CTS_8),
+	.D(n_4960),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [3]),
+	.RESET_B(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][4]  (
+	.CLK(CTS_8),
+	.D(n_3479),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [4]),
+	.RESET_B(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][5]  (
+	.CLK(CTS_8),
+	.D(n_4990),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [5]),
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][6]  (
+	.CLK(CTS_8),
+	.D(n_3478),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [6]),
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][7]  (
+	.CLK(CTS_8),
+	.D(n_4856),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [7]),
+	.RESET_B(FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[207][8]  (
+	.CLK(CTS_8),
+	.D(n_4938),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [8]),
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][1]  (
+	.CLK(CTS_14),
+	.D(n_4882),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [1]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][2]  (
+	.CLK(CTS_7),
+	.D(n_3477),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [2]),
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][3]  (
+	.CLK(CTS_7),
+	.D(n_3475),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [3]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][4]  (
+	.CLK(CTS_16),
+	.D(n_4933),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [4]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][5]  (
+	.CLK(CTS_7),
+	.D(n_3474),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [5]),
+	.RESET_B(FE_OFN122_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][6]  (
+	.CLK(CTS_7),
+	.D(n_3473),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [6]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][7]  (
+	.CLK(CTS_16),
+	.D(n_3472),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [7]),
+	.RESET_B(FE_OFN126_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[208][8]  (
+	.CLK(CTS_7),
+	.D(n_3471),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [8]),
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][1]  (
+	.CLK(CTS_22),
+	.D(n_3470),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [1]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][2]  (
+	.CLK(CTS_21),
+	.D(n_3468),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [2]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][3]  (
+	.CLK(CTS_22),
+	.D(n_3467),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [3]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][4]  (
+	.CLK(CTS_21),
+	.D(n_4745),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [4]),
+	.RESET_B(FE_OFN26_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][5]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_3465),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [5]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][6]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_4776),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [6]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][7]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_3464),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [7]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[209][8]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_4792),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [8]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][1]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3463),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [1]),
-	.RESET_B(FE_OFN30_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][2]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3462),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [2]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][3]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3461),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [3]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][4]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3460),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [4]),
-	.RESET_B(FE_OFN30_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][5]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3459),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [5]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][6]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3458),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [6]),
-	.RESET_B(FE_OFN30_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][7]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3457),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [7]),
-	.RESET_B(FE_OFN30_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[210][8]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3456),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [8]),
-	.RESET_B(FE_OFN30_io_out_37), 
+	.RESET_B(FE_OFN128_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][1]  (
-	.CLK(CTS_40),
+	.CLK(CTS_21),
 	.D(n_3455),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [1]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][2]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3454),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [2]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][3]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4920),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [3]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][4]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3453),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [4]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][5]  (
-	.CLK(CTS_37),
+	.CLK(CTS_12),
 	.D(n_3452),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [5]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][6]  (
-	.CLK(CTS_37),
+	.CLK(CTS_12),
 	.D(n_3451),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [6]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][7]  (
-	.CLK(CTS_37),
+	.CLK(CTS_12),
 	.D(n_4991),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [7]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[211][8]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3450),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [8]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][1]  (
-	.CLK(CTS_38),
+	.CLK(CTS_63),
 	.D(n_3449),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [1]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN140_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][2]  (
-	.CLK(CTS_38),
+	.CLK(CTS_63),
 	.D(n_3448),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [2]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN140_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][3]  (
-	.CLK(CTS_8),
+	.CLK(CTS_63),
 	.D(n_3447),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [3]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][4]  (
-	.CLK(CTS_38),
+	.CLK(CTS_54),
 	.D(n_3446),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [4]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][5]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_3444),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [5]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN18805_FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][6]  (
-	.CLK(CTS_38),
+	.CLK(CTS_54),
 	.D(n_3443),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [6]),
-	.RESET_B(FE_OFN102_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][7]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(n_3442),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [7]),
-	.RESET_B(FE_OFN107_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[212][8]  (
-	.CLK(CTS_8),
+	.CLK(CTS_63),
 	.D(n_3441),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [8]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN140_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][1]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3440),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [1]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][2]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3645),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [2]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][3]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3438),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [3]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][4]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_4758),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [4]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][5]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3436),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [5]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][6]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_4865),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [6]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][7]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3435),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [7]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[213][8]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_4773),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [8]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][1]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_3434),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [1]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][2]  (
-	.CLK(CTS_44),
+	.CLK(CTS_29),
 	.D(n_3433),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [2]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][3]  (
-	.CLK(CTS_44),
+	.CLK(CTS_29),
 	.D(n_3432),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [3]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][4]  (
-	.CLK(CTS_44),
+	.CLK(CTS_29),
 	.D(n_3431),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [4]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][5]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_3430),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [5]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN46_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][6]  (
-	.CLK(CTS_44),
+	.CLK(CTS_29),
 	.D(n_3429),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [6]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][7]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_3428),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [7]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[214][8]  (
-	.CLK(CTS_32),
+	.CLK(CTS_29),
 	.D(n_3427),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [8]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][1]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_3426),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [1]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][2]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_3425),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [2]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][3]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_4822),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [3]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][4]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_4955),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [4]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][5]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_4774),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [5]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][6]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_3424),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [6]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][7]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_4800),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [7]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN91_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[215][8]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_4763),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [8]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][1]  (
-	.CLK(CTS_12),
+	.CLK(CTS_16),
 	.D(n_4824),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [1]),
 	.RESET_B(FE_OFN126_io_out_37), 
@@ -140798,16 +137428,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][2]  (
-	.CLK(CTS_12),
+	.CLK(CTS_16),
 	.D(n_3423),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [2]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN127_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][3]  (
-	.CLK(CTS_12),
+	.CLK(CTS_16),
 	.D(n_3422),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [3]),
 	.RESET_B(FE_OFN126_io_out_37), 
@@ -140816,43 +137446,43 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][4]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_3421),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [4]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][5]  (
-	.CLK(CTS_12),
+	.CLK(CTS_16),
 	.D(n_3420),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [5]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][6]  (
-	.CLK(CTS_12),
+	.CLK(CTS_16),
 	.D(n_3419),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [6]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][7]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_3418),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [7]),
-	.RESET_B(FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[216][8]  (
-	.CLK(CTS_12),
+	.CLK(CTS_16),
 	.D(n_4878),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [8]),
 	.RESET_B(FE_OFN126_io_out_37), 
@@ -140861,1225 +137491,1225 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][1]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_3417),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [1]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][2]  (
-	.CLK(CTS_43),
+	.CLK(CTS_23),
 	.D(n_3416),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [2]),
-	.RESET_B(FE_OFN58_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][3]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_3170),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [3]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][4]  (
-	.CLK(CTS_37),
+	.CLK(CTS_22),
 	.D(n_3415),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [4]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][5]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_4847),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [5]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][6]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_3414),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [6]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][7]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_4852),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [7]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN38_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[217][8]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_3413),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [8]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN38_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][1]  (
-	.CLK(CTS_32),
+	.CLK(CTS_12),
 	.D(n_3412),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [1]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][2]  (
-	.CLK(CTS_32),
+	.CLK(CTS_12),
 	.D(n_3411),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [2]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][3]  (
-	.CLK(CTS_32),
+	.CLK(CTS_12),
 	.D(n_3410),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [3]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][4]  (
-	.CLK(CTS_44),
+	.CLK(CTS_12),
 	.D(n_3409),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [4]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][5]  (
-	.CLK(CTS_32),
+	.CLK(CTS_12),
 	.D(n_3407),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [5]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][6]  (
-	.CLK(CTS_32),
+	.CLK(CTS_12),
 	.D(n_3406),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [6]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][7]  (
-	.CLK(CTS_25),
+	.CLK(CTS_12),
 	.D(n_3405),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [7]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[218][8]  (
-	.CLK(CTS_44),
+	.CLK(CTS_12),
 	.D(n_3404),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [8]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_19),
 	.D(n_3403),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [1]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][2]  (
-	.CLK(CTS_41),
+	.CLK(CTS_12),
 	.D(n_4927),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [2]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][3]  (
-	.CLK(CTS_3),
+	.CLK(CTS_12),
 	.D(n_3182),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [3]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][4]  (
-	.CLK(CTS_41),
+	.CLK(CTS_12),
 	.D(n_4932),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [4]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_12),
 	.D(n_3402),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [5]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][6]  (
-	.CLK(CTS_3),
+	.CLK(CTS_12),
 	.D(n_4907),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [6]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][7]  (
-	.CLK(CTS_3),
+	.CLK(CTS_12),
 	.D(n_4820),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [7]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[219][8]  (
-	.CLK(CTS_3),
+	.CLK(CTS_12),
 	.D(n_3401),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [8]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN145_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][1]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3400),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [1]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][2]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3399),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [2]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][3]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3398),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [3]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][4]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3397),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [4]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][5]  (
-	.CLK(CTS_39),
+	.CLK(CTS_58),
 	.D(n_3396),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [5]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][6]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3395),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [6]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][7]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3394),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [7]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[220][8]  (
-	.CLK(CTS_12),
+	.CLK(CTS_7),
 	.D(n_3393),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [8]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][1]  (
-	.CLK(CTS_38),
+	.CLK(CTS_22),
 	.D(n_3392),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [1]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][2]  (
-	.CLK(CTS_26),
+	.CLK(CTS_22),
 	.D(n_3391),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [2]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][3]  (
-	.CLK(CTS_47),
+	.CLK(CTS_22),
 	.D(n_3390),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [3]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][4]  (
-	.CLK(CTS_38),
+	.CLK(CTS_22),
 	.D(n_3389),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [4]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_22),
 	.D(n_4926),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [5]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][6]  (
-	.CLK(CTS_26),
+	.CLK(CTS_22),
 	.D(n_4942),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [6]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][7]  (
-	.CLK(CTS_38),
+	.CLK(CTS_22),
 	.D(n_3388),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [7]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[221][8]  (
-	.CLK(CTS_26),
+	.CLK(CTS_22),
 	.D(n_4908),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [8]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][1]  (
-	.CLK(CTS_28),
+	.CLK(CTS_19),
 	.D(n_3387),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [1]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][2]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_3386),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [2]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][3]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_3385),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [3]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][4]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_3384),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [4]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][5]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_3383),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [5]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][6]  (
-	.CLK(CTS_32),
+	.CLK(CTS_31),
 	.D(n_3382),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [6]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN27_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][7]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_3380),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [7]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[222][8]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_3378),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [8]),
-	.RESET_B(FE_OFN113_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][1]  (
-	.CLK(CTS_10),
+	.CLK(CTS_19),
 	.D(n_3377),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [1]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][2]  (
-	.CLK(CTS_40),
+	.CLK(CTS_19),
 	.D(n_4838),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [2]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][3]  (
-	.CLK(CTS_40),
+	.CLK(CTS_19),
 	.D(n_3376),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [3]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][4]  (
-	.CLK(CTS_40),
+	.CLK(CTS_19),
 	.D(n_4854),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [4]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][5]  (
-	.CLK(CTS_42),
+	.CLK(CTS_19),
 	.D(n_3375),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [5]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][6]  (
-	.CLK(CTS_3),
+	.CLK(CTS_19),
 	.D(n_3374),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [6]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][7]  (
-	.CLK(CTS_40),
+	.CLK(CTS_19),
 	.D(n_3372),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [7]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[223][8]  (
-	.CLK(CTS_40),
+	.CLK(CTS_19),
 	.D(n_3371),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [8]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][1]  (
-	.CLK(CTS_12),
+	.CLK(CTS_9),
 	.D(n_3370),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [1]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN18820_FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][2]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3369),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [2]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][3]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3368),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [3]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][4]  (
-	.CLK(CTS_7),
+	.CLK(CTS_56),
 	.D(n_5004),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [4]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][5]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3367),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [5]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][6]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3366),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [6]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][7]  (
-	.CLK(CTS_12),
+	.CLK(CTS_56),
 	.D(n_3365),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [7]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN86_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[224][8]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_3364),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [8]),
-	.RESET_B(FE_OFN127_io_out_37), 
+	.RESET_B(FE_OFN36_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][1]  (
-	.CLK(CTS_5),
+	.CLK(CTS_20),
 	.D(n_3363),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [1]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][2]  (
-	.CLK(CTS_15),
+	.CLK(CTS_35),
 	.D(n_3362),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [2]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][3]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_4916),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [3]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][4]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_3361),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [4]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][5]  (
-	.CLK(CTS_15),
+	.CLK(CTS_35),
 	.D(n_3360),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [5]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN18819_FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][6]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_4781),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [6]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][7]  (
-	.CLK(CTS_15),
+	.CLK(CTS_35),
 	.D(n_3359),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [7]),
-	.RESET_B(FE_OFN62_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[225][8]  (
-	.CLK(CTS_5),
+	.CLK(CTS_35),
 	.D(n_4866),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [8]),
-	.RESET_B(FE_OFN128_io_out_37), 
+	.RESET_B(FE_OFN77_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_29),
 	.D(n_4103),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [1]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][2]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_3358),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [2]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][3]  (
-	.CLK(CTS_3),
+	.CLK(CTS_29),
 	.D(n_3357),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [3]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][4]  (
-	.CLK(CTS_3),
+	.CLK(CTS_30),
 	.D(n_3356),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [4]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_14),
 	.D(n_3355),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [5]),
-	.RESET_B(FE_OFN19037_FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][6]  (
-	.CLK(CTS_3),
+	.CLK(CTS_30),
 	.D(n_3354),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [6]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][7]  (
-	.CLK(CTS_3),
+	.CLK(CTS_30),
 	.D(n_4855),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [7]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[226][8]  (
-	.CLK(CTS_10),
+	.CLK(CTS_30),
 	.D(n_3353),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [8]),
-	.RESET_B(FE_OFN61_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][1]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_3352),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [1]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][2]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_4948),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [2]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][3]  (
-	.CLK(CTS_3),
+	.CLK(CTS_18),
 	.D(n_3351),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [3]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][4]  (
-	.CLK(CTS_41),
+	.CLK(CTS_18),
 	.D(n_3350),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [4]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_18),
 	.D(n_3349),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [5]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][6]  (
-	.CLK(CTS_3),
+	.CLK(CTS_18),
 	.D(n_3348),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [6]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][7]  (
-	.CLK(CTS_3),
+	.CLK(CTS_18),
 	.D(n_4873),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [7]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[227][8]  (
-	.CLK(CTS_15),
+	.CLK(CTS_18),
 	.D(n_3788),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [8]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][1]  (
-	.CLK(CTS_4),
+	.CLK(CTS_56),
 	.D(n_3636),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [1]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][2]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_3347),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [2]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][3]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_3594),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [3]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN18797_FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][4]  (
-	.CLK(CTS_4),
+	.CLK(CTS_62),
 	.D(n_3346),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [4]),
-	.RESET_B(FE_OFN110_io_out_37), 
+	.RESET_B(FE_OFN111_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][5]  (
-	.CLK(CTS_16),
+	.CLK(CTS_56),
 	.D(n_4848),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [5]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][6]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_3345),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [6]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][7]  (
-	.CLK(CTS_16),
+	.CLK(CTS_56),
 	.D(n_4746),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [7]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[228][8]  (
-	.CLK(CTS_8),
+	.CLK(CTS_62),
 	.D(n_3344),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [8]),
-	.RESET_B(FE_OFN19056_FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN132_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][1]  (
-	.CLK(CTS_2),
+	.CLK(CTS_20),
 	.D(n_3343),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [1]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN18800_FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][2]  (
-	.CLK(CTS_2),
+	.CLK(CTS_20),
 	.D(n_3342),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [2]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN18800_FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][3]  (
-	.CLK(CTS_2),
+	.CLK(CTS_20),
 	.D(n_3341),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [3]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN18800_FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][4]  (
-	.CLK(CTS_2),
+	.CLK(CTS_34),
 	.D(n_3340),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [4]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][5]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_3339),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [5]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN18800_FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][6]  (
-	.CLK(CTS_2),
+	.CLK(CTS_20),
 	.D(n_3338),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [6]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][7]  (
-	.CLK(CTS_2),
+	.CLK(CTS_20),
 	.D(n_3337),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [7]),
-	.RESET_B(FE_OFN134_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[229][8]  (
-	.CLK(CTS_6),
+	.CLK(CTS_20),
 	.D(n_3336),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [8]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN90_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][1]  (
-	.CLK(CTS_13),
+	.CLK(CTS_30),
 	.D(n_3335),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [1]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][2]  (
-	.CLK(CTS_2),
+	.CLK(CTS_32),
 	.D(n_3334),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [2]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][3]  (
-	.CLK(CTS_13),
+	.CLK(CTS_32),
 	.D(n_3333),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [3]),
-	.RESET_B(FE_OFN19027_FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][4]  (
-	.CLK(CTS_13),
+	.CLK(CTS_32),
 	.D(n_3332),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [4]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][5]  (
-	.CLK(CTS_13),
+	.CLK(CTS_30),
 	.D(n_3331),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [5]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][6]  (
-	.CLK(CTS_2),
+	.CLK(CTS_32),
 	.D(n_3330),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [6]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][7]  (
-	.CLK(CTS_13),
+	.CLK(CTS_30),
 	.D(n_3329),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [7]),
-	.RESET_B(FE_OFN19053_FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN30_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[230][8]  (
-	.CLK(CTS_2),
+	.CLK(CTS_32),
 	.D(n_3328),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [8]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][1]  (
-	.CLK(CTS_11),
+	.CLK(CTS_32),
 	.D(n_3327),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [1]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][2]  (
-	.CLK(CTS_2),
+	.CLK(CTS_32),
 	.D(n_4884),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [2]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][3]  (
-	.CLK(CTS_11),
+	.CLK(CTS_35),
 	.D(n_3326),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [3]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][4]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_3325),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [4]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][5]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_3324),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [5]),
-	.RESET_B(FE_OFN19045_FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][6]  (
-	.CLK(CTS_2),
+	.CLK(CTS_35),
 	.D(n_4904),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [6]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][7]  (
-	.CLK(CTS_11),
+	.CLK(CTS_28),
 	.D(n_3323),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [7]),
-	.RESET_B(FE_OFN69_io_out_37), 
+	.RESET_B(FE_OFN78_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[231][8]  (
-	.CLK(CTS_11),
+	.CLK(CTS_32),
 	.D(n_4752),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [8]),
-	.RESET_B(FE_OFN125_io_out_37), 
+	.RESET_B(FE_OFN29_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][1]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_3322),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [1]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN80_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][2]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_4809),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [2]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][3]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_3321),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [3]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][4]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_4844),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [4]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][5]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_3320),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [5]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][6]  (
-	.CLK(CTS_13),
+	.CLK(CTS_56),
 	.D(n_4172),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [6]),
-	.RESET_B(FE_OFN70_io_out_37), 
+	.RESET_B(FE_OFN35_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][7]  (
-	.CLK(CTS_7),
+	.CLK(CTS_9),
 	.D(n_3319),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [7]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[232][8]  (
-	.CLK(CTS_1),
+	.CLK(CTS_9),
 	.D(n_4818),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [8]),
-	.RESET_B(FE_OFN66_io_out_37), 
+	.RESET_B(FE_OFN31_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][1]  (
-	.CLK(CTS_3),
+	.CLK(CTS_24),
 	.D(n_3318),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [1]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][2]  (
-	.CLK(CTS_41),
+	.CLK(CTS_26),
 	.D(n_3317),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [2]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][3]  (
-	.CLK(CTS_3),
+	.CLK(CTS_26),
 	.D(n_3316),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [3]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][4]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_3315),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [4]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN32_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][5]  (
-	.CLK(CTS_3),
+	.CLK(CTS_24),
 	.D(n_3314),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [5]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][6]  (
-	.CLK(CTS_41),
+	.CLK(CTS_26),
 	.D(n_3313),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [6]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][7]  (
-	.CLK(CTS_3),
+	.CLK(CTS_24),
 	.D(n_3312),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [7]),
-	.RESET_B(FE_OFN23_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[233][8]  (
-	.CLK(CTS_41),
+	.CLK(CTS_24),
 	.D(n_3311),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [8]),
-	.RESET_B(FE_OFN26_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -142088,7 +138718,7 @@
 	.CLK(CTS_13),
 	.D(n_3310),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [1]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN34_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -142097,34 +138727,34 @@
 	.CLK(CTS_13),
 	.D(n_3309),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [2]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][3]  (
-	.CLK(CTS_11),
+	.CLK(CTS_18),
 	.D(n_4815),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [3]),
-	.RESET_B(FE_OFN68_io_out_37), 
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][4]  (
-	.CLK(CTS_11),
+	.CLK(CTS_13),
 	.D(n_3308),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [4]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][5]  (
-	.CLK(CTS_11),
+	.CLK(CTS_13),
 	.D(n_4770),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [5]),
-	.RESET_B(FE_OFN68_io_out_37), 
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -142133,1666 +138763,1666 @@
 	.CLK(CTS_13),
 	.D(n_3307),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [6]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][7]  (
-	.CLK(CTS_11),
+	.CLK(CTS_13),
 	.D(n_4769),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [7]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[234][8]  (
-	.CLK(CTS_11),
+	.CLK(CTS_13),
 	.D(n_3306),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [8]),
-	.RESET_B(FE_OFN124_io_out_37), 
+	.RESET_B(FE_OFN157_FE_OFN83_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][1]  (
-	.CLK(CTS_15),
+	.CLK(CTS_13),
 	.D(n_4772),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [1]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN33_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][2]  (
-	.CLK(CTS_5),
+	.CLK(CTS_11),
 	.D(n_3305),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [2]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][3]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_3304),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [3]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][4]  (
-	.CLK(CTS_15),
+	.CLK(CTS_13),
 	.D(n_3303),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [4]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][5]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_3302),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [5]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][6]  (
-	.CLK(CTS_15),
+	.CLK(CTS_13),
 	.D(n_3301),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [6]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][7]  (
-	.CLK(CTS_1),
+	.CLK(CTS_11),
 	.D(n_3300),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [7]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[235][8]  (
-	.CLK(CTS_1),
+	.CLK(CTS_13),
 	.D(n_3299),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [8]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN82_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][1]  (
-	.CLK(CTS_57),
+	.CLK(CTS_8),
 	.D(n_3298),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [1]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][2]  (
-	.CLK(CTS_57),
+	.CLK(CTS_8),
 	.D(n_4819),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [2]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][3]  (
-	.CLK(CTS_12),
+	.CLK(CTS_8),
 	.D(n_3297),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [3]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][4]  (
-	.CLK(CTS_57),
+	.CLK(CTS_8),
 	.D(n_4806),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [4]),
-	.RESET_B(FE_OFN109_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][5]  (
-	.CLK(CTS_16),
+	.CLK(CTS_8),
 	.D(n_3296),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [5]),
-	.RESET_B(FE_OFN109_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][6]  (
-	.CLK(CTS_57),
+	.CLK(CTS_8),
 	.D(n_4757),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [6]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][7]  (
-	.CLK(CTS_12),
+	.CLK(CTS_8),
 	.D(n_3295),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [7]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[236][8]  (
-	.CLK(CTS_12),
+	.CLK(CTS_8),
 	.D(n_4787),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [8]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN84_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][1]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3294),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [1]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][2]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3293),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [2]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][3]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3292),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [3]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][4]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3291),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [4]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][5]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_4814),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [5]),
-	.RESET_B(FE_OFN111_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][6]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3290),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [6]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][7]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3289),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [7]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[237][8]  (
-	.CLK(CTS_6),
+	.CLK(CTS_26),
 	.D(n_3288),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [8]),
-	.RESET_B(FE_OFN19504_FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN40_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][1]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_3287),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [1]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][2]  (
-	.CLK(CTS_4),
+	.CLK(CTS_28),
 	.D(n_3286),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [2]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][3]  (
-	.CLK(CTS_4),
+	.CLK(CTS_28),
 	.D(n_4930),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [3]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][4]  (
-	.CLK(CTS_4),
+	.CLK(CTS_28),
 	.D(n_3285),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [4]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][5]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4035),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [5]),
-	.RESET_B(FE_OFN132_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][6]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_3284),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [6]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][7]  (
-	.CLK(CTS_16),
+	.CLK(CTS_28),
 	.D(n_4055),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [7]),
-	.RESET_B(FE_OFN112_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[238][8]  (
-	.CLK(CTS_4),
+	.CLK(CTS_28),
 	.D(n_3283),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [8]),
-	.RESET_B(FE_OFN133_io_out_37), 
+	.RESET_B(FE_OFN19008_FE_OFN81_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][1]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_4744),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [1]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][2]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3282),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [2]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][3]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_3281),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [3]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][4]  (
-	.CLK(CTS_9),
+	.CLK(CTS_11),
 	.D(n_3280),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [4]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][5]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3279),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [5]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][6]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3278),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [6]),
-	.RESET_B(FE_OFN19042_FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][7]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3277),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [7]),
-	.RESET_B(FE_OFN122_io_out_37), 
+	.RESET_B(FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[239][8]  (
-	.CLK(CTS_9),
+	.CLK(CTS_8),
 	.D(n_3276),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [8]),
-	.RESET_B(FE_OFN123_io_out_37), 
+	.RESET_B(FE_OFN18810_FE_OFN85_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_3587),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [1]),
-	.RESET_B(FE_OFN19055_FE_OFN126_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][2]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_4003),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [2]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][3]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_3275),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [3]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][4]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_3274),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [4]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][5]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_3272),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [5]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][6]  (
-	.CLK(CTS_26),
+	.CLK(CTS_7),
 	.D(n_4851),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [6]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][7]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_3271),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [7]),
-	.RESET_B(FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN18823_FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[240][8]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_3270),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [8]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN18806_FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][1]  (
-	.CLK(CTS_30),
+	.CLK(CTS_22),
 	.D(n_3269),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [1]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][2]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_3592),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [2]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][3]  (
-	.CLK(CTS_30),
+	.CLK(CTS_22),
 	.D(n_3268),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [3]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][4]  (
-	.CLK(CTS_30),
+	.CLK(CTS_21),
 	.D(n_3591),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [4]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][5]  (
-	.CLK(CTS_30),
+	.CLK(CTS_21),
 	.D(n_3267),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [5]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN18811_FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][6]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_3199),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [6]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][7]  (
-	.CLK(CTS_43),
+	.CLK(CTS_21),
 	.D(n_3266),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [7]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[241][8]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_4017),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [8]),
-	.RESET_B(FE_OFN19497_FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN26_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][1]  (
-	.CLK(CTS_44),
+	.CLK(CTS_14),
 	.D(n_3265),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [1]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][2]  (
-	.CLK(CTS_42),
+	.CLK(CTS_10),
 	.D(n_3264),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [2]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][3]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_3263),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [3]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][4]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3262),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [4]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][5]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3261),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [5]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][6]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3260),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [6]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][7]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3259),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [7]),
-	.RESET_B(FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[242][8]  (
-	.CLK(CTS_30),
+	.CLK(CTS_10),
 	.D(n_3258),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [8]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][1]  (
-	.CLK(CTS_37),
+	.CLK(CTS_23),
 	.D(n_3257),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [1]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][2]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3256),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [2]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][3]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_4875),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [3]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][4]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3255),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [4]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][5]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3254),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [5]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][6]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3253),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [6]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][7]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3252),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [7]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN18794_FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[243][8]  (
-	.CLK(CTS_37),
+	.CLK(CTS_21),
 	.D(n_3251),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [8]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN25_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][1]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_3250),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [1]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][2]  (
-	.CLK(CTS_38),
+	.CLK(CTS_54),
 	.D(n_3248),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [2]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][3]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_4817),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [3]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][4]  (
-	.CLK(CTS_38),
+	.CLK(CTS_58),
 	.D(n_3247),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [4]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][5]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_4863),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [5]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][6]  (
-	.CLK(CTS_8),
+	.CLK(CTS_58),
 	.D(n_3246),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [6]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][7]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(n_3245),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [7]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN123_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[244][8]  (
-	.CLK(CTS_8),
+	.CLK(CTS_54),
 	.D(n_3244),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [8]),
-	.RESET_B(FE_OFN130_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][1]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3158),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [1]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN39_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][2]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3243),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [2]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][3]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3242),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [3]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][4]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3241),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [4]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][5]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_4901),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [5]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][6]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3240),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [6]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][7]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_5013),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [7]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[245][8]  (
-	.CLK(CTS_32),
+	.CLK(CTS_27),
 	.D(n_3239),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [8]),
-	.RESET_B(FE_OFN19054_FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][1]  (
-	.CLK(CTS_26),
+	.CLK(CTS_14),
 	.D(n_3167),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [1]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][2]  (
-	.CLK(CTS_32),
+	.CLK(CTS_14),
 	.D(n_3238),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [2]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][3]  (
-	.CLK(CTS_26),
+	.CLK(CTS_14),
 	.D(n_3237),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [3]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][4]  (
-	.CLK(CTS_32),
+	.CLK(CTS_14),
 	.D(n_3236),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [4]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN75_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_14),
 	.D(n_3235),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [5]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN74_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][6]  (
-	.CLK(CTS_32),
+	.CLK(CTS_14),
 	.D(n_3234),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [6]),
-	.RESET_B(FE_OFN115_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][7]  (
-	.CLK(CTS_32),
-	.D(n_3233),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [7]),
-	.RESET_B(FE_OFN138_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][8]  (
-	.CLK(CTS_32),
-	.D(n_3232),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [8]),
-	.RESET_B(FE_OFN129_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][1]  (
-	.CLK(CTS_42),
-	.D(n_3231),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [1]),
-	.RESET_B(FE_OFN19_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][2]  (
-	.CLK(CTS_40),
-	.D(n_3230),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [2]),
-	.RESET_B(FE_OFN21_io_out_37), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][3]  (
-	.CLK(CTS_42),
-	.D(n_3229),
-	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [3]),
 	.RESET_B(FE_OFN22_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][7]  (
+	.CLK(CTS_14),
+	.D(n_3233),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [7]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[246][8]  (
+	.CLK(CTS_14),
+	.D(n_3232),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [8]),
+	.RESET_B(FE_OFN74_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][1]  (
+	.CLK(CTS_31),
+	.D(n_3231),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [1]),
+	.RESET_B(FE_OFN24_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][2]  (
+	.CLK(CTS_19),
+	.D(n_3230),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [2]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][3]  (
+	.CLK(CTS_23),
+	.D(n_3229),
+	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [3]),
+	.RESET_B(FE_OFN10_io_out_37), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][4]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_3228),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [4]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN91_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][5]  (
-	.CLK(CTS_42),
+	.CLK(CTS_23),
 	.D(n_3373),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [5]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][6]  (
-	.CLK(CTS_42),
+	.CLK(CTS_19),
 	.D(n_3227),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [6]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][7]  (
-	.CLK(CTS_40),
+	.CLK(CTS_19),
 	.D(n_4810),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [7]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[247][8]  (
-	.CLK(CTS_40),
+	.CLK(CTS_19),
 	.D(n_4753),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [8]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN10_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_4786),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [1]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][2]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_3226),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [2]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][3]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_5204),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [3]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][4]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_3224),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [4]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][5]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_3223),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [5]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][6]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_3222),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [6]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][7]  (
-	.CLK(CTS_39),
+	.CLK(CTS_16),
 	.D(n_3221),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [7]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[248][8]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_4780),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [8]),
-	.RESET_B(FE_OFN71_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][1]  (
-	.CLK(CTS_43),
+	.CLK(CTS_24),
 	.D(n_3220),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [1]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][2]  (
-	.CLK(CTS_37),
+	.CLK(CTS_24),
 	.D(n_3219),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [2]),
-	.RESET_B(FE_OFN20_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][3]  (
-	.CLK(CTS_40),
+	.CLK(CTS_27),
 	.D(n_4766),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [3]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][4]  (
-	.CLK(CTS_40),
+	.CLK(CTS_22),
 	.D(n_4869),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [4]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][5]  (
-	.CLK(CTS_40),
+	.CLK(CTS_23),
 	.D(n_3218),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [5]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][6]  (
-	.CLK(CTS_40),
+	.CLK(CTS_27),
 	.D(n_4743),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [6]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][7]  (
-	.CLK(CTS_40),
+	.CLK(CTS_24),
 	.D(n_3217),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [7]),
-	.RESET_B(FE_OFN57_io_out_37), 
+	.RESET_B(FE_OFN37_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[249][8]  (
-	.CLK(CTS_43),
+	.CLK(CTS_22),
 	.D(n_3216),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [8]),
-	.RESET_B(FE_OFN76_io_out_37), 
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][1]  (
-	.CLK(CTS_44),
+	.CLK(CTS_29),
 	.D(n_3215),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [1]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][2]  (
-	.CLK(CTS_44),
+	.CLK(CTS_29),
 	.D(n_3214),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [2]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][3]  (
-	.CLK(CTS_44),
+	.CLK(CTS_12),
 	.D(n_3213),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [3]),
-	.RESET_B(FE_OFN129_io_out_37), 
+	.RESET_B(FE_OFN43_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][4]  (
-	.CLK(CTS_44),
+	.CLK(CTS_12),
 	.D(n_3212),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [4]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][5]  (
-	.CLK(CTS_44),
+	.CLK(CTS_19),
 	.D(n_3211),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [5]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][6]  (
-	.CLK(CTS_44),
+	.CLK(CTS_19),
 	.D(n_3210),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [6]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][7]  (
-	.CLK(CTS_44),
+	.CLK(CTS_12),
 	.D(n_3209),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [7]),
-	.RESET_B(FE_OFN139_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[250][8]  (
-	.CLK(CTS_44),
+	.CLK(CTS_19),
 	.D(n_3208),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [8]),
-	.RESET_B(FE_OFN115_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][1]  (
-	.CLK(CTS_40),
+	.CLK(CTS_29),
 	.D(n_3207),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [1]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][2]  (
-	.CLK(CTS_40),
+	.CLK(CTS_12),
 	.D(n_4694),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [2]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN3_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][3]  (
-	.CLK(CTS_40),
+	.CLK(CTS_12),
 	.D(n_3206),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [3]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][4]  (
-	.CLK(CTS_40),
+	.CLK(CTS_12),
 	.D(n_3205),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [4]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][5]  (
-	.CLK(CTS_37),
+	.CLK(CTS_12),
 	.D(n_3203),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [5]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][6]  (
-	.CLK(CTS_40),
+	.CLK(CTS_12),
 	.D(n_3169),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [6]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][7]  (
-	.CLK(CTS_37),
+	.CLK(CTS_12),
 	.D(n_3469),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [7]),
-	.RESET_B(FE_OFN59_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[251][8]  (
-	.CLK(CTS_40),
+	.CLK(CTS_12),
 	.D(n_3202),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[251] [8]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN144_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][1]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_3201),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [1]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN122_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][2]  (
-	.CLK(CTS_38),
+	.CLK(CTS_58),
 	.D(n_3676),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [2]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN18805_FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][3]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_3200),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [3]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN122_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][4]  (
-	.CLK(CTS_38),
+	.CLK(CTS_58),
 	.D(n_3198),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [4]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN18805_FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][5]  (
-	.CLK(CTS_39),
+	.CLK(CTS_7),
 	.D(n_3197),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [5]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN122_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][6]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3196),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [6]),
-	.RESET_B(FE_OFN131_io_out_37), 
+	.RESET_B(FE_OFN18805_FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][7]  (
-	.CLK(CTS_57),
+	.CLK(CTS_58),
 	.D(n_3273),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [7]),
-	.RESET_B(FE_OFN106_io_out_37), 
+	.RESET_B(FE_OFN18805_FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[252][8]  (
-	.CLK(CTS_12),
+	.CLK(CTS_58),
 	.D(n_3195),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [8]),
-	.RESET_B(FE_OFN108_io_out_37), 
+	.RESET_B(FE_OFN139_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][1]  (
-	.CLK(CTS_47),
+	.CLK(CTS_22),
 	.D(n_3173),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [1]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][2]  (
-	.CLK(CTS_38),
+	.CLK(CTS_27),
 	.D(n_3194),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [2]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][3]  (
-	.CLK(CTS_47),
+	.CLK(CTS_27),
 	.D(n_3193),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [3]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][4]  (
-	.CLK(CTS_38),
+	.CLK(CTS_22),
 	.D(n_3192),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [4]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][5]  (
-	.CLK(CTS_38),
+	.CLK(CTS_27),
 	.D(n_3954),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [5]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN18802_FE_OFN88_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][6]  (
-	.CLK(CTS_47),
+	.CLK(CTS_27),
 	.D(n_3191),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [6]),
-	.RESET_B(FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][7]  (
-	.CLK(CTS_47),
+	.CLK(CTS_27),
 	.D(n_3190),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [7]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN18796_FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[253][8]  (
-	.CLK(CTS_38),
+	.CLK(CTS_27),
 	.D(n_4797),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [8]),
-	.RESET_B(FE_OFN19043_FE_OFN105_io_out_37), 
+	.RESET_B(FE_OFN87_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][1]  (
-	.CLK(CTS_26),
+	.CLK(CTS_31),
 	.D(n_4849),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [1]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][2]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_3189),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [2]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][3]  (
-	.CLK(CTS_26),
+	.CLK(CTS_31),
 	.D(n_3188),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [3]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][4]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_3187),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [4]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN24_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][5]  (
-	.CLK(CTS_26),
+	.CLK(CTS_31),
 	.D(n_3186),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [5]),
-	.RESET_B(FE_OFN19034_FE_OFN103_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][6]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_3185),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [6]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][7]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_3184),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [7]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN76_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[254][8]  (
-	.CLK(CTS_28),
+	.CLK(CTS_31),
 	.D(n_3183),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [8]),
-	.RESET_B(FE_OFN138_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][1]  (
-	.CLK(CTS_10),
+	.CLK(CTS_29),
 	.D(n_3181),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [1]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][2]  (
-	.CLK(CTS_10),
+	.CLK(CTS_19),
 	.D(n_3180),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [2]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][3]  (
-	.CLK(CTS_42),
+	.CLK(CTS_19),
 	.D(n_3179),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [3]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][4]  (
-	.CLK(CTS_10),
+	.CLK(CTS_19),
 	.D(n_3178),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [4]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][5]  (
-	.CLK(CTS_42),
+	.CLK(CTS_29),
 	.D(n_3177),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [5]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][6]  (
-	.CLK(CTS_10),
+	.CLK(CTS_19),
 	.D(n_3176),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [6]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][7]  (
-	.CLK(CTS_40),
+	.CLK(CTS_19),
 	.D(n_3175),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [7]),
-	.RESET_B(FE_OFN21_io_out_37), 
+	.RESET_B(FE_OFN44_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_buffer_reg[255][8]  (
-	.CLK(CTS_3),
+	.CLK(CTS_19),
 	.D(n_3174),
 	.Q(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [8]),
-	.RESET_B(FE_OFN19_io_out_37), 
+	.RESET_B(FE_OFN23_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[2]  (
-	.CLK(CTS_30),
+	.CLK(CTS_16),
 	.D(n_2405),
 	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[2]),
-	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[3]  (
-	.CLK(CTS_30),
+	.CLK(CTS_16),
 	.D(n_5548),
 	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[3]),
-	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[4]  (
-	.CLK(CTS_30),
+	.CLK(CTS_16),
 	.D(n_6681),
 	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[4]),
-	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[5]  (
-	.CLK(CTS_30),
+	.CLK(CTS_16),
 	.D(n_7336),
 	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[5]),
-	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN126_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[6]  (
-	.CLK(CTS_30),
+	.CLK(CTS_16),
 	.D(n_7901),
 	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[6]),
-	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[7]  (
-	.CLK(CTS_30),
+	.CLK(CTS_54),
 	.D(n_8416),
 	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[7]),
-	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_raddr_reg[8]  (
-	.CLK(CTS_30),
+	.CLK(CTS_16),
 	.D(n_8602),
 	.Q(u_soc_u_uart_u_uart_core_read_fifo_raddr[8]),
-	.RESET_B(FE_OFN19051_FE_OFN77_io_out_37), 
+	.RESET_B(FE_OFN142_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[0]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_1925),
 	.Q(n_94),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_buffer_size[0]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[2]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_2670),
 	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[2]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[5]  (
-	.CLK(CTS_42),
+	.CLK(CTS_14),
 	.D(n_5529),
 	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[5]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[6]  (
-	.CLK(CTS_42),
+	.CLK(CTS_10),
 	.D(n_5884),
 	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[6]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[8]  (
-	.CLK(CTS_44),
+	.CLK(CTS_10),
 	.D(n_7332),
 	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[8]),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfstp_1 u_soc_u_uart_u_uart_core_rx_clr_reg (
-	.CLK(CTS_46),
+	.CLK(CTS_66),
 	.D(\u_soc_xbar_to_dccm[a_data] [0]),
 	.Q(u_soc_u_uart_u_uart_core_rx_clr),
 	.SCD(u_soc_u_uart_u_uart_core_rx_clr),
 	.SCE(n_15924),
-	.SET_B(FE_OFN31_io_out_37), 
+	.SET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_rx_en_reg (
-	.CLK(CTS_71),
+	.CLK(CTS_66),
 	.D(u_soc_u_uart_u_uart_core_rx_en),
 	.Q(u_soc_u_uart_u_uart_core_rx_en),
-	.RESET_B(FE_OFN31_io_out_37),
+	.RESET_B(FE_OFN98_io_out_37),
 	.SCD(\u_soc_xbar_to_dccm[a_data] [0]),
 	.SCE(n_2176), 
 	.VPWR(vccd1), 
@@ -143800,7 +140430,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 u_soc_u_uart_u_uart_core_rx_fifo_clr_reg (
-	.CLK(CTS_46),
+	.CLK(CTS_66),
 	.D(\u_soc_xbar_to_dccm[a_data] [0]),
 	.Q(u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.SCD(u_soc_u_uart_u_uart_core_rx_fifo_clr),
@@ -143810,7 +140440,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 u_soc_u_uart_u_uart_core_rx_fifo_rst_reg (
-	.CLK(CTS_46),
+	.CLK(CTS_66),
 	.D(\u_soc_xbar_to_dccm[a_data] [0]),
 	.Q(u_soc_u_uart_u_uart_core_rx_fifo_rst),
 	.SCD(u_soc_u_uart_u_uart_core_rx_fifo_rst),
@@ -143820,10 +140450,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_rx_status_reg (
-	.CLK(CTS_46),
+	.CLK(CTS_66),
 	.D(u_soc_u_uart_u_uart_core_rx_status),
 	.Q(u_soc_u_uart_u_uart_core_rx_status),
-	.RESET_B(FE_OFN31_io_out_37),
+	.RESET_B(FE_OFN98_io_out_37),
 	.SCD(n_1289),
 	.SCE(n_1468), 
 	.VPWR(vccd1), 
@@ -143831,656 +140461,656 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[0]  (
-	.CLK(CTS_52),
+	.CLK(CTS_64),
 	.D(n_1023),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[0]),
-	.RESET_B(FE_OFN33_io_out_37),
-	.SCD(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.RESET_B(FE_OFN68_io_out_37),
+	.SCD(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
 	.SCE(u_soc_u_uart_u_uart_core_rx_time_rx_time[0]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[1]  (
-	.CLK(CTS_52),
+	.CLK(CTS_64),
 	.D(n_1731),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[1]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN68_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[2]  (
-	.CLK(CTS_52),
+	.CLK(CTS_64),
 	.D(n_1716),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[2]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN68_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[3]  (
-	.CLK(CTS_52),
+	.CLK(CTS_64),
 	.D(n_1706),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[3]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN68_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[4]  (
-	.CLK(CTS_52),
+	.CLK(CTS_64),
 	.D(n_1717),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[4]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN68_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[5]  (
-	.CLK(CTS_52),
+	.CLK(CTS_64),
 	.D(n_1711),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[5]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[6]  (
-	.CLK(CTS_52),
+	.CLK(CTS_64),
 	.D(n_1712),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[6]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[7]  (
-	.CLK(CTS_52),
+	.CLK(CTS_66),
 	.D(n_1732),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[7]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[8]  (
-	.CLK(CTS_52),
+	.CLK(CTS_66),
 	.D(n_1643),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[8]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[9]  (
-	.CLK(CTS_52),
+	.CLK(CTS_64),
 	.D(n_1663),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[9]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[10]  (
-	.CLK(CTS_52),
+	.CLK(CTS_64),
 	.D(n_1695),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[10]),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[11]  (
-	.CLK(CTS_52),
+	.CLK(CTS_67),
 	.D(n_1660),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[11]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[12]  (
-	.CLK(CTS_52),
+	.CLK(CTS_67),
 	.D(n_1661),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[12]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[13]  (
-	.CLK(CTS_52),
+	.CLK(CTS_67),
 	.D(n_1664),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[13]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[14]  (
-	.CLK(CTS_52),
+	.CLK(CTS_67),
 	.D(n_1666),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[14]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[15]  (
-	.CLK(CTS_52),
+	.CLK(CTS_67),
 	.D(n_1633),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[15]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[16]  (
-	.CLK(CTS_52),
+	.CLK(CTS_67),
 	.D(n_1718),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[16]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[17]  (
-	.CLK(CTS_51),
+	.CLK(CTS_67),
 	.D(n_1626),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[17]),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[18]  (
-	.CLK(CTS_51),
+	.CLK(CTS_67),
 	.D(n_1627),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[18]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[19]  (
-	.CLK(CTS_51),
+	.CLK(CTS_67),
 	.D(n_1687),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[19]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[20]  (
-	.CLK(CTS_51),
+	.CLK(CTS_67),
 	.D(n_1691),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[20]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[21]  (
-	.CLK(CTS_51),
+	.CLK(CTS_67),
 	.D(n_1703),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[21]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[22]  (
-	.CLK(CTS_51),
+	.CLK(CTS_67),
 	.D(n_1708),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[22]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[23]  (
-	.CLK(CTS_51),
+	.CLK(CTS_67),
 	.D(n_1707),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[23]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[24]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(n_1646),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[24]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[25]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(n_1697),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[25]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[26]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1719),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[26]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[27]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1678),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[27]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[28]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1720),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[28]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[29]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1630),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[29]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[30]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1705),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[30]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_time_reg[31]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1657),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_time[31]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 u_soc_u_uart_u_uart_core_rx_time_rx_timeout_o_reg (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1734),
 	.Q(u_soc_intr_u_rx),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfstp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[0]  (
-	.CLK(CTS_50),
+	.CLK(CTS_64),
 	.D(n_1837),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_timeout[0]),
-	.SET_B(FE_OFN33_io_out_37), 
+	.SET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfstp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[1]  (
-	.CLK(CTS_50),
+	.CLK(CTS_64),
 	.D(n_1819),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_rx_timeout[1]),
-	.SET_B(FE_OFN33_io_out_37), 
+	.SET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_rx_time_state_reg_reg[0]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1932),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_state_reg[0]),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[0]  (
-	.CLK(CTS_50),
+	.CLK(CTS_64),
 	.D(\u_soc_xbar_to_dccm[a_data] [0]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[0]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[0]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[1]  (
-	.CLK(CTS_50),
+	.CLK(CTS_64),
 	.D(\u_soc_xbar_to_dccm[a_data] [1]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[1]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[1]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[2]  (
-	.CLK(CTS_50),
+	.CLK(CTS_64),
 	.D(\u_soc_xbar_to_dccm[a_data] [2]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[2]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[2]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[3]  (
-	.CLK(CTS_50),
-	.D(\u_soc_xbar_to_dccm[a_data] [3]),
+	.CLK(CTS_64),
+	.D(FE_OFN1723_u_soc_xbar_to_dccm_a_data__3),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[3]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[3]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[4]  (
-	.CLK(CTS_50),
-	.D(\u_soc_xbar_to_dccm[a_data] [4]),
+	.CLK(CTS_64),
+	.D(FE_OFN1722_u_soc_xbar_to_dccm_a_data__4),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[4]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[4]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[5]  (
-	.CLK(CTS_50),
-	.D(\u_soc_xbar_to_dccm[a_data] [5]),
+	.CLK(CTS_64),
+	.D(FE_OFN1721_u_soc_xbar_to_dccm_a_data__5),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[5]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[5]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[6]  (
-	.CLK(CTS_50),
+	.CLK(CTS_64),
 	.D(\u_soc_xbar_to_dccm[a_data] [6]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[6]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[6]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[7]  (
-	.CLK(CTS_50),
-	.D(\u_soc_xbar_to_dccm[a_data] [7]),
+	.CLK(CTS_64),
+	.D(FE_OFN1717_u_soc_xbar_to_dccm_a_data__7),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[7]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[7]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[8]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(\u_soc_xbar_to_dccm[a_data] [8]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[8]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[8]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[9]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(\u_soc_xbar_to_dccm[a_data] [9]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[9]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[9]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[10]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(\u_soc_xbar_to_dccm[a_data] [10]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[10]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[10]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[11]  (
-	.CLK(CTS_50),
+	.CLK(CTS_64),
 	.D(\u_soc_xbar_to_dccm[a_data] [11]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[11]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[11]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[12]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(\u_soc_xbar_to_dccm[a_data] [12]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[12]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[12]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[13]  (
-	.CLK(CTS_50),
-	.D(\u_soc_xbar_to_dccm[a_data] [13]),
+	.CLK(CTS_67),
+	.D(FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[13]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[13]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[14]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(\u_soc_xbar_to_dccm[a_data] [14]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[14]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[14]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[15]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(\u_soc_xbar_to_dccm[a_data] [15]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[15]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[15]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[16]  (
-	.CLK(CTS_50),
-	.D(\u_soc_xbar_to_dccm[a_data] [16]),
+	.CLK(CTS_67),
+	.D(FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[16]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[16]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[17]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(\u_soc_xbar_to_dccm[a_data] [17]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[17]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[17]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[18]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(\u_soc_xbar_to_dccm[a_data] [18]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[18]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[18]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[19]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(\u_soc_xbar_to_dccm[a_data] [19]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[19]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[19]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[20]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(\u_soc_xbar_to_dccm[a_data] [20]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[20]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[20]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[21]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(\u_soc_xbar_to_dccm[a_data] [21]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[21]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[21]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[22]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(\u_soc_xbar_to_dccm[a_data] [22]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[22]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[22]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[23]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(\u_soc_xbar_to_dccm[a_data] [23]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[23]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[23]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[24]  (
-	.CLK(CTS_50),
-	.D(\u_soc_xbar_to_dccm[a_data] [24]),
+	.CLK(CTS_67),
+	.D(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[24]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[24]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[25]  (
-	.CLK(CTS_50),
+	.CLK(CTS_64),
 	.D(\u_soc_xbar_to_dccm[a_data] [25]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[25]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[25]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[26]  (
-	.CLK(CTS_50),
-	.D(\u_soc_xbar_to_dccm[a_data] [26]),
+	.CLK(CTS_66),
+	.D(FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[26]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[26]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[27]  (
-	.CLK(CTS_50),
-	.D(\u_soc_xbar_to_dccm[a_data] [27]),
+	.CLK(CTS_67),
+	.D(FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[27]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[27]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[28]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(\u_soc_xbar_to_dccm[a_data] [28]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[28]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[28]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[29]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(\u_soc_xbar_to_dccm[a_data] [29]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[29]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[29]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[30]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(\u_soc_xbar_to_dccm[a_data] [30]),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[30]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[30]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfxtp_1 \u_soc_u_uart_u_uart_core_rx_timeout_reg[31]  (
-	.CLK(CTS_50),
-	.D(\u_soc_xbar_to_dccm[a_data] [31]),
+	.CLK(CTS_66),
+	.D(FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
 	.Q(u_soc_u_uart_u_uart_core_rx_timeout[31]),
 	.SCD(u_soc_u_uart_u_uart_core_rx_timeout[31]),
-	.SCE(FE_OFN1475_n_2412), 
+	.SCE(FE_OFN1533_n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_tx_en_reg (
-	.CLK(CTS_71),
+	.CLK(CTS_64),
 	.D(u_soc_u_uart_u_uart_core_tx_en),
 	.Q(u_soc_u_uart_u_uart_core_tx_en),
-	.RESET_B(FE_OFN31_io_out_37),
+	.RESET_B(FE_OFN68_io_out_37),
 	.SCD(\u_soc_xbar_to_dccm[a_data] [0]),
 	.SCE(n_1923), 
 	.VPWR(vccd1), 
@@ -144488,19 +141118,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 u_soc_u_uart_u_uart_core_tx_fifo_init_reg (
-	.CLK(CTS_71),
+	.CLK(CTS_66),
 	.D(n_5148),
 	.Q(u_soc_u_uart_u_uart_core_tx_fifo_init),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__sdfrtp_1 u_soc_u_uart_u_uart_core_write_fifo_buffer_empty_reg (
-	.CLK(CTS_71),
+	.CLK(CTS_88),
 	.D(n_29),
 	.Q(u_soc_u_uart_u_uart_core_write_fifo_buffer_empty),
-	.RESET_B(FE_OFN31_io_out_37),
+	.RESET_B(FE_OFN53_io_out_37),
 	.SCD(u_soc_u_uart_u_uart_core_write_fifo_buffer_empty),
 	.SCE(n_3040), 
 	.VPWR(vccd1), 
@@ -144508,4735 +141138,4735 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][1]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_6105),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [1]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6104),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [2]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][3]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_6103),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [3]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][4]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_6102),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [4]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][5]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_6118),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [5]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][6]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_6125),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [6]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6101),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [7]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][8]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6147),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [8]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][1]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_6100),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [1]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_97),
 	.D(n_6099),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [2]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][3]  (
-	.CLK(CTS_27),
+	.CLK(CTS_97),
 	.D(n_6098),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [3]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][4]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_6097),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [4]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][5]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_6096),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [5]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][6]  (
-	.CLK(CTS_71),
+	.CLK(CTS_89),
 	.D(n_6095),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [6]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_93),
 	.D(n_6094),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [7]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[1][8]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_6093),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [8]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_88),
 	.D(n_6092),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [1]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6091),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [2]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_6090),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [3]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_96),
 	.D(n_6129),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [4]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][5]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_6121),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [5]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][6]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6088),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [6]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][7]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_6087),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [7]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[2][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6086),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [8]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_88),
 	.D(n_6109),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [1]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_88),
 	.D(n_6085),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [2]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_97),
 	.D(n_6084),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [3]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][4]  (
-	.CLK(CTS_48),
+	.CLK(CTS_93),
 	.D(n_6083),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [4]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][5]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6082),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [5]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][6]  (
-	.CLK(CTS_36),
+	.CLK(CTS_93),
 	.D(n_6081),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [6]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_88),
 	.D(n_6080),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [7]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[3][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_90),
 	.D(n_6079),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [8]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][1]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_7003),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [1]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][2]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_7002),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [2]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][3]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_7129),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [3]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][4]  (
-	.CLK(CTS_71),
+	.CLK(CTS_96),
 	.D(n_7063),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [4]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][5]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_7096),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [5]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][6]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_7001),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [6]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_7000),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [7]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][8]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6999),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [8]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][1]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_6998),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [1]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_97),
 	.D(n_6997),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [2]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][3]  (
-	.CLK(CTS_27),
+	.CLK(CTS_97),
 	.D(n_6996),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [3]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][4]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_6995),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [4]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][5]  (
-	.CLK(CTS_46),
+	.CLK(CTS_97),
 	.D(n_6994),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [5]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][6]  (
-	.CLK(CTS_46),
+	.CLK(CTS_89),
 	.D(n_6993),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [6]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_93),
 	.D(n_6992),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [7]),
-	.RESET_B(FE_OFN116_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[5][8]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_6991),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [8]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6990),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [1]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6989),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [2]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_7006),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [3]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_96),
 	.D(n_6988),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [4]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][5]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_6987),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [5]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][6]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6986),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [6]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][7]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_6985),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [7]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[6][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6984),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [8]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_88),
 	.D(n_6983),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [1]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_88),
 	.D(n_6982),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [2]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_90),
 	.D(n_6981),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [3]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][4]  (
-	.CLK(CTS_48),
+	.CLK(CTS_93),
 	.D(n_6980),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [4]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][5]  (
-	.CLK(CTS_54),
+	.CLK(CTS_97),
 	.D(n_6979),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [5]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][6]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_6978),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [6]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][7]  (
-	.CLK(CTS_48),
+	.CLK(CTS_88),
 	.D(n_7005),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [7]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[7][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_90),
 	.D(n_6977),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [8]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][0]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_5885),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [0]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][1]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_6077),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [1]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][2]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_6076),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [2]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][3]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_6075),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [3]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][4]  (
-	.CLK(CTS_71),
+	.CLK(CTS_96),
 	.D(n_6074),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [4]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][5]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_6073),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [5]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][6]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_6072),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [6]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6473),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [7]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[8][8]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6069),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [8]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][1]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_6068),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [1]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_97),
 	.D(n_6067),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [2]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][3]  (
-	.CLK(CTS_36),
+	.CLK(CTS_97),
 	.D(n_6066),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [3]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][4]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_6065),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [4]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][5]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_6400),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [5]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][6]  (
-	.CLK(CTS_36),
+	.CLK(CTS_89),
 	.D(n_6064),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [6]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_93),
 	.D(n_6063),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [7]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[9][8]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_6120),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [8]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6048),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [1]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6062),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [2]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_6061),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_96),
 	.D(n_6060),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [4]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][5]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_6113),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [5]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][6]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6059),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [6]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][7]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_6058),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [7]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[10][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6057),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [8]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6056),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [1]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_88),
 	.D(n_6055),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [2]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_88),
 	.D(n_6054),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_6053),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [4]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][5]  (
-	.CLK(CTS_54),
+	.CLK(CTS_97),
 	.D(n_6052),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [5]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][6]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_6051),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [6]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_88),
 	.D(n_6050),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [7]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[11][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_93),
 	.D(n_6049),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [8]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][0]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_7337),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [0]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][1]  (
-	.CLK(CTS_71),
+	.CLK(CTS_88),
 	.D(n_7431),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [1]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][2]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_7430),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [2]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][3]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_7429),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [3]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][4]  (
-	.CLK(CTS_71),
+	.CLK(CTS_96),
 	.D(n_7428),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [4]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][5]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_7427),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [5]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][6]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_7426),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [6]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][7]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_7425),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [7]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[12][8]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_7424),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [8]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][1]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_7423),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [1]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_97),
 	.D(n_7422),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [2]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][3]  (
-	.CLK(CTS_36),
+	.CLK(CTS_97),
 	.D(n_7421),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [3]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][4]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_7420),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [4]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][5]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_7419),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [5]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][6]  (
-	.CLK(CTS_71),
+	.CLK(CTS_89),
 	.D(n_7418),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [6]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_93),
 	.D(n_7549),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [7]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[13][8]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_7417),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [8]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_7416),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [1]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_7415),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [2]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_7452),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_96),
 	.D(n_7414),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [4]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][5]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_7413),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [5]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][6]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_7412),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [6]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][7]  (
-	.CLK(CTS_49),
+	.CLK(CTS_96),
 	.D(n_7411),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [7]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[14][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_7410),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [8]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_7409),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [1]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][2]  (
-	.CLK(CTS_54),
+	.CLK(CTS_88),
 	.D(n_7408),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [2]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_88),
 	.D(n_7407),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_7406),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [4]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][5]  (
-	.CLK(CTS_54),
+	.CLK(CTS_97),
 	.D(n_7405),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [5]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][6]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_7404),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [6]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][7]  (
-	.CLK(CTS_48),
+	.CLK(CTS_93),
 	.D(n_7477),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [7]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[15][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_90),
 	.D(n_7552),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [8]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][0]  (
-	.CLK(CTS_51),
+	.CLK(CTS_97),
 	.D(n_5886),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [0]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][1]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_6047),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [1]),
-	.RESET_B(FE_OFN118_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][2]  (
-	.CLK(CTS_53),
+	.CLK(CTS_94),
 	.D(n_6046),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [2]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][3]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6045),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [3]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_6044),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][5]  (
-	.CLK(CTS_49),
+	.CLK(CTS_94),
 	.D(n_6043),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [5]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_6042),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_6041),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [7]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[16][8]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6040),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [8]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][1]  (
-	.CLK(CTS_58),
+	.CLK(CTS_101),
 	.D(n_6145),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [1]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_6039),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [2]),
-	.RESET_B(FE_OFN118_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][3]  (
-	.CLK(CTS_58),
+	.CLK(CTS_97),
 	.D(n_6038),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [3]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_101),
 	.D(n_6037),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][5]  (
-	.CLK(CTS_58),
+	.CLK(CTS_97),
 	.D(n_6266),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [5]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_6036),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6035),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[17][8]  (
-	.CLK(CTS_49),
+	.CLK(CTS_101),
 	.D(n_6034),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [8]),
-	.RESET_B(FE_OFN118_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][1]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_6148),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [1]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_93),
 	.D(n_6033),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [2]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_93),
 	.D(n_6032),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][4]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_6031),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [4]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][5]  (
-	.CLK(CTS_54),
+	.CLK(CTS_94),
 	.D(n_6162),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [5]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_6030),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][7]  (
-	.CLK(CTS_51),
+	.CLK(CTS_93),
 	.D(n_6029),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [7]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[18][8]  (
-	.CLK(CTS_51),
+	.CLK(CTS_93),
 	.D(n_6028),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [8]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][1]  (
-	.CLK(CTS_58),
+	.CLK(CTS_90),
 	.D(n_6027),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [1]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_91),
 	.D(n_6026),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [2]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_91),
 	.D(n_6025),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [3]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_94),
 	.D(n_6024),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [4]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][5]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_6436),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [5]),
-	.RESET_B(FE_OFN118_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][6]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_6023),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [6]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][7]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_6071),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [7]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[19][8]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6022),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [8]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][0]  (
-	.CLK(CTS_51),
+	.CLK(CTS_97),
 	.D(n_6797),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [0]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][1]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_6976),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [1]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][2]  (
-	.CLK(CTS_53),
+	.CLK(CTS_94),
 	.D(n_6975),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [2]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][3]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6974),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [3]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_6973),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][5]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6972),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [5]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_6971),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_101),
 	.D(n_6970),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[20][8]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6969),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [8]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][1]  (
-	.CLK(CTS_58),
+	.CLK(CTS_101),
 	.D(n_6968),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [1]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_6967),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [2]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_6966),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [3]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_101),
 	.D(n_6965),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][5]  (
-	.CLK(CTS_58),
+	.CLK(CTS_97),
 	.D(n_6964),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [5]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_6963),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6962),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[21][8]  (
-	.CLK(CTS_49),
+	.CLK(CTS_101),
 	.D(n_6961),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [8]),
-	.RESET_B(FE_OFN118_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][1]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_6960),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [1]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_93),
 	.D(n_6959),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [2]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_93),
 	.D(n_6958),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [3]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_89),
 	.D(n_6957),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [4]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][5]  (
-	.CLK(CTS_54),
+	.CLK(CTS_94),
 	.D(n_6956),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [5]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_93),
 	.D(n_6955),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][7]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6954),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [7]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[22][8]  (
-	.CLK(CTS_51),
+	.CLK(CTS_93),
 	.D(n_6953),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [8]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6952),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [1]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_90),
 	.D(n_6951),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [2]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_90),
 	.D(n_6950),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [3]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_94),
 	.D(n_6949),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [4]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][5]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_6948),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [5]),
-	.RESET_B(FE_OFN118_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][6]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_6947),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [6]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][7]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_6946),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [7]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[23][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_90),
 	.D(n_6945),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [8]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][1]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_6021),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [1]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_94),
 	.D(n_6020),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [2]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][3]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6019),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [3]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_6018),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][5]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6017),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [5]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_6016),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_6123),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][8]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6283),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [8]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][1]  (
-	.CLK(CTS_58),
+	.CLK(CTS_101),
 	.D(n_6260),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [1]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_6358),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [2]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_6362),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [3]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_101),
 	.D(n_6382),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][5]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_6270),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [5]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_6383),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6264),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[25][8]  (
-	.CLK(CTS_49),
+	.CLK(CTS_101),
 	.D(n_6265),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [8]),
-	.RESET_B(FE_OFN118_io_out_37), 
+	.RESET_B(FE_OFN55_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][1]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_6398),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [1]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_93),
 	.D(n_6384),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [2]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_93),
 	.D(n_6385),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [3]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_6468),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [4]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][5]  (
-	.CLK(CTS_54),
+	.CLK(CTS_94),
 	.D(n_6386),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [5]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_6387),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][7]  (
-	.CLK(CTS_51),
+	.CLK(CTS_91),
 	.D(n_6388),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [7]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[26][8]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_6390),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [8]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_91),
 	.D(n_6478),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [1]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_6391),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [2]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_6392),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [3]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_94),
 	.D(n_6421),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [4]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][5]  (
-	.CLK(CTS_48),
+	.CLK(CTS_97),
 	.D(n_6174),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [5]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][6]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_6394),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [6]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6396),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [7]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[27][8]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6397),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [8]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][1]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_7548),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [1]),
-	.RESET_B(FE_OFN118_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_94),
 	.D(n_7547),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [2]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][3]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_7546),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [3]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_7545),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][5]  (
-	.CLK(CTS_49),
+	.CLK(CTS_94),
 	.D(n_7544),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [5]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_7543),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_7542),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][8]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_7541),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [8]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][1]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_7540),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [1]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_7539),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [2]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][3]  (
-	.CLK(CTS_58),
+	.CLK(CTS_97),
 	.D(n_7538),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [3]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_91),
 	.D(n_7537),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][5]  (
-	.CLK(CTS_58),
+	.CLK(CTS_97),
 	.D(n_7536),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [5]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_7535),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_7534),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [7]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[29][8]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_7533),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [8]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][1]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_7532),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [1]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_93),
 	.D(n_7531),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [2]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_93),
 	.D(n_7530),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [3]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_7529),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [4]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][5]  (
-	.CLK(CTS_54),
+	.CLK(CTS_94),
 	.D(n_7528),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [5]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_93),
 	.D(n_7527),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][7]  (
-	.CLK(CTS_54),
+	.CLK(CTS_91),
 	.D(n_7526),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [7]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[30][8]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_7525),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [8]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_91),
 	.D(n_7524),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [1]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_91),
 	.D(n_7523),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [2]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_7522),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [3]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_91),
 	.D(n_7521),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [4]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][5]  (
-	.CLK(CTS_48),
+	.CLK(CTS_97),
 	.D(n_7520),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [5]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][6]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_7519),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [6]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_7518),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [7]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[31][8]  (
-	.CLK(CTS_54),
+	.CLK(CTS_91),
 	.D(n_7517),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [8]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][0]  (
-	.CLK(CTS_54),
+	.CLK(CTS_86),
 	.D(n_5875),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [0]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][1]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_6422),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [1]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][2]  (
-	.CLK(CTS_36),
+	.CLK(CTS_89),
 	.D(n_6423),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [2]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][3]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6424),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [3]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][4]  (
-	.CLK(CTS_71),
+	.CLK(CTS_96),
 	.D(n_6425),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [4]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][5]  (
-	.CLK(CTS_27),
+	.CLK(CTS_89),
 	.D(n_6114),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [5]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][6]  (
-	.CLK(CTS_36),
+	.CLK(CTS_89),
 	.D(n_6426),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [6]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6427),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [7]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[32][8]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6428),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [8]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][1]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_6127),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [1]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_97),
 	.D(n_6429),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [2]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][3]  (
-	.CLK(CTS_36),
+	.CLK(CTS_97),
 	.D(n_6430),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][4]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_6431),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [4]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][5]  (
-	.CLK(CTS_71),
+	.CLK(CTS_86),
 	.D(n_6432),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [5]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][6]  (
-	.CLK(CTS_71),
+	.CLK(CTS_89),
 	.D(n_6433),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [6]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_93),
 	.D(n_6434),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [7]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[33][8]  (
-	.CLK(CTS_27),
+	.CLK(CTS_90),
 	.D(n_6435),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [8]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][1]  (
-	.CLK(CTS_71),
+	.CLK(CTS_90),
 	.D(n_6130),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [1]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6437),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [2]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][3]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6438),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][4]  (
-	.CLK(CTS_71),
+	.CLK(CTS_96),
 	.D(n_6439),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [4]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][5]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_6134),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [5]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][6]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6440),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [6]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6175),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [7]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[34][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6441),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [8]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][1]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_6138),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [1]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_90),
 	.D(n_6442),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [2]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][3]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_6443),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][4]  (
-	.CLK(CTS_36),
+	.CLK(CTS_93),
 	.D(n_6268),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [4]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][5]  (
-	.CLK(CTS_36),
+	.CLK(CTS_97),
 	.D(n_6271),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [5]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][6]  (
-	.CLK(CTS_71),
+	.CLK(CTS_89),
 	.D(n_6445),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [6]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][7]  (
-	.CLK(CTS_48),
+	.CLK(CTS_90),
 	.D(n_6119),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [7]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[35][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_90),
 	.D(n_6446),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [8]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][0]  (
-	.CLK(CTS_54),
+	.CLK(CTS_97),
 	.D(n_6901),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [0]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][1]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_7128),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [1]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][2]  (
-	.CLK(CTS_36),
+	.CLK(CTS_89),
 	.D(n_7127),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [2]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][3]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_7126),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [3]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][4]  (
-	.CLK(CTS_71),
+	.CLK(CTS_96),
 	.D(n_7125),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [4]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][5]  (
-	.CLK(CTS_27),
+	.CLK(CTS_89),
 	.D(n_7124),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [5]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][6]  (
-	.CLK(CTS_36),
+	.CLK(CTS_89),
 	.D(n_7123),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [6]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_7122),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [7]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[36][8]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_7121),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [8]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][1]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_7120),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [1]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_86),
 	.D(n_7119),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [2]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][3]  (
-	.CLK(CTS_36),
+	.CLK(CTS_97),
 	.D(n_7118),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [3]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][4]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_7117),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [4]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][5]  (
-	.CLK(CTS_71),
+	.CLK(CTS_86),
 	.D(n_7116),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [5]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][6]  (
-	.CLK(CTS_71),
+	.CLK(CTS_89),
 	.D(n_7115),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [6]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][7]  (
-	.CLK(CTS_48),
+	.CLK(CTS_93),
 	.D(n_7114),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [7]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[37][8]  (
-	.CLK(CTS_27),
+	.CLK(CTS_90),
 	.D(n_7113),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [8]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][1]  (
-	.CLK(CTS_71),
+	.CLK(CTS_90),
 	.D(n_7112),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [1]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][2]  (
-	.CLK(CTS_36),
+	.CLK(CTS_96),
 	.D(n_7111),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [2]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][3]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_7110),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][4]  (
-	.CLK(CTS_71),
+	.CLK(CTS_96),
 	.D(n_7109),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [4]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][5]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_7108),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [5]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][6]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_7107),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [6]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][7]  (
-	.CLK(CTS_48),
+	.CLK(CTS_96),
 	.D(n_7106),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [7]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[38][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_7105),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [8]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][1]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_7104),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [1]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_90),
 	.D(n_7103),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [2]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][3]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_7102),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][4]  (
-	.CLK(CTS_36),
+	.CLK(CTS_93),
 	.D(n_7101),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [4]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][5]  (
-	.CLK(CTS_36),
+	.CLK(CTS_97),
 	.D(n_7100),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [5]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][6]  (
-	.CLK(CTS_71),
+	.CLK(CTS_89),
 	.D(n_7099),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [6]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][7]  (
-	.CLK(CTS_48),
+	.CLK(CTS_90),
 	.D(n_7098),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [7]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[39][8]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_7097),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [8]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][1]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_6128),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [1]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][2]  (
-	.CLK(CTS_36),
+	.CLK(CTS_89),
 	.D(n_6131),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [2]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][3]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6447),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [3]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][4]  (
-	.CLK(CTS_71),
+	.CLK(CTS_96),
 	.D(n_6448),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [4]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][5]  (
-	.CLK(CTS_27),
+	.CLK(CTS_89),
 	.D(n_6449),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [5]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][6]  (
-	.CLK(CTS_36),
+	.CLK(CTS_89),
 	.D(n_6140),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [6]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6450),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [7]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][8]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_6290),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [8]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][1]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_6451),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [1]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_86),
 	.D(n_6158),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [2]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN92_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][3]  (
-	.CLK(CTS_36),
+	.CLK(CTS_97),
 	.D(n_6452),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [3]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][4]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_6161),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [4]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][5]  (
-	.CLK(CTS_71),
+	.CLK(CTS_86),
 	.D(n_6453),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [5]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][6]  (
-	.CLK(CTS_71),
+	.CLK(CTS_89),
 	.D(n_6170),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [6]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_93),
 	.D(n_6454),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [7]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[41][8]  (
-	.CLK(CTS_27),
+	.CLK(CTS_90),
 	.D(n_6455),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [8]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6456),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [1]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6258),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [2]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6457),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_96),
 	.D(n_6458),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [4]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][5]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6459),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [5]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][6]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6269),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [6]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][7]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6460),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [7]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[42][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_6461),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [8]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][1]  (
-	.CLK(CTS_71),
+	.CLK(CTS_93),
 	.D(n_6462),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [1]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_90),
 	.D(n_6463),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [2]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_90),
 	.D(n_6464),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][4]  (
-	.CLK(CTS_48),
+	.CLK(CTS_93),
 	.D(n_6465),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [4]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][5]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_6116),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [5]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][6]  (
-	.CLK(CTS_71),
+	.CLK(CTS_89),
 	.D(n_6444),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [6]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_90),
 	.D(n_6466),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [7]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[43][8]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6467),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [8]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][1]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_7516),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [1]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_7515),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [2]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][3]  (
-	.CLK(CTS_27),
+	.CLK(CTS_89),
 	.D(n_7514),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][4]  (
-	.CLK(CTS_71),
+	.CLK(CTS_96),
 	.D(n_7513),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [4]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][5]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_7512),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [5]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][6]  (
-	.CLK(CTS_36),
+	.CLK(CTS_89),
 	.D(n_7511),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [6]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_7510),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [7]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][8]  (
-	.CLK(CTS_27),
+	.CLK(CTS_96),
 	.D(n_7509),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [8]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN97_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][1]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_7508),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [1]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][2]  (
-	.CLK(CTS_27),
+	.CLK(CTS_97),
 	.D(n_7507),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [2]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][3]  (
-	.CLK(CTS_36),
+	.CLK(CTS_97),
 	.D(n_7506),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [3]),
-	.RESET_B(FE_OFN19036_FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][4]  (
-	.CLK(CTS_36),
+	.CLK(CTS_88),
 	.D(n_7505),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [4]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][5]  (
-	.CLK(CTS_71),
+	.CLK(CTS_86),
 	.D(n_7504),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [5]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][6]  (
-	.CLK(CTS_71),
+	.CLK(CTS_89),
 	.D(n_7503),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [6]),
-	.RESET_B(FE_OFN153_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_93),
 	.D(n_7502),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [7]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[45][8]  (
-	.CLK(CTS_27),
+	.CLK(CTS_90),
 	.D(n_7501),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [8]),
-	.RESET_B(FE_OFN140_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_7500),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [1]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_7499),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [2]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][3]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_7498),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_96),
 	.D(n_7497),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [4]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][5]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_7496),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [5]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][6]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_7495),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [6]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][7]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_7494),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [7]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN64_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[46][8]  (
-	.CLK(CTS_48),
+	.CLK(CTS_89),
 	.D(n_7493),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [8]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][1]  (
-	.CLK(CTS_71),
+	.CLK(CTS_93),
 	.D(n_7492),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [1]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][2]  (
-	.CLK(CTS_48),
+	.CLK(CTS_90),
 	.D(n_7491),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [2]),
-	.RESET_B(FE_OFN144_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][3]  (
-	.CLK(CTS_36),
+	.CLK(CTS_90),
 	.D(n_7490),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [3]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][4]  (
-	.CLK(CTS_36),
+	.CLK(CTS_93),
 	.D(n_7489),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [4]),
-	.RESET_B(FE_OFN152_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][5]  (
-	.CLK(CTS_36),
+	.CLK(CTS_97),
 	.D(n_7488),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [5]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][6]  (
-	.CLK(CTS_71),
+	.CLK(CTS_89),
 	.D(n_7487),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [6]),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][7]  (
-	.CLK(CTS_27),
+	.CLK(CTS_88),
 	.D(n_7486),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [7]),
-	.RESET_B(FE_OFN119_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[47][8]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_7485),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [8]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][0]  (
-	.CLK(CTS_51),
+	.CLK(CTS_86),
 	.D(n_5878),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [0]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN92_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][1]  (
-	.CLK(CTS_49),
+	.CLK(CTS_86),
 	.D(n_6469),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [1]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_89),
 	.D(n_6262),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [2]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][3]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6470),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [3]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][4]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_6124),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [4]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][5]  (
-	.CLK(CTS_53),
+	.CLK(CTS_89),
 	.D(n_6471),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [5]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_89),
 	.D(n_6015),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [6]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_6472),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[48][8]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_6122),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [8]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][1]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_6474),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [1]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][2]  (
-	.CLK(CTS_53),
+	.CLK(CTS_86),
 	.D(n_6149),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [2]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_86),
 	.D(n_6475),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [3]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_91),
 	.D(n_6476),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][5]  (
-	.CLK(CTS_53),
+	.CLK(CTS_86),
 	.D(n_6477),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [5]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_89),
 	.D(n_6070),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_6165),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[49][8]  (
-	.CLK(CTS_53),
+	.CLK(CTS_91),
 	.D(n_6078),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [8]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][1]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_6089),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [1]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_94),
 	.D(n_6106),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [2]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_94),
 	.D(n_6107),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [3]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][4]  (
-	.CLK(CTS_58),
+	.CLK(CTS_93),
 	.D(n_6479),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [4]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][5]  (
-	.CLK(CTS_49),
+	.CLK(CTS_94),
 	.D(n_6108),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [5]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_6480),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][7]  (
-	.CLK(CTS_51),
+	.CLK(CTS_91),
 	.D(n_6481),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [7]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[50][8]  (
-	.CLK(CTS_54),
+	.CLK(CTS_94),
 	.D(n_6164),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [8]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][1]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_6110),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [1]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_6112),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [2]),
-	.RESET_B(FE_OFN118_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_6111),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [3]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_91),
 	.D(n_6482),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][5]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_6115),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [5]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_93),
 	.D(n_6117),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6483),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [7]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[51][8]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_6484),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [8]),
-	.RESET_B(FE_OFN118_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][0]  (
-	.CLK(CTS_51),
+	.CLK(CTS_86),
 	.D(n_6902),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [0]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN92_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][1]  (
-	.CLK(CTS_53),
+	.CLK(CTS_97),
 	.D(n_7095),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [1]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_89),
 	.D(n_7094),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [2]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][3]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_7093),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [3]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][4]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_7092),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][5]  (
-	.CLK(CTS_53),
+	.CLK(CTS_89),
 	.D(n_7091),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [5]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][6]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_7090),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [6]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_7089),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[52][8]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_7088),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [8]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][1]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_7087),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [1]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][2]  (
-	.CLK(CTS_53),
+	.CLK(CTS_86),
 	.D(n_7086),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [2]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_86),
 	.D(n_7085),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [3]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][4]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_7084),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][5]  (
-	.CLK(CTS_53),
+	.CLK(CTS_86),
 	.D(n_7083),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [5]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_89),
 	.D(n_7082),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_7081),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN63_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[53][8]  (
-	.CLK(CTS_53),
+	.CLK(CTS_91),
 	.D(n_7080),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [8]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][1]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_7079),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [1]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_94),
 	.D(n_7078),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [2]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][3]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_7077),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [3]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][4]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_7076),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [4]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][5]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_7075),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [5]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_7074),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][7]  (
-	.CLK(CTS_51),
+	.CLK(CTS_91),
 	.D(n_7004),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [7]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[54][8]  (
-	.CLK(CTS_54),
+	.CLK(CTS_94),
 	.D(n_7073),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [8]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][1]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_7072),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [1]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_7071),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [2]),
-	.RESET_B(FE_OFN118_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_7070),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [3]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_91),
 	.D(n_7069),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][5]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_7068),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [5]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN50_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_7067),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_7066),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [7]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[55][8]  (
-	.CLK(CTS_49),
+	.CLK(CTS_91),
 	.D(n_7065),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [8]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][1]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_6132),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [1]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_89),
 	.D(n_6133),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [2]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][3]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_6485),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [3]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][4]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_6150),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [4]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][5]  (
-	.CLK(CTS_53),
+	.CLK(CTS_89),
 	.D(n_6137),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [5]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][6]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_6135),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [6]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_6289),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][8]  (
-	.CLK(CTS_51),
+	.CLK(CTS_89),
 	.D(n_6288),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [8]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][1]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_6146),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [1]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][2]  (
-	.CLK(CTS_53),
+	.CLK(CTS_97),
 	.D(n_6287),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [2]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][3]  (
-	.CLK(CTS_53),
+	.CLK(CTS_86),
 	.D(n_6285),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [3]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_91),
 	.D(n_6284),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][5]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_6157),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [5]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_89),
 	.D(n_6159),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_6282),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[57][8]  (
-	.CLK(CTS_53),
+	.CLK(CTS_91),
 	.D(n_6160),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [8]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][1]  (
-	.CLK(CTS_58),
+	.CLK(CTS_90),
 	.D(n_6281),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [1]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_93),
 	.D(n_6163),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [2]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_93),
 	.D(n_6280),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [3]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_89),
 	.D(n_6279),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][5]  (
-	.CLK(CTS_58),
+	.CLK(CTS_93),
 	.D(n_6278),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [5]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][6]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_6171),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [6]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN62_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][7]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6277),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [7]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN156_FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[58][8]  (
-	.CLK(CTS_54),
+	.CLK(CTS_89),
 	.D(n_6176),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [8]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6276),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [1]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][2]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6272),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [2]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_90),
 	.D(n_6275),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [3]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_94),
 	.D(n_6259),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [4]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][5]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6274),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [5]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][6]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_6263),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [6]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_6273),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [7]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[59][8]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_6267),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [8]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][1]  (
-	.CLK(CTS_53),
+	.CLK(CTS_97),
 	.D(n_7453),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [1]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_94),
 	.D(n_7484),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [2]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][3]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_7483),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [3]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_89),
 	.D(n_7482),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][5]  (
-	.CLK(CTS_53),
+	.CLK(CTS_89),
 	.D(n_7481),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [5]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][6]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_7480),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [6]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_7479),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][8]  (
-	.CLK(CTS_51),
+	.CLK(CTS_94),
 	.D(n_7478),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [8]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][1]  (
-	.CLK(CTS_58),
+	.CLK(CTS_91),
 	.D(n_7553),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [1]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][2]  (
-	.CLK(CTS_53),
+	.CLK(CTS_97),
 	.D(n_7476),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [2]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_86),
 	.D(n_7475),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [3]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][4]  (
-	.CLK(CTS_51),
+	.CLK(CTS_91),
 	.D(n_7474),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][5]  (
-	.CLK(CTS_49),
+	.CLK(CTS_86),
 	.D(n_7473),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [5]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][6]  (
-	.CLK(CTS_51),
+	.CLK(CTS_89),
 	.D(n_7472),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [6]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_89),
 	.D(n_7471),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [7]),
-	.RESET_B(FE_OFN146_io_out_37), 
+	.RESET_B(FE_OFN18821_FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[61][8]  (
-	.CLK(CTS_53),
+	.CLK(CTS_91),
 	.D(n_7470),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [8]),
-	.RESET_B(FE_OFN145_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][1]  (
-	.CLK(CTS_58),
+	.CLK(CTS_90),
 	.D(n_7469),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [1]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][2]  (
-	.CLK(CTS_49),
+	.CLK(CTS_93),
 	.D(n_7468),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [2]),
-	.RESET_B(FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_93),
 	.D(n_7467),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [3]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_89),
 	.D(n_7466),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [4]),
-	.RESET_B(FE_OFN148_io_out_37), 
+	.RESET_B(FE_OFN60_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][5]  (
-	.CLK(CTS_49),
+	.CLK(CTS_93),
 	.D(n_7465),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [5]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][6]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_7464),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [6]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][7]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_7463),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [7]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN58_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[62][8]  (
-	.CLK(CTS_54),
+	.CLK(CTS_89),
 	.D(n_7462),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [8]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN96_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][1]  (
-	.CLK(CTS_54),
+	.CLK(CTS_91),
 	.D(n_7461),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [1]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][2]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_7460),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [2]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][3]  (
-	.CLK(CTS_49),
+	.CLK(CTS_97),
 	.D(n_7459),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [3]),
-	.RESET_B(FE_OFN19503_FE_OFN149_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][4]  (
-	.CLK(CTS_54),
+	.CLK(CTS_94),
 	.D(n_7458),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [4]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][5]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_7457),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [5]),
-	.RESET_B(FE_OFN150_io_out_37), 
+	.RESET_B(FE_OFN52_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][6]  (
-	.CLK(CTS_54),
+	.CLK(CTS_93),
 	.D(n_7456),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [6]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][7]  (
-	.CLK(CTS_58),
+	.CLK(CTS_94),
 	.D(n_7455),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [7]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN95_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[63][8]  (
-	.CLK(CTS_54),
+	.CLK(CTS_90),
 	.D(n_7454),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [8]),
-	.RESET_B(FE_OFN147_io_out_37), 
+	.RESET_B(FE_OFN94_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[0]  (
-	.CLK(CTS_71),
+	.CLK(CTS_88),
 	.D(n_2359),
 	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[0]),
-	.RESET_B(FE_OFN32_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[1]  (
-	.CLK(CTS_71),
+	.CLK(CTS_88),
 	.D(n_5552),
 	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[1]),
-	.RESET_B(FE_OFN32_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[2]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_6393),
 	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[2]),
-	.RESET_B(FE_OFN32_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[3]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_6686),
 	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[3]),
-	.RESET_B(FE_OFN32_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[6]  (
-	.CLK(CTS_71),
+	.CLK(CTS_88),
 	.D(n_8107),
 	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[6]),
-	.RESET_B(FE_OFN32_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[7]  (
-	.CLK(CTS_71),
+	.CLK(CTS_88),
 	.D(n_8536),
 	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[7]),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -149250,55 +145880,55 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[2]  (
-	.CLK(CTS_50),
+	.CLK(CTS_86),
 	.D(n_5572),
 	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[2]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[3]  (
-	.CLK(CTS_50),
+	.CLK(CTS_86),
 	.D(n_6656),
 	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[3]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[5]  (
-	.CLK(CTS_51),
+	.CLK(CTS_86),
 	.D(n_7340),
 	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[5]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[6]  (
-	.CLK(CTS_50),
+	.CLK(CTS_86),
 	.D(n_7567),
 	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[6]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[7]  (
-	.CLK(CTS_50),
+	.CLK(CTS_86),
 	.D(n_8106),
 	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[7]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrtp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[8]  (
-	.CLK(CTS_71),
+	.CLK(CTS_86),
 	.D(n_8426),
 	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[8]),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -149337,10 +145967,10 @@
    sky130_fd_sc_hd__a222oi_1 g430856 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
 	.A2(n_2668),
-	.B1(FE_OFN1481_n_5649),
+	.B1(n_5649),
 	.B2(n_8784),
 	.C1(n_8782),
-	.C2(FE_OFN1482_n_5651),
+	.C2(n_5651),
 	.Y(n_8786), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -149367,7 +145997,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g430860 (
-	.A1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A1(FE_OFN18510_n),
 	.A2(n_8781),
 	.B1(n_6),
 	.B2(n_8780),
@@ -149388,8 +146018,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor4_1 g431017 (
-	.A(FE_OFN19539_n_13391),
-	.B(FE_PSN4380_n_13390),
+	.A(FE_OFN1652_n_13391),
+	.B(FE_OFN18427_n_13390),
 	.C(n_13415),
 	.D(n_8769),
 	.Y(n_8782), 
@@ -149461,9 +146091,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g431782 (
-	.A(FE_COEN4275_n_13392),
-	.B(FE_OFN19544_n_13394),
-	.C(FE_OFN18312_n_13393),
+	.A(FE_OFN1256_n_13085),
+	.B(FE_OFN18244_n_13394),
+	.C(FE_OFN18241_n_13393),
 	.D(n_8693),
 	.X(n_8769), 
 	.VPWR(vccd1), 
@@ -149471,7 +146101,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g431783 (
-	.A1(n_6883),
+	.A1(FE_OFN19140_n_6883),
 	.A2(n_7796),
 	.B1(n_8764),
 	.Y(n_8768), 
@@ -149495,9 +146125,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g431815 (
-	.A(n_8742),
-	.B(n_8664),
+   sky130_fd_sc_hd__nand2_4 g431815 (
+	.A(FE_PSN3916_n_8742),
+	.B(FE_PSN3890_n_8664),
 	.Y(n_8777), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -149505,7 +146135,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 g431816 (
 	.A(n_8743),
-	.B(n_8664),
+	.B(FE_PSN3890_n_8664),
 	.Y(n_8776), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -149513,7 +146143,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 g431817 (
 	.A(n_8738),
-	.B(n_8664),
+	.B(FE_PSN3890_n_8664),
 	.Y(n_8775), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -149521,15 +146151,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 g431818 (
 	.A(n_8740),
-	.B(n_8664),
+	.B(FE_PSN3890_n_8664),
 	.Y(n_8774), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g431819 (
+   sky130_fd_sc_hd__nand2_2 g431819 (
 	.A(n_8741),
-	.B(n_8664),
+	.B(FE_PSN3890_n_8664),
 	.Y(n_8773), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -149545,8 +146175,8 @@
    sky130_fd_sc_hd__a221oi_1 g431821 (
 	.A1(n_8661),
 	.A2(n_7253),
-	.B1(FE_OFN18321_n_6708),
-	.B2(FE_PSN4148_u_soc_u_top_u_core_instr_rdata_id_25),
+	.B1(FE_OFN18242_n_6709),
+	.B2(FE_OFN18142_u_soc_u_top_u_core_instr_rdata_id_25),
 	.C1(n_8114),
 	.Y(n_8764), 
 	.VPWR(vccd1), 
@@ -149554,9 +146184,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g431822 (
-	.A1(FE_PSN4157_n_8331),
+	.A1(n_8331),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
-	.B1(FE_OFN18320_n_6708),
+	.B1(FE_OFN18242_n_6709),
 	.B2(u_soc_u_top_u_core_instr_rdata_id[26]),
 	.C1(n_8727),
 	.X(n_8763), 
@@ -149565,7 +146195,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_2 g431823 (
-	.A1(FE_OFN1612_n_3007),
+	.A1(FE_OFN1546_n_3007),
 	.A2(n_8335),
 	.B1(n_5966),
 	.B2(n_8500),
@@ -149576,10 +146206,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_2 g431824 (
-	.A1(FE_OFN19680_n_5953),
+	.A1(FE_OFN1758_n_5953),
 	.A2(n_8550),
-	.B1(FE_OFN1610_n_2991),
-	.B2(FE_OFN18282_n_8333),
+	.B1(FE_OFN1539_n_2991),
+	.B2(FE_OFN18176_n_8333),
 	.C1(n_8726),
 	.Y(n_8761), 
 	.VPWR(vccd1), 
@@ -149587,7 +146217,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g431825 (
-	.A1(FE_OFN1000_n_6857),
+	.A1(FE_PSN3972_FE_OFN1193_n_6857),
 	.A2(n_7386),
 	.B1(n_8450),
 	.C1(n_7924),
@@ -149608,10 +146238,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g431844 (
-	.A1(n_7260),
+   sky130_fd_sc_hd__a221oi_2 g431844 (
+	.A1(FE_OFN1764_n_7260),
 	.A2(n_1878),
-	.B1(n_7801),
+	.B1(FE_OFN1778_n_7801),
 	.B2(n_1872),
 	.C1(n_8714),
 	.Y(n_8743), 
@@ -149619,10 +146249,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g431845 (
-	.A1(n_7261),
+   sky130_fd_sc_hd__a221oi_2 g431845 (
+	.A1(FE_OFN1765_n_7261),
 	.A2(n_1878),
-	.B1(n_7258),
+	.B1(FE_OFN1762_n_7258),
 	.B2(n_1872),
 	.C1(n_8708),
 	.Y(n_8742), 
@@ -149631,9 +146261,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g431846 (
-	.A1(n_7804),
+	.A1(FE_PDN4059_FE_OFN1781_n_7804),
 	.A2(n_1872),
-	.B1(n_7266),
+	.B1(FE_OFN1770_n_7266),
 	.B2(n_1878),
 	.C1(n_8707),
 	.Y(n_8741), 
@@ -149642,9 +146272,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g431847 (
-	.A1(n_7802),
+	.A1(FE_OFN1779_n_7802),
 	.A2(n_1872),
-	.B1(n_7272),
+	.B1(FE_OFN1774_n_7272),
 	.B2(n_1874),
 	.C1(n_8689),
 	.Y(n_8740), 
@@ -149656,16 +146286,16 @@
 	.A1(n_2683),
 	.A2(n_16010),
 	.B1(n_175),
-	.B2(n_2682),
+	.B2(FE_OFN18152_n_2683),
 	.Y(n_8739), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g431849 (
-	.A1(n_7262),
+   sky130_fd_sc_hd__a221oi_2 g431849 (
+	.A1(FE_OFN1767_n_7262),
 	.A2(n_1878),
-	.B1(n_7263),
+	.B1(FE_OFN1768_n_7263),
 	.B2(n_1872),
 	.C1(n_8713),
 	.Y(n_8738), 
@@ -149674,7 +146304,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g431850 (
-	.A(n_8711),
+	.A(FE_PSN3944_n_8711),
 	.B(n_8686),
 	.C(n_7435),
 	.Y(n_8759), 
@@ -149682,8 +146312,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g431851 (
-	.A(n_8711),
+   sky130_fd_sc_hd__nand3_2 g431851 (
+	.A(FE_PSN3944_n_8711),
 	.B(n_8678),
 	.C(n_7434),
 	.Y(n_8758), 
@@ -149692,7 +146322,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g431852 (
-	.A(n_8711),
+	.A(FE_PSN3944_n_8711),
 	.B(n_8685),
 	.C(n_8136),
 	.Y(n_8757), 
@@ -149710,8 +146340,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g431854 (
-	.A(n_8711),
+   sky130_fd_sc_hd__nand3_2 g431854 (
+	.A(FE_PSN3944_n_8711),
 	.B(n_8691),
 	.C(n_7442),
 	.Y(n_8755), 
@@ -149749,7 +146379,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g431858 (
+   sky130_fd_sc_hd__nand4_2 g431858 (
 	.A(n_8620),
 	.B(n_8052),
 	.C(n_2350),
@@ -149759,17 +146389,17 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g431859 (
-	.A(n_8711),
-	.B(n_8690),
+   sky130_fd_sc_hd__nand3_2 g431859 (
+	.A(FE_PSN3944_n_8711),
+	.B(FE_PSN3901_n_8690),
 	.C(n_7441),
 	.Y(n_8750), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g431860 (
-	.A(n_8711),
+   sky130_fd_sc_hd__nand3_2 g431860 (
+	.A(FE_PSN3944_n_8711),
 	.B(n_8709),
 	.C(n_7440),
 	.Y(n_8749), 
@@ -149778,7 +146408,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g431861 (
-	.A(n_8711),
+	.A(FE_PSN3944_n_8711),
 	.B(n_8680),
 	.C(n_7439),
 	.Y(n_8748), 
@@ -149787,7 +146417,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g431862 (
-	.A(n_8711),
+	.A(FE_PSN3944_n_8711),
 	.B(n_8688),
 	.C(n_7438),
 	.Y(n_8747), 
@@ -149796,7 +146426,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g431863 (
-	.A(n_8711),
+	.A(FE_PSN3944_n_8711),
 	.B(n_8679),
 	.C(n_7436),
 	.Y(n_8746), 
@@ -149804,9 +146434,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g431864 (
-	.A(n_8711),
-	.B(n_8687),
+   sky130_fd_sc_hd__nand3_4 g431864 (
+	.A(FE_PSN3944_n_8711),
+	.B(FE_PSN3907_n_8687),
 	.C(n_8137),
 	.Y(n_8745), 
 	.VPWR(vccd1), 
@@ -149815,9 +146445,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g431916 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[3]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN1815_n_1999),
 	.B1(n_8629),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN1815_n_1999),
 	.Y(n_8729), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -149826,7 +146456,7 @@
    sky130_fd_sc_hd__a221o_1 g431917 (
 	.A1(n_8660),
 	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[16]),
-	.B1(FE_OFN927_n_2421),
+	.B1(FE_OFN1534_n_2421),
 	.B2(n_13574),
 	.C1(n_8669),
 	.X(n_8728), 
@@ -149835,8 +146465,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g431918 (
-	.A1(FE_OFN1615_n_5830),
-	.A2(FE_OFN18282_n_8333),
+	.A1(FE_OFN1827_n_5830),
+	.A2(FE_OFN18176_n_8333),
 	.B1(n_8450),
 	.C1(n_8435),
 	.D1(n_8597),
@@ -149845,7 +146475,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g431919 (
+   sky130_fd_sc_hd__a221oi_1 g431919 (
 	.A1(n_8334),
 	.A2(n_3005),
 	.B1(n_7628),
@@ -149859,7 +146489,7 @@
    sky130_fd_sc_hd__a221o_1 g431920 (
 	.A1(n_8614),
 	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[15]),
-	.B1(FE_OFN927_n_2421),
+	.B1(FE_OFN1534_n_2421),
 	.B2(n_13573),
 	.C1(n_8616),
 	.X(n_8725), 
@@ -149868,10 +146498,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g431921 (
-	.A1(FE_OFN1619_n_5852),
-	.A2(n_8335),
-	.B1(FE_OFN1617_n_5836),
-	.B2(FE_OFN18282_n_8333),
+	.A1(FE_OFN18695_n_5852),
+	.A2(FE_OFN18207_n_8335),
+	.B1(FE_OFN1829_n_5836),
+	.B2(FE_OFN18176_n_8333),
 	.C1(n_8673),
 	.Y(n_8724), 
 	.VPWR(vccd1), 
@@ -149880,7 +146510,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g431922 (
 	.A1(n_5993),
-	.A2(FE_OFN18279_n_16012),
+	.A2(FE_OFN1272_n_16012),
 	.B1(n_5700),
 	.B2(n_13576),
 	.C1(n_8700),
@@ -149890,7 +146520,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o211ai_1 g431923 (
-	.A1(FE_OFN1613_n_3011),
+	.A1(FE_OFN1548_n_3011),
 	.A2(n_8335),
 	.B1(n_6891),
 	.C1(n_8697),
@@ -149939,8 +146569,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g431928 (
-	.A1(FE_OFN1611_n_3001),
-	.A2(n_8335),
+	.A1(FE_OFN1544_n_3001),
+	.A2(FE_OFN18207_n_8335),
 	.B1(n_8449),
 	.C1(n_8460),
 	.D1(n_8582),
@@ -149949,9 +146579,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o2111ai_2 g431929 (
-	.A1(FE_OFN1614_n_5826),
-	.A2(FE_OFN18282_n_8333),
+   sky130_fd_sc_hd__o2111ai_1 g431929 (
+	.A1(FE_OFN1826_n_5826),
+	.A2(FE_OFN18176_n_8333),
 	.B1(n_8339),
 	.C1(n_8458),
 	.D1(n_8580),
@@ -149961,7 +146591,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g431959 (
-	.A(n_8711),
+	.A(FE_PSN3944_n_8711),
 	.B(n_8251),
 	.C(n_7437),
 	.Y(n_8737), 
@@ -149970,9 +146600,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g431960 (
-	.A(n_8711),
-	.B(n_8402),
-	.C(n_7433),
+	.A(FE_PSN3944_n_8711),
+	.B(n_7433),
+	.C(n_8402),
 	.Y(n_8736), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -149989,7 +146619,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g431962 (
-	.A(n_8711),
+	.A(FE_PSN3944_n_8711),
 	.B(n_8639),
 	.C(n_8138),
 	.Y(n_8734), 
@@ -149998,7 +146628,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g431963 (
-	.A(n_8711),
+	.A(FE_PSN3944_n_8711),
 	.B(n_8530),
 	.C(n_8059),
 	.Y(n_8733), 
@@ -150007,7 +146637,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g431964 (
-	.A(n_8711),
+	.A(FE_PSN3944_n_8711),
 	.B(n_8640),
 	.C(n_7443),
 	.Y(n_8732), 
@@ -150015,8 +146645,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g431965 (
-	.A(n_8711),
+   sky130_fd_sc_hd__nand2_1 g431965 (
+	.A(FE_PSN3944_n_8711),
 	.B(n_8578),
 	.Y(n_8731), 
 	.VPWR(vccd1), 
@@ -150024,7 +146654,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g431966 (
-	.A(n_8664),
+	.A(FE_PSN3890_n_8664),
 	.B(n_8632),
 	.C(n_2344),
 	.Y(n_8730), 
@@ -150049,7 +146679,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 g432006 (
-	.A(n_8664),
+	.A(FE_PSN3890_n_8664),
 	.B(n_8576),
 	.Y(n_8715), 
 	.VPWR(vccd1), 
@@ -150058,9 +146688,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432007 (
 	.A1(n_2281),
-	.A2(n_7802),
-	.B1(n_8548),
-	.B2(FE_OFN888_n_1852),
+	.A2(FE_OFN1779_n_7802),
+	.B1(FE_OFN1599_n_8548),
+	.B2(FE_OFN1094_n_1852),
 	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[19]),
 	.C2(n_8),
 	.Y(n_8709), 
@@ -150116,7 +146746,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g432013 (
 	.A(n_8592),
-	.B(FE_OFN18264_n_7388),
+	.B(n_7387),
 	.C(n_7618),
 	.Y(n_8703), 
 	.VPWR(vccd1), 
@@ -150162,9 +146792,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432018 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[11]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN1815_n_1999),
 	.B1(n_8563),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN1815_n_1999),
 	.Y(n_8698), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -150182,7 +146812,7 @@
    sky130_fd_sc_hd__a221o_1 g432020 (
 	.A1(n_1745),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
-	.B1(n_2419),
+	.B1(FE_OFN18115_n_2420),
 	.B2(n_6649),
 	.C1(n_8557),
 	.X(n_8696), 
@@ -150211,9 +146841,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g432023 (
-	.A(FE_PSN4777_FE_OFN1082_n_13075),
-	.B(FE_PSN4129_n_13395),
-	.C(FE_COEN4304_n_13397),
+	.A(FE_OFN1249_n_13075),
+	.B(FE_OFN19017_n_13074),
+	.C(n_13397),
 	.D(n_8503),
 	.X(n_8693), 
 	.VPWR(vccd1), 
@@ -150232,11 +146862,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432025 (
 	.A1(n_2281),
-	.A2(n_7263),
-	.B1(n_8546),
-	.B2(FE_OFN888_n_1852),
+	.A2(FE_OFN1768_n_7263),
+	.B1(FE_OFN1597_n_8546),
+	.B2(FE_OFN1094_n_1852),
 	.C1(n_8),
-	.C2(FE_OFN784_u_soc_u_top_u_core_rf_wdata_fwd_wb_17),
+	.C2(u_soc_u_top_u_core_rf_wdata_fwd_wb[17]),
 	.Y(n_8691), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -150244,10 +146874,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432026 (
 	.A1(n_2281),
-	.A2(n_7801),
-	.B1(n_8547),
-	.B2(FE_OFN888_n_1852),
-	.C1(FE_OFN783_u_soc_u_top_u_core_rf_wdata_fwd_wb_18),
+	.A2(FE_OFN1778_n_7801),
+	.B1(FE_PSBN19233_n_8547),
+	.B2(n_1852),
+	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[18]),
 	.C2(n_8),
 	.Y(n_8690), 
 	.VPWR(vccd1), 
@@ -150264,10 +146894,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432028 (
 	.A1(n_2281),
-	.A2(n_7804),
-	.B1(n_8545),
-	.B2(FE_OFN888_n_1852),
-	.C1(FE_OFN19591_u_soc_u_top_u_core_rf_wdata_fwd_wb_21),
+	.A2(FE_PDN4059_FE_OFN1781_n_7804),
+	.B1(FE_OFN1596_n_8545),
+	.B2(FE_OFN1094_n_1852),
+	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[21]),
 	.C2(n_8),
 	.Y(n_8688), 
 	.VPWR(vccd1), 
@@ -150275,9 +146905,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432029 (
-	.A1(FE_OFN888_n_1852),
-	.A2(n_7801),
-	.B1(n_8547),
+	.A1(FE_OFN1094_n_1852),
+	.A2(FE_OFN1778_n_7801),
+	.B1(FE_PSBN19233_n_8547),
 	.B2(n_1849),
 	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[26]),
 	.C2(n_8),
@@ -150287,11 +146917,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432030 (
-	.A1(FE_OFN888_n_1852),
-	.A2(n_7802),
-	.B1(n_8548),
+	.A1(FE_OFN1094_n_1852),
+	.A2(FE_OFN1779_n_7802),
+	.B1(FE_OFN1599_n_8548),
 	.B2(n_1849),
-	.C1(FE_OFN778_u_soc_u_top_u_core_rf_wdata_fwd_wb_27),
+	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[27]),
 	.C2(n_8),
 	.Y(n_8686), 
 	.VPWR(vccd1), 
@@ -150299,9 +146929,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432031 (
-	.A1(FE_OFN888_n_1852),
-	.A2(n_7804),
-	.B1(n_8545),
+	.A1(FE_OFN1094_n_1852),
+	.A2(FE_PDN4059_FE_OFN1781_n_7804),
+	.B1(FE_OFN1596_n_8545),
 	.B2(n_1849),
 	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[29]),
 	.C2(n_8),
@@ -150313,7 +146943,7 @@
    sky130_fd_sc_hd__a221o_1 g432032 (
 	.A1(n_8553),
 	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[14]),
-	.B1(FE_OFN927_n_2421),
+	.B1(FE_OFN1534_n_2421),
 	.B2(n_13572),
 	.C1(n_8551),
 	.X(n_8684), 
@@ -150322,9 +146952,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432034 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13680),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
 	.C1(n_8599),
 	.X(n_8682), 
@@ -150333,9 +146963,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432035 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13615),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
 	.C1(n_8598),
 	.X(n_8681), 
@@ -150345,9 +146975,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432036 (
 	.A1(n_1869),
-	.A2(n_7261),
-	.B1(n_8549),
-	.B2(FE_OFN888_n_1852),
+	.A2(FE_OFN1765_n_7261),
+	.B1(FE_OFN1600_n_8549),
+	.B2(n_1852),
 	.C1(n_1849),
 	.C2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]),
 	.Y(n_8680), 
@@ -150357,8 +146987,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432037 (
 	.A1(n_1869),
-	.A2(n_7975),
-	.B1(n_8546),
+	.A2(FE_OFN1592_n_7975),
+	.B1(FE_OFN1597_n_8546),
 	.B2(n_1849),
 	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[25]),
 	.C2(n_8),
@@ -150369,10 +146999,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432038 (
 	.A1(n_1869),
-	.A2(n_7978),
-	.B1(n_8549),
+	.A2(FE_OFN1594_n_7978),
+	.B1(FE_OFN1600_n_8549),
 	.B2(n_1849),
-	.C1(FE_OFN19623_u_soc_u_top_u_core_rf_wdata_fwd_wb_28),
+	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[28]),
 	.C2(n_8),
 	.Y(n_8678), 
 	.VPWR(vccd1), 
@@ -150400,8 +147030,8 @@
    sky130_fd_sc_hd__a221oi_1 g432041 (
 	.A1(n_8331),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
-	.B1(n_6708),
-	.B2(u_soc_u_top_u_core_instr_rdata_id[16]),
+	.B1(FE_OFN1191_n_6709),
+	.B2(FE_OFN18300_u_soc_u_top_u_core_instr_rdata_id_16),
 	.C1(n_8658),
 	.Y(n_8675), 
 	.VPWR(vccd1), 
@@ -150411,8 +147041,8 @@
    sky130_fd_sc_hd__a221oi_1 g432042 (
 	.A1(n_7972),
 	.A2(n_5965),
-	.B1(n_6708),
-	.B2(u_soc_u_top_u_core_instr_rdata_id[28]),
+	.B1(FE_OFN18242_n_6709),
+	.B2(FE_OFN18341_u_soc_u_top_u_core_instr_rdata_id_28),
 	.C1(n_8583),
 	.Y(n_8674), 
 	.VPWR(vccd1), 
@@ -150420,7 +147050,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432043 (
-	.A1(FE_OFN18320_n_6708),
+	.A1(FE_OFN18242_n_6709),
 	.A2(u_soc_u_top_u_core_instr_rdata_id[29]),
 	.B1(n_8670),
 	.Y(n_8673), 
@@ -150436,7 +147066,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21a_2 g432045 (
+   sky130_fd_sc_hd__o21a_1 g432045 (
 	.A1(n_1280),
 	.A2(n_8606),
 	.B1(n_8664),
@@ -150445,8 +147075,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g432046 (
-	.A(n_8664),
+   sky130_fd_sc_hd__nand2_2 g432046 (
+	.A(FE_PSN3890_n_8664),
 	.B(n_8566),
 	.Y(n_8710), 
 	.VPWR(vccd1), 
@@ -150515,7 +147145,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g432099 (
-	.A1(n_6871),
+	.A1(FE_OFN19139_n_6871),
 	.A2(n_7921),
 	.B1(n_8450),
 	.C1(n_7709),
@@ -150534,7 +147164,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o211ai_1 g432101 (
-	.A1(n_6883),
+	.A1(FE_OFN19140_n_6883),
 	.A2(n_7808),
 	.B1(n_7917),
 	.C1(n_8534),
@@ -150563,7 +147193,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g432104 (
-	.A1(n_6871),
+	.A1(FE_OFN19139_n_6871),
 	.A2(n_8453),
 	.B1(n_7925),
 	.B2(n_7625),
@@ -150584,8 +147214,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432106 (
 	.A1_N(u_soc_u_top_u_core_instr_rdata_id[11]),
-	.A2_N(FE_OFN18321_n_6708),
-	.B1(FE_OFN1002_n_6865),
+	.A2_N(FE_OFN18243_n_6709),
+	.B1(FE_PSN3986_FE_OFN1195_n_6865),
 	.B2(n_8525),
 	.Y(n_8656), 
 	.VPWR(vccd1), 
@@ -150594,7 +147224,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432107 (
 	.A1(n_8550),
-	.A2(n_7382),
+	.A2(FE_OFN18164_n_7382),
 	.B1(n_5964),
 	.Y(n_8655), 
 	.VPWR(vccd1), 
@@ -150642,7 +147272,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432113 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[3]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[3]),
@@ -150690,8 +147320,8 @@
 	.A2(n_1849),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[9]),
-	.C1(n_8546),
-	.C2(FE_OFN887_n_1753),
+	.C1(FE_OFN1597_n_8546),
+	.C2(FE_OFN1092_n_1753),
 	.Y(n_8645), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -150699,11 +147329,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432118 (
 	.A1(u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]),
-	.A2(FE_OFN888_n_1852),
+	.A2(n_1852),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[10]),
-	.C1(n_8547),
-	.C2(FE_OFN887_n_1753),
+	.C1(FE_PSBN19233_n_8547),
+	.C2(FE_OFN1092_n_1753),
 	.Y(n_8644), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -150711,11 +147341,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432119 (
 	.A1(u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]),
-	.A2(FE_OFN888_n_1852),
+	.A2(FE_OFN1094_n_1852),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[11]),
-	.C1(n_8548),
-	.C2(FE_OFN887_n_1753),
+	.C1(FE_PSN3923_FE_OFN1599_n_8548),
+	.C2(FE_OFN1092_n_1753),
 	.Y(n_8643), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -150723,11 +147353,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432120 (
 	.A1(u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]),
-	.A2(FE_OFN888_n_1852),
+	.A2(n_1852),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[12]),
-	.C1(n_8549),
-	.C2(FE_OFN887_n_1753),
+	.C1(FE_OFN1600_n_8549),
+	.C2(FE_OFN1092_n_1753),
 	.Y(n_8642), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -150735,11 +147365,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432121 (
 	.A1(u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]),
-	.A2(FE_OFN888_n_1852),
+	.A2(FE_OFN1094_n_1852),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[13]),
-	.C1(n_8545),
-	.C2(FE_OFN887_n_1753),
+	.C1(FE_OFN1596_n_8545),
+	.C2(FE_OFN1092_n_1753),
 	.Y(n_8641), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -150747,9 +147377,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432122 (
 	.A1(n_2281),
-	.A2(n_7800),
-	.B1(n_8448),
-	.B2(FE_OFN888_n_1852),
+	.A2(FE_OFN1777_n_7800),
+	.B1(FE_OFN1200_n_8448),
+	.B2(n_1852),
 	.C1(n_8),
 	.C2(u_soc_u_top_u_core_rf_wdata_fwd_wb[16]),
 	.Y(n_8640), 
@@ -150758,11 +147388,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432123 (
-	.A1(FE_OFN888_n_1852),
-	.A2(n_7800),
-	.B1(n_8448),
+	.A1(FE_OFN1094_n_1852),
+	.A2(FE_OFN1777_n_7800),
+	.B1(FE_OFN1200_n_8448),
 	.B2(n_1849),
-	.C1(FE_OFN779_u_soc_u_top_u_core_rf_wdata_fwd_wb_24),
+	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[24]),
 	.C2(n_8),
 	.Y(n_8639), 
 	.VPWR(vccd1), 
@@ -150771,7 +147401,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432124 (
 	.A1(n_13569),
-	.A2(FE_OFN927_n_2421),
+	.A2(FE_OFN1534_n_2421),
 	.B1(n_8400),
 	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[11]),
 	.C1(n_8126),
@@ -150783,7 +147413,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432125 (
 	.A1(n_13570),
-	.A2(FE_OFN927_n_2421),
+	.A2(FE_OFN1534_n_2421),
 	.B1(n_8399),
 	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[12]),
 	.C1(n_8126),
@@ -150795,7 +147425,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432126 (
 	.A1(n_13568),
-	.A2(FE_OFN927_n_2421),
+	.A2(FE_OFN1534_n_2421),
 	.B1(n_8415),
 	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[10]),
 	.C1(n_8126),
@@ -150807,7 +147437,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432127 (
 	.A1(n_13567),
-	.A2(FE_OFN927_n_2421),
+	.A2(FE_OFN1534_n_2421),
 	.B1(n_8414),
 	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[9]),
 	.C1(n_8126),
@@ -150818,9 +147448,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432128 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13688),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
 	.C1(n_8538),
 	.X(n_8634), 
@@ -150829,9 +147459,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432129 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13623),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
 	.C1(n_8537),
 	.X(n_8633), 
@@ -150840,7 +147470,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432130 (
-	.A1(n_7974),
+	.A1(FE_PDN3655_FE_OFN1591_n_7974),
 	.A2(n_1874),
 	.B1(n_8590),
 	.Y(n_8632), 
@@ -150850,7 +147480,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432131 (
 	.A1(n_2986),
-	.A2(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.A2(\u_soc_xbar_to_dccm[a_address] [11]),
 	.B1(n_8579),
 	.Y(n_8631), 
 	.VPWR(vccd1), 
@@ -150868,19 +147498,19 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432133 (
 	.A1(u_soc_u_top_u_core_pc_id[3]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[3]),
 	.C1(n_8543),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8629), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432134 (
-	.A1(FE_OFN1679_n_8544),
-	.A2(n_2674),
+	.A1(FE_OFN1853_n_8544),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5520),
 	.Y(n_8628), 
 	.VPWR(vccd1), 
@@ -150888,8 +147518,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432135 (
-	.A1(FE_OFN1679_n_8544),
-	.A2(n_2680),
+	.A1(FE_OFN1853_n_8544),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5488),
 	.Y(n_8627), 
 	.VPWR(vccd1), 
@@ -150897,7 +147527,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432136 (
-	.A1(FE_OFN927_n_2421),
+	.A1(FE_OFN1534_n_2421),
 	.A2(n_13571),
 	.B1(n_8338),
 	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[13]),
@@ -150920,9 +147550,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432138 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[17]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN1815_n_1999),
 	.B1(n_8482),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN1815_n_1999),
 	.Y(n_8624), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -150930,9 +147560,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432139 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[21]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN18100_n_1999),
 	.B1(n_8481),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN18100_n_1999),
 	.Y(n_8623), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -150949,27 +147579,27 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g432141 (
-	.A1(n_8546),
+	.A1(FE_PSN3921_FE_OFN1597_n_8546),
 	.A2(n_1419),
 	.B1(n_1849),
-	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[9]),
+	.B2(FE_PHN4053_u_soc_u_top_u_core_load_store_unit_i_rdata_q_9),
 	.Y(n_8621), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g432142 (
-	.A1(n_8547),
+	.A1(FE_PSBN19233_n_8547),
 	.A2(n_1419),
 	.B1(n_1849),
-	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[10]),
+	.B2(FE_PHN4054_u_soc_u_top_u_core_load_store_unit_i_rdata_q_10),
 	.Y(n_8620), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g432143 (
-	.A1(n_8548),
+	.A1(FE_PSN3923_FE_OFN1599_n_8548),
 	.A2(n_1419),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[11]),
@@ -150979,7 +147609,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g432144 (
-	.A1(n_8549),
+	.A1(FE_OFN1600_n_8549),
 	.A2(n_1419),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[12]),
@@ -150989,7 +147619,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g432145 (
-	.A1(n_8545),
+	.A1(FE_OFN1596_n_8545),
 	.A2(n_1419),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[13]),
@@ -151008,7 +147638,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g432212 (
-	.A1(n_8448),
+	.A1(FE_OFN1200_n_8448),
 	.A2(n_1419),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[8]),
@@ -151029,9 +147659,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432214 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[30]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN18100_n_1999),
 	.B1(n_8384),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN18100_n_1999),
 	.Y(n_8608), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151039,9 +147669,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432215 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[29]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN18100_n_1999),
 	.B1(n_8385),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN18100_n_1999),
 	.Y(n_8607), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151057,9 +147687,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432217 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[27]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN18100_n_1999),
 	.B1(n_8386),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN18100_n_1999),
 	.Y(n_8605), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151092,8 +147722,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a2111o_1 g432221 (
-	.A1(n_6708),
-	.A2(u_soc_u_top_u_core_instr_rdata_id[6]),
+	.A1(FE_OFN1191_n_6709),
+	.A2(FE_OFN18203_u_soc_u_top_u_core_instr_rdata_id_6),
 	.B1(n_7628),
 	.C1(n_8323),
 	.D1(n_7630),
@@ -151104,25 +147734,25 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432222 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[20]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN1815_n_1999),
 	.B1(n_8387),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN1815_n_1999),
 	.Y(n_8600), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432223 (
-	.A(FE_OFN1679_n_8544),
-	.B(n_2676),
+	.A(FE_OFN1853_n_8544),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_8599), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432224 (
-	.A(FE_OFN1679_n_8544),
-	.B(n_2677),
+	.A(FE_OFN1853_n_8544),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_8598), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151177,7 +147807,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432230 (
-	.A1(FE_OFN18321_n_6708),
+	.A1(FE_OFN1191_n_6709),
 	.A2(u_soc_u_top_u_core_instr_rdata_id[10]),
 	.B1(n_8499),
 	.Y(n_8592), 
@@ -151186,8 +147816,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a211oi_1 g432231 (
-	.A1(n_6708),
-	.A2(FE_OFN19556_u_soc_u_top_u_core_instr_rdata_id_18),
+	.A1(FE_OFN1191_n_6709),
+	.A2(FE_OFN18274_u_soc_u_top_u_core_instr_rdata_id_18),
 	.B1(n_7914),
 	.C1(n_8354),
 	.Y(n_8591), 
@@ -151205,9 +147835,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432233 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[8]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN1815_n_1999),
 	.B1(n_8383),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN1815_n_1999),
 	.Y(n_8589), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151236,8 +147866,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o211ai_1 g432236 (
-	.A1(FE_OFN19680_n_5953),
-	.A2(FE_OFN18264_n_7388),
+	.A1(FE_OFN1758_n_5953),
+	.A2(n_7387),
 	.B1(n_6890),
 	.C1(n_8519),
 	.Y(n_8586), 
@@ -151267,9 +147897,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432239 (
-	.A1(FE_COEN4707_n_8336),
+	.A1(n_8336),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
-	.B1(FE_PSN4157_n_8331),
+	.B1(n_8331),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
 	.C1(n_8464),
 	.X(n_8583), 
@@ -151280,8 +147910,8 @@
    sky130_fd_sc_hd__a222oi_1 g432240 (
 	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
 	.A2(n_8331),
-	.B1(n_6708),
-	.B2(FE_OFN18263_u_soc_u_top_u_core_instr_rdata_id_19),
+	.B1(FE_OFN1191_n_6709),
+	.B2(FE_OFN18547_u_soc_u_top_u_core_instr_rdata_id_19),
 	.C1(n_8336),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
 	.Y(n_8582), 
@@ -151294,8 +147924,8 @@
 	.A2(n_8331),
 	.B1(n_8336),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
-	.C1(n_6708),
-	.C2(FE_OFN18534_n),
+	.C1(FE_OFN18242_n_6709),
+	.C2(FE_OFN18441_u_soc_u_top_u_core_instr_rdata_id_20),
 	.Y(n_8581), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151304,8 +147934,8 @@
    sky130_fd_sc_hd__a221oi_1 g432242 (
 	.A1(n_8334),
 	.A2(n_5842),
-	.B1(n_6708),
-	.B2(FE_OFN18278_u_soc_u_top_u_core_instr_rdata_id_24),
+	.B1(FE_OFN18242_n_6709),
+	.B2(FE_OFN18285_u_soc_u_top_u_core_instr_rdata_id_24),
 	.C1(n_8535),
 	.Y(n_8580), 
 	.VPWR(vccd1), 
@@ -151314,7 +147944,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g432243 (
 	.A1(n_6793),
-	.A2(FE_OFN18240_n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_5129),
 	.C1(n_8134),
 	.D1(n_5565),
@@ -151324,8 +147954,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g432244 (
-	.A1(n_7797),
-	.A2(FE_OFN888_n_1852),
+	.A1(FE_OFN18086_n_7797),
+	.A2(FE_OFN1094_n_1852),
 	.B1(u_soc_u_top_u_core_rf_wdata_fwd_wb[31]),
 	.B2(n_8),
 	.C1(n_8469),
@@ -151336,10 +147966,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432245 (
 	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
-	.A2(FE_PSN4157_n_8331),
+	.A2(n_8331),
 	.B1(n_7812),
 	.B2(n_6866),
-	.C1(FE_COEN4707_n_8336),
+	.C1(n_8336),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
 	.Y(n_8577), 
 	.VPWR(vccd1), 
@@ -151358,7 +147988,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432247 (
-	.A1(FE_OFN1681_n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13694),
 	.B1(n_2673),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
@@ -151369,7 +147999,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432248 (
-	.A1(FE_OFN1681_n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13698),
 	.B1(n_2673),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
@@ -151380,9 +148010,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432249 (
-	.A1(n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13629),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
 	.C1(n_8420),
 	.X(n_8573), 
@@ -151391,9 +148021,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432250 (
-	.A1(FE_OFN1680_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13633),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
 	.C1(n_8419),
 	.X(n_8572), 
@@ -151402,9 +148032,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432251 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13679),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
 	.C1(n_8428),
 	.X(n_8571), 
@@ -151413,9 +148043,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432252 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13614),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
 	.C1(n_8427),
 	.X(n_8570), 
@@ -151457,7 +148087,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g432256 (
-	.A1(n_1852),
+	.A1(FE_OFN1094_n_1852),
 	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]),
@@ -151470,7 +148100,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g432257 (
 	.A1_N(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
 	.A2_N(n_1415),
-	.B1(FE_OFN1678_n_8447),
+	.B1(FE_OFN1883_n_8447),
 	.B2(n_1415),
 	.Y(n_8565), 
 	.VPWR(vccd1), 
@@ -151479,7 +148109,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a31oi_1 g432258 (
 	.A1(n_2984),
-	.A2(u_soc_u_top_u_core_alu_operand_b_ex[3]),
+	.A2(FE_OFN18113_n_142),
 	.A3(n_13498),
 	.B1(n_8528),
 	.Y(n_8564), 
@@ -151489,11 +148119,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432259 (
 	.A1(u_soc_u_top_u_core_pc_id[11]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[11]),
 	.C1(n_8446),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8563), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151510,10 +148140,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g432261 (
-	.A1(n_2419),
+	.A1(FE_OFN18115_n_2420),
 	.A2(n_6645),
 	.B1(n_1746),
-	.B2(FE_OFN18273_u_soc_lsu_to_xbar_a_address__20),
+	.B2(FE_OFN888_u_soc_lsu_to_xbar_a_address__20),
 	.C1(n_8429),
 	.Y(n_8561), 
 	.VPWR(vccd1), 
@@ -151521,8 +148151,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432262 (
-	.A1(FE_OFN1678_n_8447),
-	.A2(n_2674),
+	.A1(FE_OFN1883_n_8447),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5511),
 	.Y(n_8560), 
 	.VPWR(vccd1), 
@@ -151530,8 +148160,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432263 (
-	.A1(FE_OFN1678_n_8447),
-	.A2(n_2680),
+	.A1(FE_OFN1883_n_8447),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5479),
 	.Y(n_8559), 
 	.VPWR(vccd1), 
@@ -151539,8 +148169,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g432264 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1678_n_8447),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1883_n_8447),
 	.B1(n_2338),
 	.Y(n_8558), 
 	.VPWR(vccd1), 
@@ -151559,10 +148189,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g432266 (
-	.A1(n_2419),
+	.A1(FE_OFN18115_n_2420),
 	.A2(n_6646),
 	.B1(n_1746),
-	.B2(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.B2(FE_OFN889_u_soc_lsu_to_xbar_a_address__19),
 	.C1(n_8434),
 	.Y(n_8556), 
 	.VPWR(vccd1), 
@@ -151578,8 +148208,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432268 (
-	.A(FE_OFN1404_n_1848),
-	.B(FE_OFN1679_n_8544),
+	.A(FE_OFN1449_n_1848),
+	.B(FE_OFN1853_n_8544),
 	.Y(n_8615), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151624,8 +148254,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g432277 (
-	.A(n_8448),
-	.B(FE_OFN887_n_1753),
+	.A(FE_OFN1200_n_8448),
+	.B(FE_OFN1092_n_1753),
 	.Y(n_8554), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151640,7 +148270,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g432375 (
-	.A(FE_OFN1679_n_8544),
+	.A(FE_OFN1853_n_8544),
 	.Y(n_8543), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151660,14 +148290,14 @@
    sky130_fd_sc_hd__a21oi_1 g432377 (
 	.A1(n_8341),
 	.A2(n_2619),
-	.B1(n_1751),
+	.B1(FE_OFN1447_n_1751),
 	.Y(n_8541), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g432378 (
-	.A1(n_6863),
+	.A1(FE_OFN19023_n_6863),
 	.A2(n_7273),
 	.B1(n_7560),
 	.C1(n_15908),
@@ -151678,7 +148308,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432379 (
-	.A(FE_OFN1678_n_8447),
+	.A(FE_OFN1883_n_8447),
 	.B(n_2254),
 	.Y(n_8539), 
 	.VPWR(vccd1), 
@@ -151686,16 +148316,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432380 (
-	.A(FE_OFN1678_n_8447),
-	.B(n_2676),
+	.A(FE_OFN1883_n_8447),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_8538), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432381 (
-	.A(FE_OFN1678_n_8447),
-	.B(n_2678),
+	.A(FE_OFN1883_n_8447),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_8537), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151712,7 +148342,7 @@
    sky130_fd_sc_hd__o22ai_1 g432383 (
 	.A1(n_5947),
 	.A2(n_8302),
-	.B1(FE_OFN1002_n_6865),
+	.B1(FE_PSN3986_FE_OFN1195_n_6865),
 	.B2(n_7571),
 	.Y(n_8535), 
 	.VPWR(vccd1), 
@@ -151733,8 +148363,8 @@
    sky130_fd_sc_hd__a221oi_1 g432385 (
 	.A1(n_8124),
 	.A2(n_5952),
-	.B1(FE_OFN18321_n_6708),
-	.B2(FE_PSN4810_u_soc_u_top_u_core_instr_rdata_id_2),
+	.B1(FE_OFN18243_n_6709),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[2]),
 	.C1(n_8101),
 	.Y(n_8533), 
 	.VPWR(vccd1), 
@@ -151784,7 +148414,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432390 (
 	.A1(n_1182),
-	.A2(FE_OFN976_n_5364),
+	.A2(FE_OFN1167_n_5364),
 	.B1(n_8438),
 	.Y(n_8528), 
 	.VPWR(vccd1), 
@@ -151795,7 +148425,7 @@
 	.A1(n_7649),
 	.A2(n_8086),
 	.A3(n_8062),
-	.B1(FE_PSN4101_n_7253),
+	.B1(n_7253),
 	.Y(n_8527), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151804,7 +148434,7 @@
    sky130_fd_sc_hd__a221o_1 g432392 (
 	.A1(n_8109),
 	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[7]),
-	.B1(FE_OFN927_n_2421),
+	.B1(FE_OFN1534_n_2421),
 	.B2(n_13565),
 	.C1(n_8126),
 	.X(n_8526), 
@@ -151823,7 +148453,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432394 (
 	.A1(n_2986),
-	.A2(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.A2(\u_soc_xbar_to_dccm[a_address] [8]),
 	.B1(n_8406),
 	.Y(n_8524), 
 	.VPWR(vccd1), 
@@ -151832,7 +148462,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432395 (
 	.A1(n_2986),
-	.A2(\u_soc_xbar_to_dccm[a_address] [13]),
+	.A2(FE_OFN895_u_soc_xbar_to_dccm_a_address__13),
 	.B1(n_8405),
 	.Y(n_8523), 
 	.VPWR(vccd1), 
@@ -151841,8 +148471,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432396 (
 	.A1(n_8212),
-	.A2(n_6863),
-	.B1(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
+	.A2(FE_OFN19023_n_6863),
+	.B1(FE_OFN18271_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0),
 	.Y(n_8522), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151852,7 +148482,7 @@
 	.A1(n_5964),
 	.A2(n_8123),
 	.B1(n_6887),
-	.C1(n_7382),
+	.C1(FE_OFN18164_n_7382),
 	.Y(n_8521), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151880,7 +148510,7 @@
    sky130_fd_sc_hd__a21oi_1 g432400 (
 	.A1(n_8343),
 	.A2(n_7618),
-	.B1(FE_OFN992_n_5974),
+	.B1(FE_OFN19136_n_5974),
 	.Y(n_8518), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -151926,8 +148556,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432405 (
-	.A1(n_6708),
-	.A2(FE_PSN4459_u_soc_u_top_u_core_instr_rdata_id_27),
+	.A1(FE_OFN18242_n_6709),
+	.A2(u_soc_u_top_u_core_instr_rdata_id[27]),
 	.B1(n_8412),
 	.Y(n_8513), 
 	.VPWR(vccd1), 
@@ -151935,7 +148565,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a211oi_1 g432406 (
-	.A1(FE_OFN18320_n_6708),
+	.A1(FE_OFN18242_n_6709),
 	.A2(u_soc_u_top_u_core_instr_rdata_id[30]),
 	.B1(n_8360),
 	.C1(n_7563),
@@ -151947,7 +148577,7 @@
    sky130_fd_sc_hd__a221o_1 g432407 (
 	.A1(n_7642),
 	.A2(n_5965),
-	.B1(FE_OFN18321_n_6708),
+	.B1(FE_OFN1191_n_6709),
 	.B2(u_soc_u_top_u_core_instr_rdata_id[9]),
 	.C1(n_8391),
 	.X(n_8511), 
@@ -151956,7 +148586,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432408 (
-	.A1(n_475),
+	.A1(FE_OFN1266_n_13380),
 	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[2]),
 	.B1(n_8418),
 	.Y(n_8510), 
@@ -151965,7 +148595,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432409 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[2]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[2]),
@@ -151998,7 +148628,7 @@
    sky130_fd_sc_hd__a221o_1 g432412 (
 	.A1(n_1745),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
-	.B1(n_2419),
+	.B1(FE_OFN18115_n_2420),
 	.B2(n_6647),
 	.C1(n_8165),
 	.X(n_8506), 
@@ -152008,7 +148638,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432413 (
 	.A1(n_1746),
-	.A2(FE_OFN756_u_soc_lsu_to_xbar_a_address__21),
+	.A2(FE_OFN887_u_soc_lsu_to_xbar_a_address__21),
 	.B1(n_1745),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
 	.C1(n_8404),
@@ -152019,7 +148649,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432414 (
 	.A1(n_1746),
-	.A2(FE_OFN755_u_soc_lsu_to_xbar_a_address__22),
+	.A2(FE_OFN886_u_soc_lsu_to_xbar_a_address__22),
 	.B1(n_1745),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
 	.C1(n_8403),
@@ -152030,8 +148660,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g432415 (
 	.A(n_13399),
-	.B(FE_OFN1083_n_13076),
-	.C(n_13405),
+	.B(FE_OFN1250_n_13076),
+	.C(FE_PSN3971_n_13405),
 	.D(n_8121),
 	.X(n_8503), 
 	.VPWR(vccd1), 
@@ -152059,8 +148689,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g432418 (
-	.A(FE_OFN18299_n_15911),
-	.B(FE_OFN18258_n_7382),
+	.A(FE_OFN18198_n_15911),
+	.B(n_7381),
 	.C(n_8344),
 	.Y(n_8500), 
 	.VPWR(vccd1), 
@@ -152070,14 +148700,14 @@
    sky130_fd_sc_hd__a21oi_1 g432419 (
 	.A1(n_15910),
 	.A2(n_6868),
-	.B1(FE_OFN19255_n_6867),
+	.B1(n_6867),
 	.Y(n_8499), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432420 (
-	.A1(FE_OFN1681_n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13697),
 	.B1(n_2673),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
@@ -152088,9 +148718,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432421 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13704),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
 	.C1(n_8311),
 	.X(n_8497), 
@@ -152099,9 +148729,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432422 (
-	.A1(FE_OFN1681_n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13706),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
 	.C1(n_8310),
 	.X(n_8496), 
@@ -152110,9 +148740,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432423 (
-	.A1(FE_OFN1681_n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13707),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
 	.C1(n_8309),
 	.X(n_8495), 
@@ -152121,9 +148751,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432424 (
-	.A1(FE_OFN1680_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13632),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
 	.C1(n_8308),
 	.X(n_8494), 
@@ -152132,9 +148762,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432425 (
-	.A1(n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13641),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
 	.C1(n_8306),
 	.X(n_8493), 
@@ -152143,9 +148773,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432426 (
-	.A1(n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13642),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
 	.C1(n_8305),
 	.X(n_8492), 
@@ -152154,9 +148784,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432427 (
-	.A1(FE_OFN1680_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13639),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
 	.C1(n_8307),
 	.X(n_8491), 
@@ -152165,10 +148795,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g432428 (
-	.A1(n_475),
+	.A1(FE_OFN1266_n_13380),
 	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[7]),
 	.B1(n_1746),
-	.B2(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.B2(FE_OFN902_u_soc_xbar_to_dccm_a_address__6),
 	.C1(n_8162),
 	.Y(n_8490), 
 	.VPWR(vccd1), 
@@ -152176,9 +148806,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432429 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13685),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
 	.C1(n_8318),
 	.X(n_8489), 
@@ -152187,9 +148817,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432430 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13620),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
 	.C1(n_8317),
 	.X(n_8488), 
@@ -152209,9 +148839,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432432 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13690),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
 	.C1(n_8322),
 	.X(n_8486), 
@@ -152220,9 +148850,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432433 (
-	.A1(n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13625),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
 	.C1(n_8321),
 	.X(n_8485), 
@@ -152252,11 +148882,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432436 (
 	.A1(u_soc_u_top_u_core_pc_id[17]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[17]),
 	.C1(n_8282),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8482), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -152264,19 +148894,19 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432437 (
 	.A1(u_soc_u_top_u_core_pc_id[21]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[21]),
 	.C1(n_8284),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8481), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432438 (
-	.A1(FE_OFN1677_n_8287),
-	.A2(n_2674),
+	.A1(FE_OFN1852_n_8287),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5521),
 	.Y(n_8480), 
 	.VPWR(vccd1), 
@@ -152284,8 +148914,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432439 (
-	.A1(FE_OFN1675_n_8283),
-	.A2(FE_OFN18221_n_2674),
+	.A1(FE_OFN1850_n_8283),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5506),
 	.Y(n_8479), 
 	.VPWR(vccd1), 
@@ -152293,8 +148923,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432440 (
-	.A1(FE_OFN1676_n_8285),
-	.A2(FE_OFN18221_n_2674),
+	.A1(FE_OFN1851_n_8285),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5502),
 	.Y(n_8478), 
 	.VPWR(vccd1), 
@@ -152302,8 +148932,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432441 (
-	.A1(FE_OFN1677_n_8287),
-	.A2(n_2680),
+	.A1(FE_OFN1852_n_8287),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5489),
 	.Y(n_8477), 
 	.VPWR(vccd1), 
@@ -152311,8 +148941,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432442 (
-	.A1(FE_OFN1675_n_8283),
-	.A2(n_2679),
+	.A1(FE_OFN1850_n_8283),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5474),
 	.Y(n_8476), 
 	.VPWR(vccd1), 
@@ -152320,7 +148950,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432443 (
-	.A1(FE_OFN1676_n_8285),
+	.A1(FE_OFN1851_n_8285),
 	.A2(n_2679),
 	.B1(n_5470),
 	.Y(n_8475), 
@@ -152330,7 +148960,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g432444 (
 	.A1(n_1212),
-	.A2(FE_OFN1677_n_8287),
+	.A2(FE_OFN1852_n_8287),
 	.B1(n_1948),
 	.Y(n_8474), 
 	.VPWR(vccd1), 
@@ -152338,8 +148968,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g432445 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1675_n_8283),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1850_n_8283),
 	.B1(n_2383),
 	.Y(n_8473), 
 	.VPWR(vccd1), 
@@ -152347,8 +148977,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g432446 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1676_n_8285),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1851_n_8285),
 	.B1(n_2331),
 	.Y(n_8472), 
 	.VPWR(vccd1), 
@@ -152387,7 +149017,7 @@
    sky130_fd_sc_hd__a221o_1 g432450 (
 	.A1(n_8338),
 	.A2(u_soc_main_swith_host_lsu_num_req_outstanding[8]),
-	.B1(FE_OFN927_n_2421),
+	.B1(FE_OFN1534_n_2421),
 	.B2(n_13566),
 	.C1(n_8329),
 	.X(n_8468), 
@@ -152398,7 +149028,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g432451 (
 	.A1_N(u_soc_u_top_u_core_debug_single_step),
 	.A2_N(n_1415),
-	.B1(FE_OFN1677_n_8287),
+	.B1(FE_OFN1852_n_8287),
 	.B2(n_1415),
 	.Y(n_8467), 
 	.VPWR(vccd1), 
@@ -152407,18 +149037,18 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432452 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[1]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN1815_n_1999),
 	.B1(n_8210),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN1815_n_1999),
 	.Y(n_8466), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g432453 (
-	.A1(FE_OFN1618_n_5846),
-	.A2(n_8335),
-	.B1(FE_OFN19680_n_5953),
+	.A1(FE_OFN18711_n_5846),
+	.A2(FE_OFN18207_n_8335),
+	.B1(FE_OFN1758_n_5953),
 	.B2(n_7819),
 	.Y(n_8465), 
 	.VPWR(vccd1), 
@@ -152426,10 +149056,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g432454 (
-	.A1(FE_OFN18969_n_5850),
+	.A1(FE_PSN3893_n_5850),
 	.A2(n_8335),
-	.B1(FE_OFN1616_n_5834),
-	.B2(FE_OFN18282_n_8333),
+	.B1(FE_OFN1828_n_5834),
+	.B2(FE_OFN18176_n_8333),
 	.Y(n_8464), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -152437,9 +149067,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432455 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[7]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN1815_n_1999),
 	.B1(n_8211),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN1815_n_1999),
 	.Y(n_8463), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -152460,18 +149090,18 @@
 	.A1(n_8124),
 	.A2(n_13609),
 	.A3(n_13),
-	.B1(FE_OFN18219_n_6709),
-	.B2(u_soc_u_top_u_core_instr_rdata_id[17]),
+	.B1(FE_OFN1191_n_6709),
+	.B2(FE_OFN18630_u_soc_u_top_u_core_instr_rdata_id_17),
 	.Y(n_8461), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22a_1 g432458 (
-	.A1(FE_OFN1002_n_6865),
+	.A1(FE_PSN3986_FE_OFN1195_n_6865),
 	.A2(n_8128),
-	.B1(FE_OFN1609_n_2989),
-	.B2(FE_OFN18282_n_8333),
+	.B1(FE_OFN1538_n_2989),
+	.B2(FE_OFN18176_n_8333),
 	.X(n_8460), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -152480,17 +149110,17 @@
    sky130_fd_sc_hd__a22oi_1 g432459 (
 	.A1(n_8332),
 	.A2(n_2995),
-	.B1(n_6708),
-	.B2(FE_PSN4366_u_soc_u_top_u_core_instr_rdata_id_22),
+	.B1(FE_OFN18242_n_6709),
+	.B2(FE_OFN19067_n),
 	.Y(n_8459), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g432460 (
-	.A1(FE_COEN4707_n_8336),
+	.A1(n_8336),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
-	.B1(FE_PSN4157_n_8331),
+	.B1(n_8331),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
 	.Y(n_8458), 
 	.VPWR(vccd1), 
@@ -152500,7 +149130,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g432461 (
 	.A1_N(u_soc_u_top_u_core_csr_mstatus_tw),
 	.A2_N(n_2285),
-	.B1(FE_OFN1676_n_8285),
+	.B1(FE_OFN1851_n_8285),
 	.B2(n_2285),
 	.Y(n_8457), 
 	.VPWR(vccd1), 
@@ -152510,7 +149140,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g432462 (
 	.A1_N(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
 	.A2_N(n_2285),
-	.B1(FE_OFN1675_n_8283),
+	.B1(FE_OFN1850_n_8283),
 	.B2(n_2285),
 	.Y(n_8456), 
 	.VPWR(vccd1), 
@@ -152529,7 +149159,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432464 (
 	.A1(n_8340),
-	.A2(n_1751),
+	.A2(FE_OFN1447_n_1751),
 	.B1(n_3084),
 	.Y(n_8553), 
 	.VPWR(vccd1), 
@@ -152537,7 +149167,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g432465 (
-	.A(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.A(FE_OFN18101_n_577),
 	.B(n_13484),
 	.C(n_8230),
 	.Y(n_8552), 
@@ -152548,7 +149178,7 @@
    sky130_fd_sc_hd__nor3_1 g432466 (
 	.A(u_soc_main_swith_host_lsu_num_req_outstanding[14]),
 	.B(n_8341),
-	.C(n_1751),
+	.C(FE_OFN1447_n_1751),
 	.Y(n_8551), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -152563,7 +149193,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g432468 (
+   sky130_fd_sc_hd__nand3_4 g432468 (
 	.A(n_4293),
 	.B(n_8147),
 	.C(n_1747),
@@ -152572,7 +149202,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g432469 (
+   sky130_fd_sc_hd__nand3_2 g432469 (
 	.A(n_5306),
 	.B(n_8149),
 	.C(n_1747),
@@ -152581,16 +149211,16 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g432470 (
-	.A(n_5277),
-	.B(n_8150),
+   sky130_fd_sc_hd__nand3_2 g432470 (
+	.A(n_8150),
+	.B(n_5277),
 	.C(n_1747),
 	.Y(n_8547), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3_1 g432471 (
+   sky130_fd_sc_hd__nand3_2 g432471 (
 	.A(n_5018),
 	.B(n_8152),
 	.C(n_1747),
@@ -152634,7 +149264,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g432597 (
-	.A(FE_OFN1678_n_8447),
+	.A(FE_OFN1883_n_8447),
 	.Y(n_8446), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -152642,9 +149272,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432598 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[23]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN18100_n_1999),
 	.B1(n_8045),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN18100_n_1999),
 	.Y(n_8445), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -152711,9 +149341,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g432605 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_8090),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1372),
 	.Y(n_8438), 
 	.VPWR(vccd1), 
@@ -152722,9 +149352,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432606 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[31]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN18100_n_1999),
 	.B1(n_8040),
-	.B2(FE_OFN1644_n_1999),
+	.B2(n_1999),
 	.Y(n_8437), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -152732,16 +149362,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432607 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[28]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN18100_n_1999),
 	.B1(n_8041),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN18100_n_1999),
 	.Y(n_8436), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g432608 (
-	.A(FE_COEN4707_n_8336),
+	.A(n_8336),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
 	.Y(n_8435), 
 	.VPWR(vccd1), 
@@ -152767,9 +149397,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432611 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[26]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN18100_n_1999),
 	.B1(n_8042),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN18100_n_1999),
 	.Y(n_8432), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -152804,23 +149434,23 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432615 (
-	.A(FE_OFN1677_n_8287),
-	.B(n_2676),
+	.A(FE_OFN1852_n_8287),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_8428), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432616 (
-	.A(FE_OFN1677_n_8287),
-	.B(n_2677),
+	.A(FE_OFN1852_n_8287),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_8427), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432617 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_8135),
 	.Y(n_8426), 
 	.VPWR(vccd1), 
@@ -152838,7 +149468,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432619 (
-	.A(FE_OFN1675_n_8283),
+	.A(FE_OFN1850_n_8283),
 	.B(n_2254),
 	.Y(n_8424), 
 	.VPWR(vccd1), 
@@ -152846,7 +149476,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432620 (
-	.A(FE_OFN1676_n_8285),
+	.A(FE_OFN1851_n_8285),
 	.B(n_2254),
 	.Y(n_8423), 
 	.VPWR(vccd1), 
@@ -152854,7 +149484,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432621 (
-	.A(FE_OFN1675_n_8283),
+	.A(FE_OFN1850_n_8283),
 	.B(n_2675),
 	.Y(n_8422), 
 	.VPWR(vccd1), 
@@ -152862,7 +149492,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432622 (
-	.A(FE_OFN1676_n_8285),
+	.A(FE_OFN1851_n_8285),
 	.B(n_2675),
 	.Y(n_8421), 
 	.VPWR(vccd1), 
@@ -152870,16 +149500,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432623 (
-	.A(FE_OFN1675_n_8283),
-	.B(FE_OFN18236_n_2678),
+	.A(FE_OFN1850_n_8283),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_8420), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432624 (
-	.A(FE_OFN1676_n_8285),
-	.B(FE_OFN18236_n_2678),
+	.A(FE_OFN1851_n_8285),
+	.B(n_2677),
 	.Y(n_8419), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -152897,9 +149527,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432626 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[25]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN18100_n_1999),
 	.B1(n_8043),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN18100_n_1999),
 	.Y(n_8417), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -152916,7 +149546,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432628 (
 	.A1(n_1279),
-	.A2(n_1751),
+	.A2(FE_OFN1447_n_1751),
 	.B1(n_8337),
 	.Y(n_8415), 
 	.VPWR(vccd1), 
@@ -152933,9 +149563,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432630 (
-	.A1(n_2983),
+	.A1(n_2984),
 	.A2(n_1838),
-	.B1(FE_OFN18196_n_13380),
+	.B1(FE_OFN18083_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[24]),
 	.C1(n_8002),
 	.X(n_8413), 
@@ -152955,9 +149585,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432632 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[24]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN18100_n_1999),
 	.B1(n_8044),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN18100_n_1999),
 	.Y(n_8411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -152965,7 +149595,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432633 (
 	.A1(n_2986),
-	.A2(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.A2(\u_soc_xbar_to_dccm[a_address] [12]),
 	.B1(n_8280),
 	.Y(n_8410), 
 	.VPWR(vccd1), 
@@ -152995,8 +149625,8 @@
    sky130_fd_sc_hd__a221oi_1 g432636 (
 	.A1(n_7966),
 	.A2(n_5963),
-	.B1(FE_OFN18321_n_6708),
-	.B2(FE_OFN18421_u_soc_u_top_u_core_instr_rdata_id_13),
+	.B1(FE_OFN18242_n_6709),
+	.B2(u_soc_u_top_u_core_instr_rdata_id[13]),
 	.C1(n_8298),
 	.Y(n_8407), 
 	.VPWR(vccd1), 
@@ -153005,7 +149635,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g432637 (
 	.A1(n_6795),
-	.A2(FE_OFN18240_n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_5132),
 	.C1(n_7827),
 	.D1(n_5568),
@@ -153016,7 +149646,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g432638 (
 	.A1(n_6792),
-	.A2(FE_OFN18240_n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_5127),
 	.C1(n_7826),
 	.D1(n_5563),
@@ -153027,7 +149657,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432639 (
 	.A1(n_6606),
-	.A2(FE_OFN18240_n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_8279),
 	.Y(n_8404), 
 	.VPWR(vccd1), 
@@ -153036,7 +149666,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432640 (
 	.A1(n_6644),
-	.A2(FE_OFN18240_n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_8278),
 	.Y(n_8403), 
 	.VPWR(vccd1), 
@@ -153045,10 +149675,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432641 (
 	.A1(n_1869),
-	.A2(n_7973),
+	.A2(FE_OFN1589_n_7973),
 	.B1(u_soc_u_top_u_core_rf_wdata_fwd_wb[30]),
 	.B2(n_8),
-	.C1(n_7807),
+	.C1(FE_OFN1783_n_7807),
 	.C2(n_1849),
 	.Y(n_8402), 
 	.VPWR(vccd1), 
@@ -153060,7 +149690,7 @@
 	.A2(n_1750),
 	.B1(n_7922),
 	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[6]),
-	.C1(FE_OFN927_n_2421),
+	.C1(FE_OFN1534_n_2421),
 	.C2(n_13564),
 	.Y(n_8401), 
 	.VPWR(vccd1), 
@@ -153069,7 +149699,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432643 (
 	.A1(n_1550),
-	.A2(n_1751),
+	.A2(FE_OFN1447_n_1751),
 	.B1(n_8337),
 	.Y(n_8400), 
 	.VPWR(vccd1), 
@@ -153078,7 +149708,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432644 (
 	.A1(n_1907),
-	.A2(n_1751),
+	.A2(FE_OFN1447_n_1751),
 	.B1(n_8337),
 	.Y(n_8399), 
 	.VPWR(vccd1), 
@@ -153105,9 +149735,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432647 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13684),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
 	.C1(n_8104),
 	.X(n_8396), 
@@ -153116,9 +149746,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432648 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13619),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
 	.C1(n_8105),
 	.X(n_8395), 
@@ -153127,9 +149757,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432649 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13678),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
 	.C1(n_8102),
 	.X(n_8394), 
@@ -153138,9 +149768,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432650 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13613),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
 	.C1(n_8103),
 	.X(n_8393), 
@@ -153167,9 +149797,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432653 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13689),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
 	.C1(n_8098),
 	.X(n_8390), 
@@ -153178,9 +149808,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432654 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13624),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
 	.C1(n_8099),
 	.X(n_8389), 
@@ -153190,9 +149820,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432655 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[22]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN18100_n_1999),
 	.B1(n_8046),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN18100_n_1999),
 	.Y(n_8388), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153200,11 +149830,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432656 (
 	.A1(u_soc_u_top_u_core_pc_id[20]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[20]),
 	.C1(n_8078),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8387), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153212,11 +149842,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432657 (
 	.A1(u_soc_u_top_u_core_pc_id[27]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[27]),
 	.C1(n_8080),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8386), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153224,11 +149854,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432658 (
 	.A1(u_soc_u_top_u_core_pc_id[29]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[29]),
 	.C1(n_8082),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8385), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153236,11 +149866,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432659 (
 	.A1(u_soc_u_top_u_core_pc_id[30]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[30]),
 	.C1(n_8074),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8384), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153248,19 +149878,19 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432660 (
 	.A1(u_soc_u_top_u_core_pc_id[8]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[8]),
 	.C1(n_8084),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8383), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432661 (
-	.A1(FE_OFN1674_n_8085),
-	.A2(n_2674),
+	.A1(FE_OFN1882_n_8085),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5515),
 	.Y(n_8382), 
 	.VPWR(vccd1), 
@@ -153268,8 +149898,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432662 (
-	.A1(FE_OFN1670_n_8077),
-	.A2(n_2674),
+	.A1(FE_OFN1848_n_8077),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5510),
 	.Y(n_8381), 
 	.VPWR(vccd1), 
@@ -153277,8 +149907,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432663 (
-	.A1(FE_OFN1671_n_8079),
-	.A2(FE_OFN18221_n_2674),
+	.A1(FE_OFN1849_n_8079),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5503),
 	.Y(n_8380), 
 	.VPWR(vccd1), 
@@ -153286,8 +149916,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432664 (
-	.A1(FE_OFN1672_n_8081),
-	.A2(FE_OFN18221_n_2674),
+	.A1(n_8081),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5496),
 	.Y(n_8379), 
 	.VPWR(vccd1), 
@@ -153295,8 +149925,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432665 (
-	.A1(FE_OFN1673_n_8083),
-	.A2(FE_OFN18221_n_2674),
+	.A1(n_8083),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5494),
 	.Y(n_8378), 
 	.VPWR(vccd1), 
@@ -153304,8 +149934,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432666 (
-	.A1(FE_OFN1669_n_8075),
-	.A2(FE_OFN18221_n_2674),
+	.A1(n_8075),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5493),
 	.Y(n_8377), 
 	.VPWR(vccd1), 
@@ -153313,8 +149943,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432667 (
-	.A1(FE_OFN1674_n_8085),
-	.A2(n_2680),
+	.A1(FE_OFN1882_n_8085),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5483),
 	.Y(n_8376), 
 	.VPWR(vccd1), 
@@ -153322,8 +149952,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432668 (
-	.A1(FE_OFN1670_n_8077),
-	.A2(n_2679),
+	.A1(FE_OFN1848_n_8077),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5478),
 	.Y(n_8375), 
 	.VPWR(vccd1), 
@@ -153331,7 +149961,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432669 (
-	.A1(FE_OFN1671_n_8079),
+	.A1(FE_OFN1849_n_8079),
 	.A2(n_2679),
 	.B1(n_5471),
 	.Y(n_8374), 
@@ -153340,7 +149970,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432670 (
-	.A1(FE_OFN1672_n_8081),
+	.A1(n_8081),
 	.A2(n_2679),
 	.B1(n_5540),
 	.Y(n_8373), 
@@ -153349,7 +149979,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432671 (
-	.A1(FE_OFN1673_n_8083),
+	.A1(n_8083),
 	.A2(n_2679),
 	.B1(n_5578),
 	.Y(n_8372), 
@@ -153358,7 +149988,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432672 (
-	.A1(FE_OFN1669_n_8075),
+	.A1(n_8075),
 	.A2(n_2679),
 	.B1(n_5579),
 	.Y(n_8371), 
@@ -153377,7 +150007,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g432674 (
 	.A1(n_1212),
-	.A2(FE_OFN1670_n_8077),
+	.A2(FE_OFN1848_n_8077),
 	.B1(n_1970),
 	.Y(n_8369), 
 	.VPWR(vccd1), 
@@ -153385,7 +150015,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g432675 (
-	.A1(n_6871),
+	.A1(FE_OFN19139_n_6871),
 	.A2(n_8130),
 	.B1(n_7829),
 	.Y(n_8368), 
@@ -153394,8 +150024,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g432676 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1674_n_8085),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1882_n_8085),
 	.B1(n_2371),
 	.Y(n_8367), 
 	.VPWR(vccd1), 
@@ -153403,8 +150033,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g432677 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1670_n_8077),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1848_n_8077),
 	.B1(n_2337),
 	.Y(n_8366), 
 	.VPWR(vccd1), 
@@ -153412,8 +150042,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g432678 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1671_n_8079),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1849_n_8079),
 	.B1(n_2408),
 	.Y(n_8365), 
 	.VPWR(vccd1), 
@@ -153421,8 +150051,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g432679 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1672_n_8081),
+	.A1(FE_OFN1449_n_1848),
+	.A2(n_8081),
 	.B1(n_2406),
 	.Y(n_8364), 
 	.VPWR(vccd1), 
@@ -153430,8 +150060,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g432680 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1673_n_8083),
+	.A1(FE_OFN1449_n_1848),
+	.A2(n_8083),
 	.B1(n_2321),
 	.Y(n_8363), 
 	.VPWR(vccd1), 
@@ -153439,8 +150069,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g432681 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1669_n_8075),
+	.A1(FE_OFN1449_n_1848),
+	.A2(n_8075),
 	.B1(n_2320),
 	.Y(n_8362), 
 	.VPWR(vccd1), 
@@ -153450,7 +150080,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g432682 (
 	.A1_N(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
 	.A2_N(n_1415),
-	.B1(FE_OFN1670_n_8077),
+	.B1(FE_OFN1848_n_8077),
 	.B2(n_1415),
 	.Y(n_8361), 
 	.VPWR(vccd1), 
@@ -153458,7 +150088,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g432683 (
-	.A1(FE_OFN19255_n_6867),
+	.A1(n_6867),
 	.A2(n_8061),
 	.B1(n_6882),
 	.B2(n_7796),
@@ -153468,7 +150098,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432684 (
-	.A1(n_6708),
+	.A1(FE_OFN18242_n_6709),
 	.A2(u_soc_u_top_u_core_instr_rdata_id[31]),
 	.B1(n_8328),
 	.Y(n_8359), 
@@ -153478,9 +150108,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432685 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[15]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN1815_n_1999),
 	.B1(n_8050),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN1815_n_1999),
 	.Y(n_8358), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153488,9 +150118,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432686 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[16]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN1815_n_1999),
 	.B1(n_8049),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN1815_n_1999),
 	.Y(n_8357), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153498,9 +150128,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432687 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[18]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN1815_n_1999),
 	.B1(n_8048),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN1815_n_1999),
 	.Y(n_8356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153508,16 +150138,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g432688 (
 	.A1_N(u_soc_u_top_u_core_csr_depc[19]),
-	.A2_N(FE_OFN1644_n_1999),
+	.A2_N(FE_OFN1815_n_1999),
 	.B1(n_8047),
-	.B2(FE_OFN1644_n_1999),
+	.B2(FE_OFN1815_n_1999),
 	.Y(n_8355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g432689 (
-	.A1(FE_OFN19255_n_6867),
+	.A1(n_6867),
 	.A2(n_8128),
 	.B1(n_5883),
 	.B2(n_7970),
@@ -153527,10 +150157,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g432690 (
-	.A1(FE_OFN992_n_5974),
+	.A1(FE_OFN19136_n_5974),
 	.A2(n_8130),
 	.B1(n_6860),
-	.B2(n_7382),
+	.B2(FE_OFN18164_n_7382),
 	.Y(n_8353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153545,8 +150175,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432703 (
-	.A(FE_OFN1404_n_1848),
-	.B(FE_OFN1677_n_8287),
+	.A(FE_OFN1449_n_1848),
+	.B(FE_OFN1852_n_8287),
 	.Y(n_8451), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153555,7 +150185,7 @@
    sky130_fd_sc_hd__o21ai_1 g432707 (
 	.A1(n_8086),
 	.A2(n_7918),
-	.B1(FE_PSN4101_n_7253),
+	.B1(n_7253),
 	.Y(n_8450), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153657,19 +150287,12 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g432730 (
-	.A(n_8335),
-	.Y(n_8334), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432732 (
 	.A1(\u_soc_iccm_to_xbar[d_data] [0]),
-	.A2(FE_OFN18202_n_7609),
+	.A2(n_7608),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
-	.C1(FE_OFN1509_n_7907),
+	.C1(FE_OFN1586_n_7907),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
 	.Y(n_8330), 
 	.VPWR(vccd1), 
@@ -153686,7 +150309,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432734 (
 	.A(n_8111),
-	.B(n_7252),
+	.B(FE_OFN18136_n_7253),
 	.Y(n_8328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153695,9 +150318,9 @@
    sky130_fd_sc_hd__a222oi_1 g432735 (
 	.A1(\u_soc_iccm_to_xbar[d_data] [16]),
 	.A2(n_7606),
-	.B1(FE_OFN18428_n_7770),
+	.B1(n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
-	.C1(FE_OFN1006_n_7965),
+	.C1(FE_OFN1199_n_7965),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
 	.Y(n_8327), 
 	.VPWR(vccd1), 
@@ -153707,9 +150330,9 @@
    sky130_fd_sc_hd__a222oi_1 g432736 (
 	.A1(\u_soc_iccm_to_xbar[d_data] [1]),
 	.A2(n_7606),
-	.B1(FE_OFN18428_n_7770),
+	.B1(n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
-	.C1(FE_OFN1006_n_7965),
+	.C1(FE_OFN1199_n_7965),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
 	.Y(n_8326), 
 	.VPWR(vccd1), 
@@ -153721,7 +150344,7 @@
 	.A2(n_7606),
 	.B1(n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
-	.C1(FE_OFN1006_n_7965),
+	.C1(FE_OFN1199_n_7965),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
 	.Y(n_8325), 
 	.VPWR(vccd1), 
@@ -153730,7 +150353,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g432738 (
 	.A(n_2253),
-	.B(FE_OFN1673_n_8083),
+	.B(n_8083),
 	.Y(n_8324), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153745,23 +150368,23 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432740 (
-	.A(FE_OFN1670_n_8077),
-	.B(n_2676),
+	.A(FE_OFN1848_n_8077),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_8322), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432741 (
-	.A(FE_OFN1670_n_8077),
-	.B(FE_OFN18236_n_2678),
+	.A(FE_OFN1848_n_8077),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_8321), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432742 (
-	.A(FE_OFN1670_n_8077),
+	.A(FE_OFN1848_n_8077),
 	.B(n_2254),
 	.Y(n_8320), 
 	.VPWR(vccd1), 
@@ -153770,10 +150393,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432743 (
 	.A1(\u_soc_iccm_to_xbar[d_data] [17]),
-	.A2(FE_OFN18202_n_7609),
+	.A2(n_7608),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [17]),
-	.C1(FE_OFN1509_n_7907),
+	.C1(FE_OFN1586_n_7907),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
 	.Y(n_8319), 
 	.VPWR(vccd1), 
@@ -153781,23 +150404,23 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432744 (
-	.A(FE_OFN1674_n_8085),
-	.B(n_2676),
+	.A(FE_OFN1882_n_8085),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_8318), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432745 (
-	.A(FE_OFN1674_n_8085),
-	.B(n_2678),
+	.A(FE_OFN1882_n_8085),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_8317), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432746 (
-	.A(FE_OFN1674_n_8085),
+	.A(FE_OFN1882_n_8085),
 	.B(n_2254),
 	.Y(n_8316), 
 	.VPWR(vccd1), 
@@ -153805,7 +150428,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432747 (
-	.A(FE_OFN1671_n_8079),
+	.A(FE_OFN1849_n_8079),
 	.B(n_2254),
 	.Y(n_8315), 
 	.VPWR(vccd1), 
@@ -153813,7 +150436,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432748 (
-	.A(FE_OFN1672_n_8081),
+	.A(n_8081),
 	.B(n_2254),
 	.Y(n_8314), 
 	.VPWR(vccd1), 
@@ -153821,7 +150444,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432749 (
-	.A(FE_OFN1669_n_8075),
+	.A(n_8075),
 	.B(n_2254),
 	.Y(n_8313), 
 	.VPWR(vccd1), 
@@ -153829,7 +150452,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432750 (
-	.A(FE_OFN1671_n_8079),
+	.A(FE_OFN1849_n_8079),
 	.B(n_2675),
 	.Y(n_8312), 
 	.VPWR(vccd1), 
@@ -153837,7 +150460,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432751 (
-	.A(FE_OFN1672_n_8081),
+	.A(n_8081),
 	.B(n_2675),
 	.Y(n_8311), 
 	.VPWR(vccd1), 
@@ -153845,7 +150468,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432752 (
-	.A(FE_OFN1673_n_8083),
+	.A(n_8083),
 	.B(n_2675),
 	.Y(n_8310), 
 	.VPWR(vccd1), 
@@ -153853,7 +150476,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432753 (
-	.A(FE_OFN1669_n_8075),
+	.A(n_8075),
 	.B(n_2675),
 	.Y(n_8309), 
 	.VPWR(vccd1), 
@@ -153861,32 +150484,32 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432754 (
-	.A(FE_OFN1671_n_8079),
-	.B(FE_OFN18236_n_2678),
+	.A(FE_OFN1849_n_8079),
+	.B(n_2677),
 	.Y(n_8308), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432755 (
-	.A(FE_OFN1672_n_8081),
-	.B(FE_OFN18236_n_2678),
+	.A(n_8081),
+	.B(n_2677),
 	.Y(n_8307), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432756 (
-	.A(FE_OFN1673_n_8083),
-	.B(FE_OFN18236_n_2678),
+	.A(n_8083),
+	.B(n_2677),
 	.Y(n_8306), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g432757 (
-	.A(FE_OFN1669_n_8075),
-	.B(FE_OFN18236_n_2678),
+	.A(n_8075),
+	.B(n_2677),
 	.Y(n_8305), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -153894,10 +150517,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432758 (
 	.A1(\u_soc_iccm_to_xbar[d_data] [16]),
-	.A2(FE_OFN18202_n_7609),
+	.A2(n_7608),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
-	.C1(FE_OFN1509_n_7907),
+	.C1(FE_OFN1586_n_7907),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
 	.Y(n_8304), 
 	.VPWR(vccd1), 
@@ -153905,8 +150528,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432759 (
-	.A1(FE_OFN18321_n_6708),
-	.A2(FE_OFN18415_u_soc_u_top_u_core_instr_rdata_id_5),
+	.A1(FE_OFN18242_n_6709),
+	.A2(FE_OFN18223_u_soc_u_top_u_core_instr_rdata_id_5),
 	.B1(n_8097),
 	.Y(n_8303), 
 	.VPWR(vccd1), 
@@ -153914,9 +150537,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a211oi_1 g432760 (
-	.A1(FE_PSN4101_n_7253),
+	.A1(n_7253),
 	.A2(n_7811),
-	.B1(FE_OFN18299_n_15911),
+	.B1(FE_OFN18198_n_15911),
 	.C1(n_7972),
 	.Y(n_8302), 
 	.VPWR(vccd1), 
@@ -153943,7 +150566,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a31oi_1 g432763 (
 	.A1(n_7388),
-	.A2(n_6858),
+	.A2(FE_OFN1194_n_6859),
 	.A3(n_6866),
 	.B1(n_8113),
 	.Y(n_8299), 
@@ -153952,10 +150575,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o32ai_1 g432764 (
-	.A1(FE_PSN4181_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0),
-	.A2(FE_OFN1001_n_6859),
+	.A1(FE_OFN18271_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0),
+	.A2(FE_OFN18177_n_6859),
 	.A3(n_6923),
-	.B1(FE_OFN1002_n_6865),
+	.B1(FE_PSN3986_FE_OFN1195_n_6865),
 	.B2(n_7926),
 	.Y(n_8298), 
 	.VPWR(vccd1), 
@@ -153973,10 +150596,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432767 (
 	.A1(\u_soc_iccm_to_xbar[d_data] [1]),
-	.A2(FE_OFN18202_n_7609),
+	.A2(n_7608),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
-	.C1(FE_OFN1509_n_7907),
+	.C1(FE_OFN1586_n_7907),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
 	.Y(n_8295), 
 	.VPWR(vccd1), 
@@ -153986,9 +150609,9 @@
    sky130_fd_sc_hd__a222oi_1 g432768 (
 	.A1(\u_soc_iccm_to_xbar[d_data] [17]),
 	.A2(n_7606),
-	.B1(FE_OFN18428_n_7770),
+	.B1(n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
-	.C1(FE_OFN1006_n_7965),
+	.C1(FE_OFN1199_n_7965),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
 	.Y(n_8294), 
 	.VPWR(vccd1), 
@@ -154022,7 +150645,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g432878 (
-	.A(FE_PSN4101_n_7253),
+	.A(n_7253),
 	.B(n_8086),
 	.Y(n_8339), 
 	.VPWR(vccd1), 
@@ -154031,14 +150654,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432880 (
 	.A1(n_7981),
-	.A2(n_1751),
+	.A2(FE_OFN1447_n_1751),
 	.B1(n_3084),
 	.Y(n_8338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g432881 (
+   sky130_fd_sc_hd__nor2_4 g432881 (
 	.A(n_1025),
 	.B(n_8123),
 	.Y(n_8336), 
@@ -154062,7 +150685,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g432884 (
+   sky130_fd_sc_hd__nor2_2 g432884 (
 	.A(n_1036),
 	.B(n_8123),
 	.Y(n_8331), 
@@ -154099,30 +150722,30 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g432889 (
-	.A(FE_OFN1677_n_8287),
+	.A(FE_OFN1852_n_8287),
 	.Y(n_8286), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g432890 (
-	.A(FE_OFN1676_n_8285),
+	.A(FE_OFN1851_n_8285),
 	.Y(n_8284), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g432891 (
-	.A(FE_OFN1675_n_8283),
+	.A(FE_OFN1850_n_8283),
 	.Y(n_8282), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g432892 (
-	.A1(FE_OFN1000_n_6857),
+	.A1(FE_PSN3972_FE_OFN1193_n_6857),
 	.A2(n_7970),
-	.B1(n_6863),
+	.B1(FE_OFN19023_n_6863),
 	.B2(n_7386),
 	.Y(n_8281), 
 	.VPWR(vccd1), 
@@ -154131,7 +150754,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g432893 (
 	.A1(n_6855),
-	.A2(FE_OFN18240_n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_5128),
 	.C1(n_7721),
 	.D1(n_5564),
@@ -154142,8 +150765,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g432894 (
 	.A1(n_2986),
-	.A2(FE_OFN755_u_soc_lsu_to_xbar_a_address__22),
-	.B1(FE_OFN18196_n_13380),
+	.A2(FE_OFN886_u_soc_lsu_to_xbar_a_address__22),
+	.B1(FE_OFN18083_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[22]),
 	.C1(n_8119),
 	.Y(n_8279), 
@@ -154153,8 +150776,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g432895 (
 	.A1(n_2986),
-	.A2(FE_OFN754_u_soc_lsu_to_xbar_a_address__23),
-	.B1(FE_OFN18196_n_13380),
+	.A2(FE_OFN885_u_soc_lsu_to_xbar_a_address__23),
+	.B1(FE_OFN18083_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[23]),
 	.C1(n_8120),
 	.Y(n_8278), 
@@ -154164,9 +150787,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432896 (
 	.A1(n_1872),
-	.A2(n_7269),
-	.B1(n_7807),
-	.B2(FE_OFN887_n_1753),
+	.A2(FE_OFN1773_n_7269),
+	.B1(FE_OFN1783_n_7807),
+	.B2(FE_OFN1092_n_1753),
 	.C1(n_8),
 	.C2(u_soc_u_top_u_core_rf_wdata_fwd_wb[14]),
 	.Y(n_8277), 
@@ -154176,11 +150799,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432897 (
 	.A1(n_1872),
-	.A2(n_7797),
+	.A2(FE_OFN18086_n_7797),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[15]),
-	.C1(n_7806),
-	.C2(FE_OFN887_n_1753),
+	.C1(FE_PDN3670_FE_OFN1782_n_7806),
+	.C2(FE_OFN1092_n_1753),
 	.Y(n_8276), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -154196,7 +150819,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432899 (
-	.A1(n_475),
+	.A1(FE_OFN1266_n_13380),
 	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[1]),
 	.B1(n_8110),
 	.Y(n_8274), 
@@ -154206,8 +150829,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432900 (
 	.A1(n_1878),
-	.A2(n_7264),
-	.B1(n_7800),
+	.A2(FE_PDN4062_FE_OFN1769_n_7264),
+	.B1(FE_OFN1777_n_7800),
 	.B2(n_1872),
 	.C1(n_8),
 	.C2(u_soc_u_top_u_core_rf_wdata_fwd_wb[8]),
@@ -154217,10 +150840,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g432901 (
-	.A1(n_475),
+	.A1(FE_OFN1266_n_13380),
 	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[4]),
 	.B1(n_1746),
-	.B2(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.B2(\u_soc_xbar_to_dccm[a_address] [3]),
 	.C1(n_7903),
 	.Y(n_8272), 
 	.VPWR(vccd1), 
@@ -154230,7 +150853,7 @@
    sky130_fd_sc_hd__a221o_1 g432902 (
 	.A1(n_3075),
 	.A2(n_13692),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
 	.C1(n_7946),
 	.X(n_8271), 
@@ -154239,7 +150862,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432903 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13693),
 	.B1(n_2673),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
@@ -154250,7 +150873,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432904 (
-	.A1(FE_OFN1681_n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13695),
 	.B1(n_2673),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
@@ -154261,7 +150884,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432905 (
-	.A1(FE_OFN1681_n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13696),
 	.B1(n_2673),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
@@ -154272,7 +150895,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432906 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13702),
 	.B1(n_2673),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
@@ -154283,7 +150906,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432907 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13699),
 	.B1(n_2673),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
@@ -154294,7 +150917,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432908 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13703),
 	.B1(n_2673),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
@@ -154305,7 +150928,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432909 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13700),
 	.B1(n_2673),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
@@ -154316,7 +150939,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432910 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13701),
 	.B1(n_2673),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
@@ -154327,9 +150950,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432911 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13705),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
 	.C1(n_7955),
 	.X(n_8262), 
@@ -154340,7 +150963,7 @@
    sky130_fd_sc_hd__a221o_1 g432912 (
 	.A1(n_3074),
 	.A2(n_13627),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
 	.C1(n_7956),
 	.X(n_8261), 
@@ -154349,9 +150972,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432913 (
-	.A1(n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13628),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
 	.C1(n_7957),
 	.X(n_8260), 
@@ -154360,9 +150983,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432914 (
-	.A1(n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13630),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
 	.C1(n_7958),
 	.X(n_8259), 
@@ -154371,9 +150994,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432915 (
-	.A1(n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13631),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
 	.C1(n_7959),
 	.X(n_8258), 
@@ -154382,9 +151005,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432916 (
-	.A1(FE_OFN1680_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13635),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
 	.C1(n_7961),
 	.X(n_8257), 
@@ -154393,9 +151016,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432917 (
-	.A1(FE_OFN1680_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13634),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
 	.C1(n_7960),
 	.X(n_8256), 
@@ -154404,9 +151027,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432918 (
-	.A1(FE_OFN1680_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13636),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
 	.C1(n_7962),
 	.X(n_8255), 
@@ -154415,9 +151038,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432919 (
-	.A1(FE_OFN1680_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13637),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
 	.C1(n_7963),
 	.X(n_8254), 
@@ -154426,9 +151049,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432920 (
-	.A1(FE_OFN1680_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13638),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
 	.C1(n_7964),
 	.X(n_8253), 
@@ -154437,9 +151060,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432921 (
-	.A1(FE_OFN1680_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13640),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
 	.C1(n_7905),
 	.X(n_8252), 
@@ -154449,10 +151072,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432922 (
 	.A1(n_1869),
-	.A2(n_7267),
-	.B1(n_7807),
-	.B2(FE_OFN888_n_1852),
-	.C1(FE_OFN780_u_soc_u_top_u_core_rf_wdata_fwd_wb_22),
+	.A2(FE_OFN1771_n_7267),
+	.B1(FE_OFN1783_n_7807),
+	.B2(FE_OFN1094_n_1852),
+	.C1(u_soc_u_top_u_core_rf_wdata_fwd_wb[22]),
 	.C2(n_8),
 	.Y(n_8251), 
 	.VPWR(vccd1), 
@@ -154460,9 +151083,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432923 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13708),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
 	.C1(n_7933),
 	.X(n_8250), 
@@ -154471,9 +151094,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432924 (
-	.A1(n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13643),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
 	.C1(n_7934),
 	.X(n_8249), 
@@ -154482,9 +151105,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432925 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13682),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
 	.C1(n_7931),
 	.X(n_8248), 
@@ -154493,9 +151116,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432926 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13617),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
 	.C1(n_7932),
 	.X(n_8247), 
@@ -154505,17 +151128,17 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g432927 (
 	.A1(n_7921),
-	.A2(n_7382),
-	.B1(FE_OFN992_n_5974),
+	.A2(FE_OFN18164_n_7382),
+	.B1(FE_OFN19136_n_5974),
 	.Y(n_8246), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432928 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
-	.B1(FE_OFN18428_n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
 	.C1(n_7719),
 	.X(n_8245), 
@@ -154524,7 +151147,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432929 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
 	.B1(n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
@@ -154535,7 +151158,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432930 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
 	.B1(n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
@@ -154548,9 +151171,9 @@
    sky130_fd_sc_hd__a222oi_1 g432931 (
 	.A1(n_2993),
 	.A2(n_7606),
-	.B1(n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
-	.C1(FE_OFN1006_n_7965),
+	.C1(FE_OFN1199_n_7965),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
 	.Y(n_8242), 
 	.VPWR(vccd1), 
@@ -154560,9 +151183,9 @@
    sky130_fd_sc_hd__a222oi_1 g432932 (
 	.A1(n_2995),
 	.A2(n_7606),
-	.B1(n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
-	.C1(FE_OFN1006_n_7965),
+	.C1(FE_OFN1199_n_7965),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
 	.Y(n_8241), 
 	.VPWR(vccd1), 
@@ -154570,9 +151193,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432933 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
-	.B1(FE_OFN18428_n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
 	.C1(n_7716),
 	.X(n_8240), 
@@ -154581,9 +151204,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432934 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
-	.B1(FE_OFN18428_n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
 	.C1(n_7715),
 	.X(n_8239), 
@@ -154592,7 +151215,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432935 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
 	.B1(n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
@@ -154605,9 +151228,9 @@
    sky130_fd_sc_hd__a222oi_1 g432936 (
 	.A1(n_3005),
 	.A2(n_7606),
-	.B1(n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
-	.C1(FE_OFN1006_n_7965),
+	.C1(FE_OFN1199_n_7965),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
 	.Y(n_8237), 
 	.VPWR(vccd1), 
@@ -154615,9 +151238,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432937 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
-	.B1(n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
 	.C1(n_7713),
 	.X(n_8236), 
@@ -154626,9 +151249,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432938 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
-	.B1(n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
 	.C1(n_7712),
 	.X(n_8235), 
@@ -154637,9 +151260,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432939 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
-	.B1(FE_OFN18428_n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
 	.C1(n_7711),
 	.X(n_8234), 
@@ -154658,7 +151281,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g432941 (
 	.A1(n_1141),
-	.A2(FE_OFN18285_n_2984),
+	.A2(n_2983),
 	.B1(n_7189),
 	.C1(n_5530),
 	.D1(n_7872),
@@ -154696,9 +151319,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g432945 (
-	.A1(FE_OFN18230_n_3080),
+	.A1(n_3079),
 	.A2(n_6616),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_13611),
 	.C1(n_7885),
 	.Y(n_8228), 
@@ -154707,7 +151330,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432946 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [2]),
@@ -154718,9 +151341,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432947 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [3]),
 	.C1(n_7733),
 	.X(n_8226), 
@@ -154729,9 +151352,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432948 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [4]),
 	.C1(n_7732),
 	.X(n_8225), 
@@ -154740,9 +151363,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432949 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [5]),
 	.C1(n_7731),
 	.X(n_8224), 
@@ -154751,9 +151374,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432950 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [6]),
 	.C1(n_7730),
 	.X(n_8223), 
@@ -154762,7 +151385,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432951 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [7]),
@@ -154773,7 +151396,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432952 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
@@ -154784,9 +151407,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432953 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
 	.C1(n_7727),
 	.X(n_8220), 
@@ -154795,9 +151418,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432954 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
 	.C1(n_7726),
 	.X(n_8219), 
@@ -154806,9 +151429,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432955 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
 	.C1(n_7725),
 	.X(n_8218), 
@@ -154817,9 +151440,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432956 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
 	.C1(n_7724),
 	.X(n_8217), 
@@ -154828,7 +151451,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432957 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
@@ -154839,9 +151462,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g432958 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7816),
-	.B1(FE_OFN18196_n_13380),
+	.B1(FE_OFN18083_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[17]),
 	.C1(n_5923),
 	.Y(n_8215), 
@@ -154850,9 +151473,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g432959 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7817),
-	.B1(FE_OFN18196_n_13380),
+	.B1(FE_OFN18083_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[21]),
 	.C1(n_5927),
 	.Y(n_8214), 
@@ -154861,10 +151484,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g432960 (
-	.A1(n_475),
+	.A1(FE_OFN18084_n_13380),
 	.A2(u_soc_u_top_u_core_rf_wdata_fwd_wb[6]),
 	.B1(n_1746),
-	.B2(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.B2(FE_OFN903_u_soc_xbar_to_dccm_a_address__5),
 	.C1(n_7906),
 	.Y(n_8213), 
 	.VPWR(vccd1), 
@@ -154872,9 +151495,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g432961 (
-	.A1(FE_COEN4876_n_7805),
+	.A1(n_7805),
 	.A2(n_7254),
-	.A3(n_6858),
+	.A3(FE_OFN1194_n_6859),
 	.B1(n_7393),
 	.B2(n_6856),
 	.Y(n_8212), 
@@ -154884,11 +151507,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432962 (
 	.A1(u_soc_u_top_u_core_pc_id[7]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[7]),
 	.C1(n_7910),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8211), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -154896,11 +151519,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g432963 (
 	.A1(u_soc_u_top_u_core_pc_id[1]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[1]),
 	.C1(n_7912),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8210), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -154909,8 +151532,8 @@
    sky130_fd_sc_hd__a222oi_1 g432964 (
 	.A1(n_6502),
 	.A2(n_7803),
-	.B1(FE_OFN18321_n_6708),
-	.B2(FE_OFN723_u_soc_u_top_u_core_instr_rdata_id_14),
+	.B1(FE_OFN18242_n_6709),
+	.B2(FE_OFN850_u_soc_u_top_u_core_instr_rdata_id_14),
 	.C1(n_7966),
 	.C2(n_5965),
 	.Y(n_8209), 
@@ -154919,8 +151542,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432965 (
-	.A1(FE_OFN1668_n_7913),
-	.A2(n_2674),
+	.A1(FE_OFN1846_n_7913),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5522),
 	.Y(n_8208), 
 	.VPWR(vccd1), 
@@ -154928,8 +151551,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432966 (
-	.A1(FE_OFN1667_n_7911),
-	.A2(n_2674),
+	.A1(FE_OFN1845_n_7911),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5516),
 	.Y(n_8207), 
 	.VPWR(vccd1), 
@@ -154937,8 +151560,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432967 (
-	.A1(FE_OFN1666_n_7909),
-	.A2(n_2674),
+	.A1(FE_OFN1844_n_7909),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5512),
 	.Y(n_8206), 
 	.VPWR(vccd1), 
@@ -154946,9 +151569,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432968 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [8]),
 	.C1(n_7822),
 	.X(n_8205), 
@@ -154957,7 +151580,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432969 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [9]),
@@ -154968,9 +151591,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432970 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [10]),
 	.C1(n_7690),
 	.X(n_8203), 
@@ -154979,7 +151602,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432971 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
@@ -154990,9 +151613,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432972 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [12]),
 	.C1(n_7767),
 	.X(n_8201), 
@@ -155001,9 +151624,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432973 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [13]),
 	.C1(n_7766),
 	.X(n_8200), 
@@ -155012,7 +151635,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432974 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [14]),
@@ -155023,7 +151646,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432975 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
@@ -155034,9 +151657,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432976 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
 	.C1(n_7763),
 	.X(n_8197), 
@@ -155045,7 +151668,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432977 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
@@ -155056,9 +151679,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432978 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
 	.C1(n_7761),
 	.X(n_8195), 
@@ -155067,7 +151690,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432979 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
@@ -155078,9 +151701,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432980 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
 	.C1(n_7759),
 	.X(n_8193), 
@@ -155089,9 +151712,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432981 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
-	.B1(FE_OFN18424_n_7771),
+	.B1(FE_OFN18303_n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
 	.C1(n_7758),
 	.X(n_8192), 
@@ -155100,7 +151723,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432982 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
@@ -155111,7 +151734,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432983 (
-	.A1(FE_OFN1509_n_7907),
+	.A1(FE_OFN1586_n_7907),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
 	.B1(n_7771),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
@@ -155122,9 +151745,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432984 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
-	.B1(n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
 	.C1(n_7755),
 	.X(n_8189), 
@@ -155133,9 +151756,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432985 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
-	.B1(FE_OFN18428_n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
 	.C1(n_7754),
 	.X(n_8188), 
@@ -155144,9 +151767,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432986 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
-	.B1(n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
 	.C1(n_7753),
 	.X(n_8187), 
@@ -155155,9 +151778,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432987 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
-	.B1(FE_OFN18428_n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
 	.C1(n_7752),
 	.X(n_8186), 
@@ -155166,9 +151789,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432988 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
-	.B1(n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
 	.C1(n_7751),
 	.X(n_8185), 
@@ -155177,9 +151800,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432989 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
-	.B1(n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
 	.C1(n_7750),
 	.X(n_8184), 
@@ -155188,9 +151811,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432990 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
-	.B1(FE_OFN18428_n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
 	.C1(n_7749),
 	.X(n_8183), 
@@ -155199,9 +151822,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432991 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
-	.B1(FE_OFN18428_n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
 	.C1(n_7748),
 	.X(n_8182), 
@@ -155210,8 +151833,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g432992 (
-	.A1(FE_OFN1668_n_7913),
-	.A2(n_2680),
+	.A1(FE_OFN1846_n_7913),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5490),
 	.Y(n_8181), 
 	.VPWR(vccd1), 
@@ -155221,9 +151844,9 @@
    sky130_fd_sc_hd__a222oi_1 g432993 (
 	.A1(n_5842),
 	.A2(n_7606),
-	.B1(n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
-	.C1(FE_OFN1006_n_7965),
+	.C1(FE_OFN1199_n_7965),
 	.C2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
 	.Y(n_8180), 
 	.VPWR(vccd1), 
@@ -155231,9 +151854,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432994 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
-	.B1(FE_OFN18428_n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
 	.C1(n_7747),
 	.X(n_8179), 
@@ -155242,9 +151865,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432995 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
-	.B1(n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
 	.C1(n_7746),
 	.X(n_8178), 
@@ -155253,9 +151876,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432996 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
-	.B1(FE_OFN18428_n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
 	.C1(n_7745),
 	.X(n_8177), 
@@ -155264,9 +151887,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432997 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
-	.B1(n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
 	.C1(n_7744),
 	.X(n_8176), 
@@ -155275,9 +151898,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432998 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
-	.B1(n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
 	.C1(n_7743),
 	.X(n_8175), 
@@ -155286,9 +151909,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g432999 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
-	.B1(FE_OFN18428_n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
 	.C1(n_7742),
 	.X(n_8174), 
@@ -155297,9 +151920,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433000 (
-	.A1(FE_OFN1006_n_7965),
+	.A1(FE_OFN1199_n_7965),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
-	.B1(FE_OFN18428_n_7770),
+	.B1(FE_OFN18321_n_7770),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
 	.C1(n_7741),
 	.X(n_8173), 
@@ -155308,8 +151931,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433001 (
-	.A1(FE_OFN1667_n_7911),
-	.A2(n_2680),
+	.A1(FE_OFN1845_n_7911),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5484),
 	.Y(n_8172), 
 	.VPWR(vccd1), 
@@ -155317,8 +151940,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433002 (
-	.A1(FE_OFN1666_n_7909),
-	.A2(n_2680),
+	.A1(FE_OFN1844_n_7909),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5480),
 	.Y(n_8171), 
 	.VPWR(vccd1), 
@@ -155327,7 +151950,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433003 (
 	.A1(n_1212),
-	.A2(FE_OFN1666_n_7909),
+	.A2(FE_OFN1844_n_7909),
 	.B1(n_1969),
 	.Y(n_8170), 
 	.VPWR(vccd1), 
@@ -155335,8 +151958,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433004 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1667_n_7911),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1845_n_7911),
 	.B1(n_2311),
 	.Y(n_8169), 
 	.VPWR(vccd1), 
@@ -155344,8 +151967,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433005 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1666_n_7909),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1844_n_7909),
 	.B1(n_2398),
 	.Y(n_8168), 
 	.VPWR(vccd1), 
@@ -155353,10 +151976,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433006 (
-	.A1(n_2419),
+	.A1(FE_OFN18115_n_2420),
 	.A2(n_6650),
 	.B1(n_1746),
-	.B2(FE_OFN762_u_soc_lsu_to_xbar_a_address__15),
+	.B2(FE_OFN893_u_soc_lsu_to_xbar_a_address__15),
 	.C1(n_7927),
 	.Y(n_8167), 
 	.VPWR(vccd1), 
@@ -155364,10 +151987,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433007 (
-	.A1(n_2419),
+	.A1(FE_OFN18115_n_2420),
 	.A2(n_6648),
 	.B1(n_1746),
-	.B2(\u_soc_lsu_to_xbar[a_address] [17]),
+	.B2(FE_OFN18408_u_soc_lsu_to_xbar_a_address__17),
 	.C1(n_7930),
 	.Y(n_8166), 
 	.VPWR(vccd1), 
@@ -155388,7 +152011,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g433009 (
 	.A1_N(u_soc_u_top_u_core_debug_ebreaku),
 	.A2_N(n_1415),
-	.B1(FE_OFN1666_n_7909),
+	.B1(FE_OFN1844_n_7909),
 	.B2(n_1415),
 	.Y(n_8164), 
 	.VPWR(vccd1), 
@@ -155398,8 +152021,8 @@
    sky130_fd_sc_hd__a22oi_1 g433010 (
 	.A1(n_7966),
 	.A2(n_5952),
-	.B1(FE_OFN18321_n_6708),
-	.B2(FE_OFN724_u_soc_u_top_u_core_instr_rdata_id_12),
+	.B1(FE_OFN18242_n_6709),
+	.B2(FE_OFN18143_u_soc_u_top_u_core_instr_rdata_id_12),
 	.Y(n_8163), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -155409,7 +152032,7 @@
 	.A1(n_2419),
 	.A2(n_6639),
 	.B1(n_2986),
-	.B2(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.B2(FE_OFN18390_u_soc_xbar_to_dccm_a_address__7),
 	.C1(n_7895),
 	.X(n_8162), 
 	.VPWR(vccd1), 
@@ -155417,10 +152040,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433012 (
-	.A1(FE_OFN18274_n_2418),
+	.A1(n_2418),
 	.A2(n_1398),
-	.B1(FE_OFN18196_n_13380),
-	.B2(FE_OFN18401_u_soc_u_top_u_core_rf_wdata_fwd_wb_20),
+	.B1(FE_OFN18083_n_13380),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[20]),
 	.C1(n_7899),
 	.Y(n_8161), 
 	.VPWR(vccd1), 
@@ -155438,10 +152061,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433014 (
-	.A1(FE_OFN18274_n_2418),
+	.A1(n_2418),
 	.A2(n_1395),
 	.B1(n_2986),
-	.B2(FE_OFN750_u_soc_lsu_to_xbar_a_address__27),
+	.B2(FE_OFN881_u_soc_lsu_to_xbar_a_address__27),
 	.C1(n_7898),
 	.Y(n_8159), 
 	.VPWR(vccd1), 
@@ -155459,10 +152082,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433016 (
-	.A1(FE_OFN18274_n_2418),
+	.A1(n_2418),
 	.A2(n_1393),
 	.B1(n_2986),
-	.B2(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+	.B2(FE_OFN879_u_soc_lsu_to_xbar_a_address__29),
 	.C1(n_7897),
 	.Y(n_8157), 
 	.VPWR(vccd1), 
@@ -155470,10 +152093,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433017 (
-	.A1(FE_OFN18274_n_2418),
+	.A1(n_2418),
 	.A2(n_1362),
 	.B1(n_2986),
-	.B2(FE_PSN4663_FE_OFN18361_n),
+	.B2(FE_OFN18378_u_soc_lsu_to_xbar_a_address__30),
 	.C1(n_7896),
 	.Y(n_8156), 
 	.VPWR(vccd1), 
@@ -155491,7 +152114,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433019 (
-	.A1(n_7974),
+	.A1(FE_PDN3655_FE_OFN1591_n_7974),
 	.A2(n_1756),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[0]),
@@ -155501,7 +152124,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433020 (
-	.A1(n_7975),
+	.A1(FE_OFN1592_n_7975),
 	.A2(n_1756),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[1]),
@@ -155510,10 +152133,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g433021 (
+   sky130_fd_sc_hd__a221oi_2 g433021 (
 	.A1(n_2008),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
-	.B1(FE_OFN930_n_2987),
+	.B1(FE_OFN1124_n_2987),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
 	.C1(n_7880),
 	.Y(n_8152), 
@@ -155522,7 +152145,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433022 (
-	.A1(n_7976),
+	.A1(FE_OFN1593_n_7976),
 	.A2(n_1756),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[2]),
@@ -155531,10 +152154,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g433023 (
+   sky130_fd_sc_hd__a221oi_2 g433023 (
 	.A1(n_2008),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
-	.B1(FE_OFN930_n_2987),
+	.B1(FE_OFN1124_n_2987),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
 	.C1(n_7879),
 	.Y(n_8150), 
@@ -155542,10 +152165,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g433024 (
+   sky130_fd_sc_hd__a221oi_2 g433024 (
 	.A1(n_2008),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
-	.B1(FE_OFN930_n_2987),
+	.B1(FE_OFN1124_n_2987),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
 	.C1(n_7878),
 	.Y(n_8149), 
@@ -155554,7 +152177,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433025 (
-	.A1(n_7978),
+	.A1(FE_OFN1594_n_7978),
 	.A2(n_1756),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[4]),
@@ -155563,10 +152186,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g433026 (
+   sky130_fd_sc_hd__a221oi_4 g433026 (
 	.A1(n_2008),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
-	.B1(FE_OFN930_n_2987),
+	.B1(FE_OFN1124_n_2987),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
 	.C1(n_7877),
 	.Y(n_8147), 
@@ -155575,7 +152198,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433027 (
-	.A1(n_7979),
+	.A1(FE_PDN4069_FE_OFN1595_n_7979),
 	.A2(n_1756),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[5]),
@@ -155587,7 +152210,7 @@
    sky130_fd_sc_hd__a221oi_1 g433028 (
 	.A1(n_2008),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
-	.B1(FE_OFN930_n_2987),
+	.B1(FE_OFN1124_n_2987),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
 	.C1(n_7876),
 	.Y(n_8145), 
@@ -155596,7 +152219,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433029 (
-	.A1(n_7973),
+	.A1(FE_OFN1589_n_7973),
 	.A2(n_1756),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[6]),
@@ -155606,7 +152229,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433030 (
-	.A1(n_7969),
+	.A1(FE_OFN1588_n_7969),
 	.A2(n_1756),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[7]),
@@ -155616,9 +152239,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433031 (
-	.A1(n_7975),
+	.A1(FE_OFN1592_n_7975),
 	.A2(n_1874),
-	.B1(n_1852),
+	.B1(FE_OFN1094_n_1852),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]),
 	.Y(n_8142), 
 	.VPWR(vccd1), 
@@ -155626,7 +152249,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433032 (
-	.A1(n_7976),
+	.A1(FE_OFN1593_n_7976),
 	.A2(n_1874),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]),
@@ -155636,7 +152259,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433033 (
-	.A1(n_7978),
+	.A1(FE_OFN1594_n_7978),
 	.A2(n_1874),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]),
@@ -155646,7 +152269,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433034 (
-	.A1(n_7979),
+	.A1(FE_PDN4069_FE_OFN1595_n_7979),
 	.A2(n_1874),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]),
@@ -155656,9 +152279,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433035 (
-	.A1(n_7974),
+	.A1(FE_PDN3655_FE_OFN1591_n_7974),
 	.A2(n_1869),
-	.B1(n_7264),
+	.B1(FE_PDN4062_FE_OFN1769_n_7264),
 	.B2(n_2281),
 	.Y(n_8138), 
 	.VPWR(vccd1), 
@@ -155666,9 +152289,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433036 (
-	.A1(n_7976),
+	.A1(FE_OFN1593_n_7976),
 	.A2(n_1869),
-	.B1(n_7260),
+	.B1(FE_OFN1764_n_7260),
 	.B2(n_2281),
 	.Y(n_8137), 
 	.VPWR(vccd1), 
@@ -155676,9 +152299,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433037 (
-	.A1(n_7979),
+	.A1(FE_PDN4069_FE_OFN1595_n_7979),
 	.A2(n_1869),
-	.B1(n_7266),
+	.B1(FE_OFN1770_n_7266),
 	.B2(n_2281),
 	.Y(n_8136), 
 	.VPWR(vccd1), 
@@ -155696,7 +152319,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g433039 (
-	.A1(FE_OFN18274_n_2418),
+	.A1(n_2418),
 	.A2(n_1402),
 	.B1(n_8094),
 	.Y(n_8134), 
@@ -155705,7 +152328,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433040 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[1]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[1]),
@@ -155718,16 +152341,16 @@
    sky130_fd_sc_hd__o21ai_1 g433041 (
 	.A1(n_7813),
 	.A2(n_7919),
-	.B1(FE_PSN4101_n_7253),
+	.B1(n_7253),
 	.Y(n_8289), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433042 (
-	.A1(n_7969),
+	.A1(FE_OFN1588_n_7969),
 	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.B1(n_7797),
+	.B1(FE_OFN18086_n_7797),
 	.B2(n_28),
 	.Y(n_8288), 
 	.VPWR(vccd1), 
@@ -155738,7 +152361,7 @@
 	.A1(n_7820),
 	.A2(n_110),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
-	.B1(FE_PSN4416_n_13497),
+	.B1(n_13497),
 	.B2(n_1215),
 	.Y(n_8287), 
 	.VPWR(vccd1), 
@@ -155785,9 +152408,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g433049 (
-	.A(FE_COEN4329_n_13402),
+	.A(n_13402),
 	.B(n_13404),
-	.C(FE_OFN1086_n_13082),
+	.C(FE_OFN1253_n_13082),
 	.D(n_7598),
 	.X(n_8121), 
 	.VPWR(vccd1), 
@@ -155817,7 +152440,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433052 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[31]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[31]),
@@ -155829,7 +152452,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g433053 (
 	.A1(n_2986),
-	.A2(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
+	.A2(\u_soc_xbar_to_dccm[a_address] [10]),
 	.B1(n_7888),
 	.Y(n_8117), 
 	.VPWR(vccd1), 
@@ -155838,7 +152461,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g433054 (
 	.A1(n_2986),
-	.A2(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.A2(FE_OFN19045_u_soc_xbar_to_dccm_a_address__9),
 	.B1(n_7889),
 	.Y(n_8116), 
 	.VPWR(vccd1), 
@@ -155846,7 +152469,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g433055 (
-	.A(n_7252),
+	.A(FE_OFN18136_n_7253),
 	.B(n_7640),
 	.C(n_7383),
 	.D(n_7374),
@@ -155857,9 +152480,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a31oi_1 g433056 (
 	.A1(n_7620),
-	.A2(FE_OFN18264_n_7388),
+	.A2(n_7387),
 	.A3(n_7626),
-	.B1(FE_OFN1000_n_6857),
+	.B1(FE_PSN3972_FE_OFN1193_n_6857),
 	.Y(n_8114), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -155877,9 +152500,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433058 (
 	.A1(n_2986),
-	.A2(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.A2(FE_OFN903_u_soc_xbar_to_dccm_a_address__5),
 	.B1(n_1746),
-	.B2(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.B2(FE_OFN904_u_soc_xbar_to_dccm_a_address__4),
 	.C1(n_7831),
 	.Y(n_8112), 
 	.VPWR(vccd1), 
@@ -155933,7 +152556,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433064 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_7657),
 	.Y(n_8106), 
 	.VPWR(vccd1), 
@@ -155941,32 +152564,32 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433065 (
-	.A(FE_OFN1667_n_7911),
-	.B(n_2678),
+	.A(FE_OFN1845_n_7911),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_8105), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433066 (
-	.A(FE_OFN1667_n_7911),
-	.B(n_2676),
+	.A(FE_OFN1845_n_7911),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_8104), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433067 (
-	.A(FE_OFN1668_n_7913),
-	.B(n_2677),
+	.A(FE_OFN1846_n_7913),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_8103), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433068 (
-	.A(FE_OFN1668_n_7913),
-	.B(n_2676),
+	.A(FE_OFN1846_n_7913),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_8102), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -155981,7 +152604,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433070 (
-	.A(FE_OFN1666_n_7909),
+	.A(FE_OFN1844_n_7909),
 	.B(n_2254),
 	.Y(n_8100), 
 	.VPWR(vccd1), 
@@ -155989,16 +152612,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433071 (
-	.A(FE_OFN1666_n_7909),
-	.B(n_2678),
+	.A(FE_OFN1844_n_7909),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_8099), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433072 (
-	.A(FE_OFN1666_n_7909),
-	.B(n_2676),
+	.A(FE_OFN1844_n_7909),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_8098), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -156022,9 +152645,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433075 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13677),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
 	.C1(n_7696),
 	.X(n_8095), 
@@ -156034,7 +152657,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433076 (
 	.A(n_7920),
-	.B(FE_OFN1401_n_1217),
+	.B(n_1216),
 	.Y(n_8094), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -156052,7 +152675,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g433078 (
-	.A(n_7969),
+	.A(FE_OFN1588_n_7969),
 	.B(n_1874),
 	.Y(n_8092), 
 	.VPWR(vccd1), 
@@ -156060,7 +152683,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g433079 (
-	.A(n_7973),
+	.A(FE_OFN1589_n_7973),
 	.B(n_1874),
 	.Y(n_8091), 
 	.VPWR(vccd1), 
@@ -156068,17 +152691,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3b_1 g433080 (
-	.A(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
+	.A(FE_OFN18271_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0),
 	.B(n_6856),
-	.C_N(FE_COEN4876_n_7805),
+	.C_N(n_7805),
 	.Y(n_8132), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433086 (
-	.A(FE_OFN1404_n_1848),
-	.B(FE_OFN1668_n_7913),
+	.A(FE_OFN1449_n_1848),
+	.B(FE_OFN1846_n_7913),
 	.Y(n_8131), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -156125,7 +152748,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g433146 (
+   sky130_fd_sc_hd__nand2_4 g433146 (
 	.A(n_7796),
 	.B(n_7982),
 	.Y(n_8124), 
@@ -156141,50 +152764,50 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433148 (
-	.A(FE_OFN1674_n_8085),
+	.A(FE_OFN1882_n_8085),
 	.Y(n_8084), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433149 (
-	.A(FE_OFN1673_n_8083),
+	.A(n_8083),
 	.Y(n_8082), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433150 (
-	.A(FE_OFN1672_n_8081),
+	.A(n_8081),
 	.Y(n_8080), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433151 (
-	.A(FE_OFN1671_n_8079),
+	.A(FE_OFN1849_n_8079),
 	.Y(n_8078), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g433152 (
-	.A(FE_OFN1670_n_8077),
+	.A(FE_OFN1848_n_8077),
 	.Y(n_8076), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433153 (
-	.A(FE_OFN1669_n_8075),
+	.A(n_8075),
 	.Y(n_8074), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433154 (
-	.A1(FE_OFN1654_n_7773),
-	.A2(n_2679),
+	.A1(FE_OFN1835_n_7773),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5475),
 	.Y(n_8073), 
 	.VPWR(vccd1), 
@@ -156192,9 +152815,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433155 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13687),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
 	.C1(n_7694),
 	.X(n_8072), 
@@ -156203,9 +152826,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433156 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13691),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
 	.C1(n_7693),
 	.X(n_8071), 
@@ -156214,9 +152837,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433157 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13612),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
 	.C1(n_7692),
 	.X(n_8070), 
@@ -156225,9 +152848,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433158 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13621),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
 	.C1(n_7691),
 	.X(n_8069), 
@@ -156236,9 +152859,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433159 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13622),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
 	.C1(n_7769),
 	.X(n_8068), 
@@ -156247,9 +152870,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433160 (
-	.A1(n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13626),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
 	.C1(n_7689),
 	.X(n_8067), 
@@ -156258,9 +152881,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433161 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13681),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
 	.C1(n_7702),
 	.X(n_8066), 
@@ -156269,9 +152892,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433162 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13683),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
 	.C1(n_7701),
 	.X(n_8065), 
@@ -156280,9 +152903,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433163 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13616),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
 	.C1(n_7700),
 	.X(n_8064), 
@@ -156291,9 +152914,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433164 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13618),
-	.B1(FE_OFN18204_n_2680),
+	.B1(FE_OFN18088_n_2680),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
 	.C1(n_7699),
 	.X(n_8063), 
@@ -156311,7 +152934,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433166 (
-	.A1(FE_OFN18244_n_7619),
+	.A1(n_7619),
 	.A2(n_7346),
 	.B1(n_7803),
 	.B2(n_6501),
@@ -156329,7 +152952,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433168 (
-	.A1(n_7797),
+	.A1(FE_OFN18086_n_7797),
 	.A2(n_2281),
 	.B1(u_soc_u_top_u_core_rf_wdata_fwd_wb[23]),
 	.B2(n_8),
@@ -156339,9 +152962,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433169 (
-	.A1(n_7797),
+	.A1(FE_OFN18086_n_7797),
 	.A2(n_1871),
-	.B1(n_7268),
+	.B1(FE_OFN1772_n_7268),
 	.B2(n_1873),
 	.Y(n_8058), 
 	.VPWR(vccd1), 
@@ -156349,7 +152972,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433170 (
-	.A1(n_7806),
+	.A1(FE_PDN3670_FE_OFN1782_n_7806),
 	.A2(n_1419),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[15]),
@@ -156359,7 +152982,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433171 (
-	.A1(n_7807),
+	.A1(FE_OFN1783_n_7807),
 	.A2(n_1419),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[14]),
@@ -156369,9 +152992,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433172 (
-	.A1(n_7804),
+	.A1(FE_PDN4059_FE_OFN1781_n_7804),
 	.A2(n_1871),
-	.B1(n_7266),
+	.B1(FE_OFN1770_n_7266),
 	.B2(n_1873),
 	.Y(n_8055), 
 	.VPWR(vccd1), 
@@ -156380,7 +153003,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g433173 (
 	.A1(n_7798),
-	.A2(FE_OFN1000_n_6857),
+	.A2(FE_OFN1193_n_6857),
 	.B1(n_7392),
 	.Y(n_8054), 
 	.VPWR(vccd1), 
@@ -156388,9 +153011,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433174 (
-	.A1(n_7802),
+	.A1(FE_OFN1779_n_7802),
 	.A2(n_1871),
-	.B1(n_7259),
+	.B1(FE_OFN1763_n_7259),
 	.B2(n_1873),
 	.Y(n_8053), 
 	.VPWR(vccd1), 
@@ -156398,9 +153021,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433175 (
-	.A1(n_7801),
+	.A1(FE_OFN1778_n_7801),
 	.A2(n_1871),
-	.B1(n_7260),
+	.B1(FE_OFN1764_n_7260),
 	.B2(n_1873),
 	.Y(n_8052), 
 	.VPWR(vccd1), 
@@ -156420,11 +153043,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433177 (
 	.A1(u_soc_u_top_u_core_pc_id[15]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[15]),
 	.C1(n_7782),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8050), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -156432,11 +153055,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433178 (
 	.A1(u_soc_u_top_u_core_pc_id[16]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[16]),
 	.C1(n_7772),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8049), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -156444,11 +153067,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433179 (
 	.A1(u_soc_u_top_u_core_pc_id[18]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[18]),
 	.C1(n_7776),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8048), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -156456,11 +153079,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433180 (
 	.A1(u_soc_u_top_u_core_pc_id[19]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[19]),
 	.C1(n_7778),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8047), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -156468,11 +153091,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433181 (
 	.A1(u_soc_u_top_u_core_pc_id[22]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[22]),
 	.C1(n_7780),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8046), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -156480,11 +153103,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433182 (
 	.A1(u_soc_u_top_u_core_pc_id[23]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[23]),
 	.C1(n_7774),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8045), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -156492,11 +153115,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433183 (
 	.A1(u_soc_u_top_u_core_pc_id[24]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[24]),
 	.C1(n_7786),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8044), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -156504,11 +153127,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433184 (
 	.A1(u_soc_u_top_u_core_pc_id[25]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[25]),
 	.C1(n_7788),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8043), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -156516,11 +153139,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433185 (
 	.A1(u_soc_u_top_u_core_pc_id[26]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[26]),
 	.C1(n_7790),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8042), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -156528,11 +153151,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433186 (
 	.A1(u_soc_u_top_u_core_pc_id[28]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[28]),
 	.C1(n_7784),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8041), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -156540,20 +153163,20 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433187 (
 	.A1(u_soc_u_top_u_core_pc_id[31]),
-	.A2(FE_OFN1403_n_1412),
+	.A2(FE_OFN1446_n_1412),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[31]),
 	.C1(n_7792),
-	.C2(n_1213),
+	.C2(FE_OFN1084_n_1213),
 	.Y(n_8040), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433188 (
-	.A1(n_7800),
+	.A1(FE_OFN1777_n_7800),
 	.A2(n_1871),
-	.B1(n_7264),
+	.B1(FE_PDN4062_FE_OFN1769_n_7264),
 	.B2(n_1873),
 	.Y(n_8039), 
 	.VPWR(vccd1), 
@@ -156561,8 +153184,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433189 (
-	.A1(FE_OFN1665_n_7795),
-	.A2(n_2674),
+	.A1(FE_OFN1843_n_7795),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5518),
 	.Y(n_8038), 
 	.VPWR(vccd1), 
@@ -156570,8 +153193,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433190 (
-	.A1(FE_OFN1659_n_7783),
-	.A2(FE_OFN18221_n_2674),
+	.A1(FE_OFN1839_n_7783),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5508),
 	.Y(n_8037), 
 	.VPWR(vccd1), 
@@ -156579,8 +153202,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433191 (
-	.A1(FE_OFN1654_n_7773),
-	.A2(FE_OFN18221_n_2674),
+	.A1(FE_OFN1835_n_7773),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5507),
 	.Y(n_8036), 
 	.VPWR(vccd1), 
@@ -156588,8 +153211,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433192 (
-	.A1(FE_OFN1656_n_7777),
-	.A2(FE_OFN18221_n_2674),
+	.A1(FE_OFN1836_n_7777),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5505),
 	.Y(n_8035), 
 	.VPWR(vccd1), 
@@ -156597,8 +153220,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433193 (
-	.A1(FE_OFN1657_n_7779),
-	.A2(FE_OFN18221_n_2674),
+	.A1(FE_OFN1837_n_7779),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5504),
 	.Y(n_8034), 
 	.VPWR(vccd1), 
@@ -156606,8 +153229,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433194 (
-	.A1(FE_OFN1655_n_7775),
-	.A2(FE_OFN18221_n_2674),
+	.A1(n_7775),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5500),
 	.Y(n_8033), 
 	.VPWR(vccd1), 
@@ -156615,8 +153238,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433195 (
-	.A1(FE_OFN1658_n_7781),
-	.A2(FE_OFN18221_n_2674),
+	.A1(FE_OFN1838_n_7781),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5501),
 	.Y(n_8032), 
 	.VPWR(vccd1), 
@@ -156624,8 +153247,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433196 (
-	.A1(FE_OFN1661_n_7787),
-	.A2(FE_OFN18221_n_2674),
+	.A1(n_7787),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5499),
 	.Y(n_8031), 
 	.VPWR(vccd1), 
@@ -156633,8 +153256,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433197 (
-	.A1(FE_OFN1662_n_7789),
-	.A2(FE_OFN18221_n_2674),
+	.A1(FE_OFN1840_n_7789),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5498),
 	.Y(n_8030), 
 	.VPWR(vccd1), 
@@ -156642,8 +153265,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433198 (
-	.A1(FE_OFN1663_n_7791),
-	.A2(FE_OFN18221_n_2674),
+	.A1(FE_OFN1841_n_7791),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5497),
 	.Y(n_8029), 
 	.VPWR(vccd1), 
@@ -156651,8 +153274,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433199 (
-	.A1(FE_OFN1660_n_7785),
-	.A2(FE_OFN18221_n_2674),
+	.A1(n_7785),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5495),
 	.Y(n_8028), 
 	.VPWR(vccd1), 
@@ -156660,8 +153283,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433200 (
-	.A1(FE_OFN1664_n_7793),
-	.A2(FE_OFN18221_n_2674),
+	.A1(FE_OFN18714_n_7793),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5492),
 	.Y(n_8027), 
 	.VPWR(vccd1), 
@@ -156669,8 +153292,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433201 (
-	.A1(FE_OFN1665_n_7795),
-	.A2(n_2680),
+	.A1(FE_OFN1843_n_7795),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5486),
 	.Y(n_8026), 
 	.VPWR(vccd1), 
@@ -156678,8 +153301,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433202 (
-	.A1(FE_OFN1659_n_7783),
-	.A2(n_2679),
+	.A1(FE_OFN1839_n_7783),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5476),
 	.Y(n_8025), 
 	.VPWR(vccd1), 
@@ -156687,9 +153310,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433203 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13686),
-	.B1(n_2673),
+	.B1(FE_OFN18090_n_2674),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
 	.C1(n_7695),
 	.X(n_8024), 
@@ -156698,8 +153321,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433204 (
-	.A1(FE_OFN1656_n_7777),
-	.A2(n_2679),
+	.A1(FE_OFN1836_n_7777),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5473),
 	.Y(n_8023), 
 	.VPWR(vccd1), 
@@ -156707,7 +153330,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433205 (
-	.A1(FE_OFN1657_n_7779),
+	.A1(FE_OFN1837_n_7779),
 	.A2(n_2679),
 	.B1(n_5472),
 	.Y(n_8022), 
@@ -156716,7 +153339,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433206 (
-	.A1(FE_OFN1655_n_7775),
+	.A1(n_7775),
 	.A2(n_2679),
 	.B1(n_5526),
 	.Y(n_8021), 
@@ -156725,7 +153348,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433207 (
-	.A1(FE_OFN1658_n_7781),
+	.A1(FE_OFN1838_n_7781),
 	.A2(n_2679),
 	.B1(n_5469),
 	.Y(n_8020), 
@@ -156734,7 +153357,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433208 (
-	.A1(FE_OFN1661_n_7787),
+	.A1(n_7787),
 	.A2(n_2679),
 	.B1(n_5528),
 	.Y(n_8019), 
@@ -156743,7 +153366,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433209 (
-	.A1(FE_OFN1662_n_7789),
+	.A1(FE_OFN1840_n_7789),
 	.A2(n_2679),
 	.B1(n_5531),
 	.Y(n_8018), 
@@ -156752,7 +153375,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433210 (
-	.A1(FE_OFN1663_n_7791),
+	.A1(FE_OFN1841_n_7791),
 	.A2(n_2679),
 	.B1(n_5536),
 	.Y(n_8017), 
@@ -156761,7 +153384,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433211 (
-	.A1(FE_OFN1660_n_7785),
+	.A1(n_7785),
 	.A2(n_2679),
 	.B1(n_5577),
 	.Y(n_8016), 
@@ -156770,7 +153393,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433212 (
-	.A1(FE_OFN1664_n_7793),
+	.A1(FE_OFN18714_n_7793),
 	.A2(n_2679),
 	.B1(n_5580),
 	.Y(n_8015), 
@@ -156780,7 +153403,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433213 (
 	.A1(n_1212),
-	.A2(FE_OFN1665_n_7795),
+	.A2(FE_OFN1843_n_7795),
 	.B1(n_1963),
 	.Y(n_8014), 
 	.VPWR(vccd1), 
@@ -156788,8 +153411,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433214 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1665_n_7795),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1843_n_7795),
 	.B1(n_2342),
 	.Y(n_8013), 
 	.VPWR(vccd1), 
@@ -156797,8 +153420,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433215 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1659_n_7783),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1839_n_7783),
 	.B1(n_2335),
 	.Y(n_8012), 
 	.VPWR(vccd1), 
@@ -156806,8 +153429,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433216 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1654_n_7773),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1835_n_7773),
 	.B1(n_2334),
 	.Y(n_8011), 
 	.VPWR(vccd1), 
@@ -156815,8 +153438,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433217 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1656_n_7777),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1836_n_7777),
 	.B1(n_2333),
 	.Y(n_8010), 
 	.VPWR(vccd1), 
@@ -156824,8 +153447,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433218 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1657_n_7779),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1837_n_7779),
 	.B1(n_2332),
 	.Y(n_8009), 
 	.VPWR(vccd1), 
@@ -156833,8 +153456,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433219 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1658_n_7781),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1838_n_7781),
 	.B1(n_2384),
 	.Y(n_8008), 
 	.VPWR(vccd1), 
@@ -156842,8 +153465,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433220 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1655_n_7775),
+	.A1(FE_OFN1449_n_1848),
+	.A2(n_7775),
 	.B1(n_2329),
 	.Y(n_8007), 
 	.VPWR(vccd1), 
@@ -156851,8 +153474,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433221 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1661_n_7787),
+	.A1(FE_OFN1449_n_1848),
+	.A2(n_7787),
 	.B1(n_2326),
 	.Y(n_8006), 
 	.VPWR(vccd1), 
@@ -156860,8 +153483,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433222 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1662_n_7789),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1840_n_7789),
 	.B1(n_2325),
 	.Y(n_8005), 
 	.VPWR(vccd1), 
@@ -156869,8 +153492,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433223 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1663_n_7791),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1841_n_7791),
 	.B1(n_2324),
 	.Y(n_8004), 
 	.VPWR(vccd1), 
@@ -156878,8 +153501,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433224 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1660_n_7785),
+	.A1(FE_OFN1449_n_1848),
+	.A2(n_7785),
 	.B1(n_2400),
 	.Y(n_8003), 
 	.VPWR(vccd1), 
@@ -156897,7 +153520,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433226 (
-	.A1(FE_OFN927_n_2421),
+	.A1(FE_OFN1534_n_2421),
 	.A2(n_13563),
 	.B1(n_3085),
 	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[5]),
@@ -156910,7 +153533,7 @@
    sky130_fd_sc_hd__a22oi_1 g433227 (
 	.A1(n_7253),
 	.A2(n_7808),
-	.B1(FE_OFN18321_n_6708),
+	.B1(FE_OFN18242_n_6709),
 	.B2(u_soc_u_top_u_core_instr_rdata_id[1]),
 	.Y(n_8000), 
 	.VPWR(vccd1), 
@@ -156920,7 +153543,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g433228 (
 	.A1_N(u_soc_u_top_u_core_debug_ebreakm),
 	.A2_N(n_1415),
-	.B1(FE_OFN1659_n_7783),
+	.B1(FE_OFN1839_n_7783),
 	.B2(n_1415),
 	.Y(n_7999), 
 	.VPWR(vccd1), 
@@ -156928,8 +153551,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g433229 (
-	.A1(FE_OFN18321_n_6708),
-	.A2(FE_OCPN19570_u_soc_u_top_u_core_instr_rdata_id_15),
+	.A1(FE_OFN1191_n_6709),
+	.A2(FE_OFN849_u_soc_u_top_u_core_instr_rdata_id_15),
 	.B1(n_7923),
 	.Y(n_7998), 
 	.VPWR(vccd1), 
@@ -156937,9 +153560,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433230 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7820),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1384),
 	.Y(n_7997), 
 	.VPWR(vccd1), 
@@ -157077,9 +153700,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433244 (
-	.A1(n_7806),
+	.A1(FE_PDN3670_FE_OFN1782_n_7806),
 	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
-	.B1(n_7268),
+	.B1(FE_OFN1772_n_7268),
 	.B2(n_28),
 	.Y(n_8088), 
 	.VPWR(vccd1), 
@@ -157087,9 +153710,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433245 (
-	.A1(n_7806),
+	.A1(FE_PDN3670_FE_OFN1782_n_7806),
 	.A2(n_28),
-	.B1(n_7268),
+	.B1(FE_OFN1772_n_7268),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
 	.Y(n_8087), 
 	.VPWR(vccd1), 
@@ -157097,9 +153720,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g433246 (
-	.A(FE_OFN1000_n_6857),
+	.A(FE_OFN1193_n_6857),
 	.B(n_7392),
-	.C(FE_COEN4879_n_7799),
+	.C(FE_PSN3884_n_7799),
 	.Y(n_8086), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -157107,9 +153730,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433247 (
 	.A1(n_7639),
-	.A2(FE_OFN18215_n_116),
+	.A2(FE_OFN18371_n_116),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
-	.B1(FE_PSN4786_n_13503),
+	.B1(n_13503),
 	.B2(n_1215),
 	.Y(n_8085), 
 	.VPWR(vccd1), 
@@ -157118,7 +153741,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433248 (
 	.A1(n_7637),
-	.A2(n_639),
+	.A2(FE_OFN18137_n_639),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
 	.B1(n_13524),
 	.B2(n_1215),
@@ -157140,7 +153763,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433250 (
 	.A1(n_7635),
-	.A2(FE_OFN18257_n_619),
+	.A2(FE_OFN18122_n_619),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
 	.B1(n_13515),
 	.B2(n_1215),
@@ -157151,7 +153774,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433251 (
 	.A1(n_7636),
-	.A2(FE_OFN18214_n_135),
+	.A2(FE_OFN18103_n_135),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
 	.B1(n_13508),
 	.B2(n_1215),
@@ -157162,7 +153785,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433252 (
 	.A1(n_7634),
-	.A2(n_119),
+	.A2(FE_OFN18126_n_119),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
 	.B1(n_13525),
 	.B2(n_1215),
@@ -157171,87 +153794,94 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g433253 (
+	.A(n_7971),
+	.Y(n_7970), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g433254 (
-	.A(n_7969),
+	.A(FE_OFN1588_n_7969),
 	.Y(n_7968), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433256 (
-	.A(FE_OFN1663_n_7791),
-	.B(FE_OFN18236_n_2678),
+	.A(FE_OFN1841_n_7791),
+	.B(n_2677),
 	.Y(n_7964), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433257 (
-	.A(FE_OFN1662_n_7789),
-	.B(FE_OFN18236_n_2678),
+	.A(FE_OFN1840_n_7789),
+	.B(n_2677),
 	.Y(n_7963), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433258 (
-	.A(FE_OFN1661_n_7787),
-	.B(FE_OFN18236_n_2678),
+	.A(n_7787),
+	.B(n_2677),
 	.Y(n_7962), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433259 (
-	.A(FE_OFN1655_n_7775),
-	.B(FE_OFN18236_n_2678),
+	.A(n_7775),
+	.B(n_2677),
 	.Y(n_7961), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433260 (
-	.A(FE_OFN1658_n_7781),
-	.B(FE_OFN18236_n_2678),
+	.A(FE_OFN1838_n_7781),
+	.B(n_2677),
 	.Y(n_7960), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433261 (
-	.A(FE_OFN1657_n_7779),
-	.B(FE_OFN18236_n_2678),
+	.A(FE_OFN1837_n_7779),
+	.B(n_2677),
 	.Y(n_7959), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433262 (
-	.A(FE_OFN1656_n_7777),
-	.B(FE_OFN18236_n_2678),
+	.A(FE_OFN1836_n_7777),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_7958), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433263 (
-	.A(FE_OFN1654_n_7773),
-	.B(FE_OFN18236_n_2678),
+	.A(FE_OFN1835_n_7773),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_7957), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433264 (
-	.A(FE_OFN1659_n_7783),
-	.B(FE_OFN18236_n_2678),
+	.A(FE_OFN1839_n_7783),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_7956), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433265 (
-	.A(FE_OFN1660_n_7785),
+	.A(n_7785),
 	.B(n_2675),
 	.Y(n_7955), 
 	.VPWR(vccd1), 
@@ -157259,7 +153889,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433266 (
-	.A(FE_OFN1663_n_7791),
+	.A(FE_OFN1841_n_7791),
 	.B(n_2675),
 	.Y(n_7954), 
 	.VPWR(vccd1), 
@@ -157267,7 +153897,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433267 (
-	.A(FE_OFN1662_n_7789),
+	.A(FE_OFN1840_n_7789),
 	.B(n_2675),
 	.Y(n_7953), 
 	.VPWR(vccd1), 
@@ -157275,7 +153905,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433268 (
-	.A(FE_OFN1661_n_7787),
+	.A(n_7787),
 	.B(n_2675),
 	.Y(n_7952), 
 	.VPWR(vccd1), 
@@ -157283,7 +153913,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433269 (
-	.A(FE_OFN1658_n_7781),
+	.A(FE_OFN1838_n_7781),
 	.B(n_2675),
 	.Y(n_7951), 
 	.VPWR(vccd1), 
@@ -157291,7 +153921,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433270 (
-	.A(FE_OFN1655_n_7775),
+	.A(n_7775),
 	.B(n_2675),
 	.Y(n_7950), 
 	.VPWR(vccd1), 
@@ -157299,7 +153929,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433271 (
-	.A(FE_OFN1657_n_7779),
+	.A(FE_OFN1837_n_7779),
 	.B(n_2675),
 	.Y(n_7949), 
 	.VPWR(vccd1), 
@@ -157307,7 +153937,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433272 (
-	.A(FE_OFN1656_n_7777),
+	.A(FE_OFN1836_n_7777),
 	.B(n_2675),
 	.Y(n_7948), 
 	.VPWR(vccd1), 
@@ -157315,23 +153945,23 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433273 (
-	.A(FE_OFN1654_n_7773),
-	.B(n_2675),
+	.A(FE_OFN1835_n_7773),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_7947), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433274 (
-	.A(FE_OFN1659_n_7783),
-	.B(n_2675),
+	.A(FE_OFN1839_n_7783),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_7946), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433275 (
-	.A(FE_OFN1664_n_7793),
+	.A(FE_OFN18714_n_7793),
 	.B(n_2254),
 	.Y(n_7945), 
 	.VPWR(vccd1), 
@@ -157339,7 +153969,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433276 (
-	.A(FE_OFN1660_n_7785),
+	.A(n_7785),
 	.B(n_2254),
 	.Y(n_7944), 
 	.VPWR(vccd1), 
@@ -157347,7 +153977,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433277 (
-	.A(FE_OFN1663_n_7791),
+	.A(FE_OFN1841_n_7791),
 	.B(n_2254),
 	.Y(n_7943), 
 	.VPWR(vccd1), 
@@ -157355,7 +153985,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433278 (
-	.A(FE_OFN1662_n_7789),
+	.A(FE_OFN1840_n_7789),
 	.B(n_2254),
 	.Y(n_7942), 
 	.VPWR(vccd1), 
@@ -157363,7 +153993,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433279 (
-	.A(FE_OFN1661_n_7787),
+	.A(n_7787),
 	.B(n_2254),
 	.Y(n_7941), 
 	.VPWR(vccd1), 
@@ -157371,7 +154001,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433280 (
-	.A(FE_OFN1655_n_7775),
+	.A(n_7775),
 	.B(n_2254),
 	.Y(n_7940), 
 	.VPWR(vccd1), 
@@ -157379,7 +154009,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433281 (
-	.A(FE_OFN1658_n_7781),
+	.A(FE_OFN1838_n_7781),
 	.B(n_2254),
 	.Y(n_7939), 
 	.VPWR(vccd1), 
@@ -157387,7 +154017,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433282 (
-	.A(FE_OFN1657_n_7779),
+	.A(FE_OFN1837_n_7779),
 	.B(n_2254),
 	.Y(n_7938), 
 	.VPWR(vccd1), 
@@ -157395,7 +154025,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433283 (
-	.A(FE_OFN1656_n_7777),
+	.A(FE_OFN1836_n_7777),
 	.B(n_2254),
 	.Y(n_7937), 
 	.VPWR(vccd1), 
@@ -157403,7 +154033,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433284 (
-	.A(FE_OFN1654_n_7773),
+	.A(FE_OFN1835_n_7773),
 	.B(n_2254),
 	.Y(n_7936), 
 	.VPWR(vccd1), 
@@ -157411,7 +154041,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433285 (
-	.A(FE_OFN1659_n_7783),
+	.A(FE_OFN1839_n_7783),
 	.B(n_2254),
 	.Y(n_7935), 
 	.VPWR(vccd1), 
@@ -157419,15 +154049,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433286 (
-	.A(FE_OFN1664_n_7793),
-	.B(FE_OFN18236_n_2678),
+	.A(FE_OFN18714_n_7793),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_7934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433287 (
-	.A(FE_OFN1664_n_7793),
+	.A(FE_OFN18714_n_7793),
 	.B(n_2675),
 	.Y(n_7933), 
 	.VPWR(vccd1), 
@@ -157435,16 +154065,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433288 (
-	.A(FE_OFN1665_n_7795),
-	.B(n_2677),
+	.A(FE_OFN1843_n_7795),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_7932), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433289 (
-	.A(FE_OFN1665_n_7795),
-	.B(n_2676),
+	.A(FE_OFN1843_n_7795),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_7931), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -157492,7 +154122,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433295 (
 	.A(n_5946),
-	.B(FE_COEN4879_n_7799),
+	.B(FE_PSN3884_n_7799),
 	.Y(n_7925), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -157515,8 +154145,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433299 (
-	.A(FE_OFN1404_n_1848),
-	.B(FE_OFN1664_n_7793),
+	.A(FE_OFN1449_n_1848),
+	.B(FE_OFN18714_n_7793),
 	.Y(n_7983), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -157524,7 +154154,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__or2_1 g433301 (
 	.A(n_7808),
-	.B(n_7252),
+	.B(FE_OFN18136_n_7253),
 	.X(n_7982), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -157641,7 +154271,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433343 (
-	.A(FE_COEN4879_n_7799),
+	.A(FE_PSN3884_n_7799),
 	.B(n_7625),
 	.Y(n_7966), 
 	.VPWR(vccd1), 
@@ -157664,21 +154294,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433350 (
-	.A(FE_OFN1668_n_7913),
+	.A(FE_OFN1846_n_7913),
 	.Y(n_7912), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433351 (
-	.A(FE_OFN1667_n_7911),
+	.A(FE_OFN1845_n_7911),
 	.Y(n_7910), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433352 (
-	.A(FE_OFN1666_n_7909),
+	.A(FE_OFN1844_n_7909),
 	.Y(n_7908), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -157686,7 +154316,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g433353 (
 	.A1(n_6604),
-	.A2(FE_OFN18240_n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_5225),
 	.C1(n_7376),
 	.D1(n_5570),
@@ -157696,8 +154326,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433354 (
-	.A(FE_OFN1660_n_7785),
-	.B(FE_OFN18236_n_2678),
+	.A(n_7785),
+	.B(n_2677),
 	.Y(n_7905), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -157706,7 +154336,7 @@
    sky130_fd_sc_hd__o22ai_1 g433355 (
 	.A1(n_7270),
 	.A2(n_7632),
-	.B1(FE_PSN4181_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0),
+	.B1(FE_OFN18271_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0),
 	.B2(n_7394),
 	.Y(n_7904), 
 	.VPWR(vccd1), 
@@ -157717,7 +154347,7 @@
 	.A1(n_2419),
 	.A2(n_6640),
 	.B1(n_2986),
-	.B2(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.B2(FE_OFN904_u_soc_xbar_to_dccm_a_address__4),
 	.C1(n_7566),
 	.X(n_7903), 
 	.VPWR(vccd1), 
@@ -157725,7 +154355,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g433357 (
-	.A_N(FE_COEN4876_n_7805),
+	.A_N(n_7805),
 	.B(n_7628),
 	.Y(n_7902), 
 	.VPWR(vccd1), 
@@ -157753,9 +154383,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g433360 (
 	.A1_N(n_7635),
-	.A2_N(n_1216),
+	.A2_N(FE_OFN1443_n_1217),
 	.B1(n_1179),
-	.B2(FE_OFN976_n_5364),
+	.B2(FE_OFN1167_n_5364),
 	.Y(n_7899), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -157763,9 +154393,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g433361 (
 	.A1_N(n_7638),
-	.A2_N(n_1216),
+	.A2_N(FE_OFN1443_n_1217),
 	.B1(n_1176),
-	.B2(FE_OFN976_n_5364),
+	.B2(FE_OFN1167_n_5364),
 	.Y(n_7898), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -157773,9 +154403,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g433362 (
 	.A1_N(n_7637),
-	.A2_N(n_1216),
+	.A2_N(FE_OFN1443_n_1217),
 	.B1(n_1200),
-	.B2(FE_OFN976_n_5364),
+	.B2(FE_OFN1167_n_5364),
 	.Y(n_7897), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -157783,9 +154413,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g433363 (
 	.A1_N(n_7634),
-	.A2_N(n_1216),
+	.A2_N(FE_OFN1443_n_1217),
 	.B1(n_1185),
-	.B2(FE_OFN976_n_5364),
+	.B2(FE_OFN1167_n_5364),
 	.Y(n_7896), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -157793,9 +154423,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g433364 (
 	.A1(n_1021),
-	.A2(FE_OFN976_n_5364),
+	.A2(FE_OFN1167_n_5364),
 	.B1(n_7399),
-	.B2(FE_OFN1401_n_1217),
+	.B2(n_1216),
 	.C1(n_3049),
 	.Y(n_7895), 
 	.VPWR(vccd1), 
@@ -157833,9 +154463,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433368 (
 	.A1(n_5939),
-	.A2(FE_OFN18449_n_15945),
+	.A2(FE_OFN18404_n_15945),
 	.B1(n_5938),
-	.B2(n_13418),
+	.B2(FE_OFN19049_n),
 	.C1(n_7651),
 	.Y(n_7891), 
 	.VPWR(vccd1), 
@@ -157843,7 +154473,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433369 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[4]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[4]),
@@ -157855,7 +154485,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g433370 (
 	.A1(n_6794),
-	.A2(FE_OFN18240_n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_5131),
 	.C1(n_7377),
 	.D1(n_5567),
@@ -157866,7 +154496,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g433371 (
 	.A1(n_6798),
-	.A2(FE_OFN18240_n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_5130),
 	.C1(n_7378),
 	.D1(n_5566),
@@ -157899,7 +154529,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433374 (
 	.A1(n_6607),
-	.A2(FE_OFN18240_n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_7656),
 	.Y(n_7885), 
 	.VPWR(vccd1), 
@@ -157907,10 +154537,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433375 (
-	.A1(FE_OFN18274_n_2418),
+	.A1(n_2418),
 	.A2(n_1367),
 	.B1(n_2986),
-	.B2(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
+	.B2(\u_soc_lsu_to_xbar[a_address] [28]),
 	.C1(n_7559),
 	.Y(n_7884), 
 	.VPWR(vccd1), 
@@ -157938,10 +154568,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433378 (
-	.A1(FE_OFN18274_n_2418),
+	.A1(n_2418),
 	.A2(n_1363),
 	.B1(n_2986),
-	.B2(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
+	.B2(FE_OFN882_u_soc_lsu_to_xbar_a_address__26),
 	.C1(n_7562),
 	.Y(n_7881), 
 	.VPWR(vccd1), 
@@ -157959,9 +154589,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g433380 (
-	.A(n_2961),
+	.A(n_7355),
 	.B(n_2615),
-	.C(n_7355),
+	.C(n_2961),
 	.D(n_2634),
 	.Y(n_7879), 
 	.VPWR(vccd1), 
@@ -157978,7 +154608,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_1 g433382 (
+   sky130_fd_sc_hd__nand4_2 g433382 (
 	.A(n_2931),
 	.B(n_2625),
 	.C(n_7357),
@@ -158018,10 +154648,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433386 (
-	.A1(FE_OFN18274_n_2418),
+	.A1(n_2418),
 	.A2(n_1392),
 	.B1(n_2986),
-	.B2(FE_COEN4267_u_soc_lsu_to_xbar_a_address_25),
+	.B2(FE_OFN883_u_soc_lsu_to_xbar_a_address__25),
 	.C1(n_7564),
 	.Y(n_7873), 
 	.VPWR(vccd1), 
@@ -158211,8 +154841,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433407 (
-	.A1(FE_OFN1650_n_7611),
-	.A2(n_2674),
+	.A1(FE_OFN19133_n_7611),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5523),
 	.Y(n_7852), 
 	.VPWR(vccd1), 
@@ -158220,8 +154850,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433408 (
-	.A1(FE_OFN1652_n_7615),
-	.A2(n_2674),
+	.A1(FE_OFN1833_n_7615),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5519),
 	.Y(n_7851), 
 	.VPWR(vccd1), 
@@ -158229,8 +154859,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433409 (
-	.A1(FE_OFN1653_n_7617),
-	.A2(n_2674),
+	.A1(FE_OFN1834_n_7617),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5517),
 	.Y(n_7850), 
 	.VPWR(vccd1), 
@@ -158238,8 +154868,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433410 (
-	.A1(FE_OFN1649_n_7557),
-	.A2(n_2674),
+	.A1(FE_OFN1831_n_7557),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5514),
 	.Y(n_7849), 
 	.VPWR(vccd1), 
@@ -158247,8 +154877,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433411 (
-	.A1(FE_OFN1648_n_7555),
-	.A2(n_2674),
+	.A1(FE_OFN1830_n_7555),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5513),
 	.Y(n_7848), 
 	.VPWR(vccd1), 
@@ -158267,8 +154897,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433413 (
-	.A1(FE_OFN1651_n_7613),
-	.A2(FE_OFN18221_n_2674),
+	.A1(FE_OFN1832_n_7613),
+	.A2(FE_OFN1535_n_2674),
 	.B1(n_5509),
 	.Y(n_7846), 
 	.VPWR(vccd1), 
@@ -158276,8 +154906,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433414 (
-	.A1(FE_OFN1650_n_7611),
-	.A2(n_2680),
+	.A1(FE_OFN19133_n_7611),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5491),
 	.Y(n_7845), 
 	.VPWR(vccd1), 
@@ -158285,8 +154915,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433415 (
-	.A1(FE_OFN1652_n_7615),
-	.A2(n_2680),
+	.A1(FE_OFN1833_n_7615),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5487),
 	.Y(n_7844), 
 	.VPWR(vccd1), 
@@ -158294,8 +154924,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433416 (
-	.A1(FE_OFN1653_n_7617),
-	.A2(n_2680),
+	.A1(FE_OFN1834_n_7617),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5485),
 	.Y(n_7843), 
 	.VPWR(vccd1), 
@@ -158303,8 +154933,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433417 (
-	.A1(FE_OFN1649_n_7557),
-	.A2(n_2680),
+	.A1(FE_OFN1831_n_7557),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5482),
 	.Y(n_7842), 
 	.VPWR(vccd1), 
@@ -158312,8 +154942,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433418 (
-	.A1(FE_OFN1648_n_7555),
-	.A2(n_2680),
+	.A1(FE_OFN1830_n_7555),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5481),
 	.Y(n_7841), 
 	.VPWR(vccd1), 
@@ -158321,8 +154951,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433419 (
-	.A1(FE_OFN1651_n_7613),
-	.A2(n_2679),
+	.A1(FE_OFN1832_n_7613),
+	.A2(FE_OFN1536_n_2680),
 	.B1(n_5477),
 	.Y(n_7840), 
 	.VPWR(vccd1), 
@@ -158331,7 +154961,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433420 (
 	.A1(n_1212),
-	.A2(FE_OFN1652_n_7615),
+	.A2(FE_OFN1833_n_7615),
 	.B1(n_1962),
 	.Y(n_7839), 
 	.VPWR(vccd1), 
@@ -158340,7 +154970,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433421 (
 	.A1(n_1212),
-	.A2(FE_OFN1653_n_7617),
+	.A2(FE_OFN1834_n_7617),
 	.B1(n_1964),
 	.Y(n_7838), 
 	.VPWR(vccd1), 
@@ -158349,7 +154979,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433422 (
 	.A1(n_1212),
-	.A2(FE_OFN1649_n_7557),
+	.A2(FE_OFN1831_n_7557),
 	.B1(n_1967),
 	.Y(n_7837), 
 	.VPWR(vccd1), 
@@ -158358,7 +154988,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433423 (
 	.A1(n_1212),
-	.A2(FE_OFN1648_n_7555),
+	.A2(FE_OFN1830_n_7555),
 	.B1(n_1968),
 	.Y(n_7836), 
 	.VPWR(vccd1), 
@@ -158367,7 +154997,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433424 (
 	.A1(n_1212),
-	.A2(FE_OFN1651_n_7613),
+	.A2(FE_OFN1832_n_7613),
 	.B1(n_1971),
 	.Y(n_7835), 
 	.VPWR(vccd1), 
@@ -158375,8 +155005,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433425 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1653_n_7617),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1834_n_7617),
 	.B1(n_2341),
 	.Y(n_7834), 
 	.VPWR(vccd1), 
@@ -158384,8 +155014,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433426 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1649_n_7557),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1831_n_7557),
 	.B1(n_2340),
 	.Y(n_7833), 
 	.VPWR(vccd1), 
@@ -158393,8 +155023,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433427 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1648_n_7555),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1830_n_7555),
 	.B1(n_2339),
 	.Y(n_7832), 
 	.VPWR(vccd1), 
@@ -158403,7 +155033,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433428 (
 	.A1(n_7038),
-	.A2(FE_OFN18240_n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_7679),
 	.Y(n_7831), 
 	.VPWR(vccd1), 
@@ -158411,8 +155041,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g433429 (
-	.A1(FE_OFN1404_n_1848),
-	.A2(FE_OFN1651_n_7613),
+	.A1(FE_OFN1449_n_1848),
+	.A2(FE_OFN1832_n_7613),
 	.B1(n_2336),
 	.Y(n_7830), 
 	.VPWR(vccd1), 
@@ -158422,7 +155052,7 @@
    sky130_fd_sc_hd__a22oi_1 g433430 (
 	.A1(n_7642),
 	.A2(n_5963),
-	.B1(n_6708),
+	.B1(FE_OFN1191_n_6709),
 	.B2(u_soc_u_top_u_core_instr_rdata_id[8]),
 	.Y(n_7829), 
 	.VPWR(vccd1), 
@@ -158440,9 +155070,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433432 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7639),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1346),
 	.Y(n_7827), 
 	.VPWR(vccd1), 
@@ -158450,9 +155080,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433433 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7636),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1382),
 	.Y(n_7826), 
 	.VPWR(vccd1), 
@@ -158461,7 +155091,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433434 (
 	.A1(n_7277),
-	.A2(n_1751),
+	.A2(FE_OFN1447_n_1751),
 	.B1(n_3084),
 	.Y(n_7922), 
 	.VPWR(vccd1), 
@@ -158480,7 +155110,7 @@
    sky130_fd_sc_hd__a221oi_1 g433436 (
 	.A1(n_1409),
 	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[11]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[11]),
 	.C1(n_7737),
 	.Y(n_7920), 
@@ -158489,7 +155119,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433437 (
-	.A(FE_OFN1000_n_6857),
+	.A(FE_PSN3972_FE_OFN1193_n_6857),
 	.B(n_7683),
 	.Y(n_7919), 
 	.VPWR(vccd1), 
@@ -158515,8 +155145,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g433440 (
-	.A(FE_OFN1000_n_6857),
-	.B_N(FE_COEN4876_n_7805),
+	.A(FE_PSN3972_FE_OFN1193_n_6857),
+	.B_N(n_7805),
 	.Y(n_7915), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -158536,7 +155166,7 @@
 	.A1(n_7402),
 	.A2(n_634),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
-	.B1(FE_COEN4313_n_13496),
+	.B1(n_13496),
 	.B2(n_1215),
 	.Y(n_7913), 
 	.VPWR(vccd1), 
@@ -158545,9 +155175,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433443 (
 	.A1(n_7398),
-	.A2(FE_OFN18223_n_109),
+	.A2(FE_OFN18108_n_109),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
-	.B1(FE_PSN4142_n_13502),
+	.B1(n_13502),
 	.B2(n_1215),
 	.Y(n_7911), 
 	.VPWR(vccd1), 
@@ -158556,9 +155186,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433444 (
 	.A1(n_7397),
-	.A2(FE_OFN18234_n_113),
+	.A2(FE_OFN18148_n_113),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
-	.B1(FE_PSN4379_n_13507),
+	.B1(n_13507),
 	.B2(n_1215),
 	.Y(n_7909), 
 	.VPWR(vccd1), 
@@ -158567,7 +155197,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433445 (
 	.A(n_7771),
-	.B(FE_OFN18202_n_7609),
+	.B(n_7608),
 	.Y(n_7907), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -158581,16 +155211,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433447 (
-	.A(FE_COEN4877_n_5828),
-	.B(FE_OFN18203_n_7609),
+	.A(FE_OFN18725_n_5828),
+	.B(FE_OFN18097_n_7609),
 	.Y(n_7823), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433448 (
-	.A(FE_OFN1614_n_5826),
-	.B(n_7609),
+	.A(FE_OFN1826_n_5826),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7822), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -158598,7 +155228,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433449 (
 	.A1(n_7342),
-	.A2(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN18510_n),
 	.B1(n_7338),
 	.Y(n_7821), 
 	.VPWR(vccd1), 
@@ -158614,139 +155244,139 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g433605 (
-	.A(FE_COEN4879_n_7799),
+	.A(FE_PSN3884_n_7799),
 	.Y(n_7798), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g433606 (
-	.A(FE_OFN1665_n_7795),
+	.A(FE_OFN1843_n_7795),
 	.Y(n_7794), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433607 (
-	.A(FE_OFN1664_n_7793),
+	.A(FE_OFN18714_n_7793),
 	.Y(n_7792), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433608 (
-	.A(FE_OFN1663_n_7791),
+	.A(FE_OFN1841_n_7791),
 	.Y(n_7790), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433609 (
-	.A(FE_OFN1662_n_7789),
+	.A(FE_OFN1840_n_7789),
 	.Y(n_7788), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433610 (
-	.A(FE_OFN1661_n_7787),
+	.A(n_7787),
 	.Y(n_7786), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433611 (
-	.A(FE_OFN1660_n_7785),
+	.A(n_7785),
 	.Y(n_7784), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433612 (
-	.A(FE_OFN1659_n_7783),
+	.A(FE_OFN1839_n_7783),
 	.Y(n_7782), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433613 (
-	.A(FE_OFN1658_n_7781),
+	.A(FE_OFN1838_n_7781),
 	.Y(n_7780), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433614 (
-	.A(FE_OFN1657_n_7779),
+	.A(FE_OFN1837_n_7779),
 	.Y(n_7778), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433615 (
-	.A(FE_OFN1656_n_7777),
+	.A(FE_OFN1836_n_7777),
 	.Y(n_7776), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433616 (
-	.A(FE_OFN1655_n_7775),
+	.A(n_7775),
 	.Y(n_7774), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433617 (
-	.A(FE_OFN1654_n_7773),
+	.A(FE_OFN1835_n_7773),
 	.Y(n_7772), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433618 (
-	.A(FE_OFN1648_n_7555),
-	.B(n_2678),
+	.A(FE_OFN1830_n_7555),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_7769), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433619 (
-	.A(n_5832),
-	.B(FE_OFN18203_n_7609),
+	.A(n_5831),
+	.B(FE_OFN18097_n_7609),
 	.Y(n_7768), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433620 (
-	.A(FE_OFN1616_n_5834),
-	.B(n_7609),
+	.A(FE_OFN1828_n_5834),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7767), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433621 (
-	.A(FE_OFN1617_n_5836),
-	.B(n_7609),
+	.A(FE_OFN1829_n_5836),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7766), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433622 (
-	.A(FE_COEN4299_n_5838),
-	.B(FE_OFN18203_n_7609),
+	.A(FE_OFN19021_n_5838),
+	.B(FE_OFN18097_n_7609),
 	.Y(n_7765), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433623 (
-	.A(n_5840),
-	.B(FE_OFN18203_n_7609),
+	.A(n_5839),
+	.B(FE_OFN18097_n_7609),
 	.Y(n_7764), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -158754,7 +155384,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433624 (
 	.A(n_5841),
-	.B(FE_OFN18203_n_7609),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7763), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -158762,119 +155392,119 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433625 (
 	.A(n_5844),
-	.B(FE_OFN18203_n_7609),
+	.B(FE_OFN18097_n_7609),
 	.Y(n_7762), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433626 (
-	.A(FE_OFN1618_n_5846),
-	.B(n_7609),
+	.A(FE_OFN18711_n_5846),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7761), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433627 (
-	.A(n_5848),
-	.B(FE_OFN18203_n_7609),
+	.A(FE_PSN3963_n_5848),
+	.B(FE_OFN18097_n_7609),
 	.Y(n_7760), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433628 (
-	.A(FE_OFN18969_n_5850),
-	.B(n_7609),
+	.A(FE_PSN3893_n_5850),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7759), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433629 (
-	.A(FE_OFN1619_n_5852),
-	.B(n_7609),
+	.A(FE_OFN18695_n_5852),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7758), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433630 (
-	.A(FE_COEN4266_n_5854),
-	.B(FE_OFN18203_n_7609),
+	.A(n_5854),
+	.B(FE_OFN18097_n_7609),
 	.Y(n_7757), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433631 (
-	.A(FE_OFN1620_n_5856),
-	.B(FE_OFN18203_n_7609),
+	.A(n_5856),
+	.B(FE_OFN18097_n_7609),
 	.Y(n_7756), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433632 (
-	.A(FE_OFN1614_n_5826),
-	.B(n_7607),
+	.A(FE_OFN1826_n_5826),
+	.B(FE_OFN18095_n_7607),
 	.Y(n_7755), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433633 (
-	.A(FE_COEN4877_n_5828),
-	.B(FE_OFN18328_n_7607),
+	.A(FE_OFN18725_n_5828),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7754), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433634 (
-	.A(FE_OFN1615_n_5830),
-	.B(n_7607),
+	.A(FE_OFN1827_n_5830),
+	.B(FE_OFN18095_n_7607),
 	.Y(n_7753), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433635 (
-	.A(n_5832),
-	.B(FE_OFN18328_n_7607),
+	.A(n_5831),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7752), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433636 (
-	.A(FE_OFN1616_n_5834),
-	.B(n_7607),
+	.A(FE_OFN1828_n_5834),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7751), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433637 (
-	.A(FE_OFN1617_n_5836),
-	.B(n_7607),
+	.A(FE_OFN1829_n_5836),
+	.B(FE_OFN18095_n_7607),
 	.Y(n_7750), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433638 (
-	.A(FE_COEN4299_n_5838),
-	.B(FE_OFN18328_n_7607),
+	.A(FE_OFN19021_n_5838),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7749), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433639 (
-	.A(n_5840),
-	.B(FE_OFN18328_n_7607),
+	.A(n_5839),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7748), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -158882,55 +155512,55 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433640 (
 	.A(n_5844),
-	.B(FE_OFN18328_n_7607),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7747), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433641 (
-	.A(FE_OFN1618_n_5846),
-	.B(n_7607),
+	.A(FE_OFN18711_n_5846),
+	.B(FE_OFN18095_n_7607),
 	.Y(n_7746), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433642 (
-	.A(n_5848),
-	.B(FE_OFN18328_n_7607),
+	.A(FE_PSN3963_n_5848),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7745), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433643 (
-	.A(FE_OFN18969_n_5850),
-	.B(n_7607),
+	.A(FE_PSN3893_n_5850),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7744), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433644 (
-	.A(FE_OFN1619_n_5852),
-	.B(n_7607),
+	.A(FE_OFN18695_n_5852),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7743), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433645 (
-	.A(FE_COEN4266_n_5854),
-	.B(FE_OFN18328_n_7607),
+	.A(n_5854),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7742), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433646 (
-	.A(FE_OFN1620_n_5856),
-	.B(FE_OFN18328_n_7607),
+	.A(n_5856),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7741), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -158938,7 +155568,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g433647 (
 	.A1(n_6826),
-	.A2(n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_3044),
 	.C1(n_5582),
 	.D1(n_7244),
@@ -158949,7 +155579,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433648 (
 	.A(n_7339),
-	.B(n_1751),
+	.B(FE_OFN1447_n_1751),
 	.Y(n_7739), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -158966,9 +155596,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433650 (
-	.A1(FE_OFN885_n_1518),
+	.A1(FE_OFN1090_n_1518),
 	.A2(u_soc_u_top_u_core_csr_mepc[11]),
-	.B1(FE_OFN1606_n_1516),
+	.B1(FE_OFN1730_n_1516),
 	.B2(u_soc_u_top_u_core_csr_depc[11]),
 	.C1(n_7352),
 	.X(n_7737), 
@@ -158995,96 +155625,96 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433653 (
-	.A(n_3013),
-	.B(FE_OFN18203_n_7609),
+	.A(FE_PSN3950_FE_OFN1549_n_3013),
+	.B(FE_OFN18097_n_7609),
 	.Y(n_7734), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433654 (
-	.A(FE_OFN1609_n_2989),
-	.B(n_7609),
+	.A(FE_OFN1538_n_2989),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7733), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433655 (
-	.A(FE_OFN1610_n_2991),
-	.B(n_7609),
+	.A(FE_OFN1539_n_2991),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7732), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433656 (
-	.A(n_2992),
-	.B(FE_OFN18203_n_7609),
+	.A(FE_OFN1540_n_2992),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7731), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433657 (
-	.A(FE_OFN18968_n_2994),
-	.B(n_7609),
+	.A(FE_OFN1541_n_2994),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7730), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433658 (
-	.A(n_2997),
-	.B(FE_OFN18203_n_7609),
+	.A(FE_OFN1542_n_2997),
+	.B(FE_OFN18097_n_7609),
 	.Y(n_7729), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433659 (
-	.A(n_2999),
-	.B(FE_OFN18203_n_7609),
+	.A(FE_OFN1543_n_2999),
+	.B(FE_OFN18097_n_7609),
 	.Y(n_7728), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433660 (
-	.A(FE_OFN1611_n_3001),
-	.B(n_7609),
+	.A(FE_OFN1544_n_3001),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7727), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433661 (
-	.A(n_3004),
-	.B(FE_OFN18203_n_7609),
+	.A(FE_OFN1545_n_3004),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7726), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433662 (
-	.A(FE_OFN1613_n_3011),
-	.B(n_7609),
+	.A(FE_OFN1548_n_3011),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7725), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433663 (
-	.A(FE_OFN1612_n_3007),
-	.B(n_7609),
+	.A(FE_OFN1546_n_3007),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7724), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433664 (
-	.A(n_3009),
-	.B(FE_OFN18203_n_7609),
+	.A(FE_OFN1547_n_3009),
+	.B(FE_OFN18097_n_7609),
 	.Y(n_7723), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -159101,9 +155731,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433666 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7397),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1378),
 	.Y(n_7721), 
 	.VPWR(vccd1), 
@@ -159111,9 +155741,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g433667 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7402),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1344),
 	.Y(n_7720), 
 	.VPWR(vccd1), 
@@ -159121,72 +155751,72 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433668 (
-	.A(n_3013),
-	.B(FE_OFN18328_n_7607),
+	.A(FE_PSN3950_FE_OFN1549_n_3013),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7719), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433669 (
-	.A(FE_OFN1609_n_2989),
-	.B(n_7607),
+	.A(FE_OFN1538_n_2989),
+	.B(FE_OFN18095_n_7607),
 	.Y(n_7718), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433670 (
-	.A(FE_OFN1610_n_2991),
-	.B(n_7607),
+	.A(FE_OFN1539_n_2991),
+	.B(FE_OFN18095_n_7607),
 	.Y(n_7717), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433671 (
-	.A(n_2997),
-	.B(FE_OFN18328_n_7607),
+	.A(FE_OFN1542_n_2997),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7716), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433672 (
-	.A(n_2999),
-	.B(FE_OFN18328_n_7607),
+	.A(FE_OFN1543_n_2999),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7715), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433673 (
-	.A(FE_OFN1611_n_3001),
-	.B(n_7607),
+	.A(FE_OFN1544_n_3001),
+	.B(FE_OFN18095_n_7607),
 	.Y(n_7714), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433674 (
-	.A(FE_OFN1613_n_3011),
-	.B(n_7607),
+	.A(FE_OFN1548_n_3011),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7713), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433675 (
-	.A(FE_OFN1612_n_3007),
-	.B(n_7607),
+	.A(FE_OFN1546_n_3007),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7712), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433676 (
-	.A(n_3009),
-	.B(FE_OFN18328_n_7607),
+	.A(FE_OFN1547_n_3009),
+	.B(FE_OFN18094_n_7607),
 	.Y(n_7711), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -159220,9 +155850,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433680 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7294),
-	.B1(FE_OFN18196_n_13380),
+	.B1(FE_OFN18083_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[19]),
 	.C1(n_5926),
 	.Y(n_7707), 
@@ -159241,9 +155871,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433682 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7284),
-	.B1(FE_OFN18196_n_13380),
+	.B1(FE_OFN18083_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[18]),
 	.C1(n_5925),
 	.Y(n_7705), 
@@ -159262,9 +155892,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433684 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7285),
-	.B1(FE_OFN18196_n_13380),
+	.B1(FE_OFN18083_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[16]),
 	.C1(n_5918),
 	.Y(n_7703), 
@@ -159273,32 +155903,32 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433685 (
-	.A(FE_OFN1652_n_7615),
-	.B(n_2676),
+	.A(FE_OFN1833_n_7615),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_7702), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433686 (
-	.A(FE_OFN1653_n_7617),
-	.B(n_2676),
+	.A(FE_OFN1834_n_7617),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_7701), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433687 (
-	.A(FE_OFN1652_n_7615),
-	.B(n_2677),
+	.A(FE_OFN1833_n_7615),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_7700), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433688 (
-	.A(FE_OFN1653_n_7617),
-	.B(n_2677),
+	.A(FE_OFN1834_n_7617),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_7699), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -159325,39 +155955,39 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433691 (
-	.A(FE_OFN1650_n_7611),
-	.B(n_2676),
+	.A(FE_OFN19133_n_7611),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_7696), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433692 (
-	.A(FE_OFN1649_n_7557),
-	.B(n_2676),
+	.A(FE_OFN1831_n_7557),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_7695), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433693 (
-	.A(FE_OFN1648_n_7555),
-	.B(n_2676),
+	.A(FE_OFN1830_n_7555),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_7694), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433694 (
-	.A(FE_OFN1651_n_7613),
-	.B(n_2675),
+	.A(FE_OFN1832_n_7613),
+	.B(FE_OFN1816_n_2676),
 	.Y(n_7693), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433695 (
-	.A(FE_OFN1650_n_7611),
+	.A(FE_OFN19133_n_7611),
 	.B(n_2677),
 	.Y(n_7692), 
 	.VPWR(vccd1), 
@@ -159365,24 +155995,24 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433696 (
-	.A(FE_OFN1649_n_7557),
-	.B(n_2678),
+	.A(FE_OFN1831_n_7557),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_7691), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433697 (
-	.A(FE_OFN1615_n_5830),
-	.B(n_7609),
+	.A(FE_OFN1827_n_5830),
+	.B(FE_OFN18098_n_7609),
 	.Y(n_7690), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433698 (
-	.A(FE_OFN1651_n_7613),
-	.B(FE_OFN18236_n_2678),
+	.A(FE_OFN1832_n_7613),
+	.B(FE_OFN1817_n_2678),
 	.Y(n_7689), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -159408,7 +156038,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433701 (
-	.A(FE_OFN1649_n_7557),
+	.A(FE_OFN1831_n_7557),
 	.B(n_2254),
 	.Y(n_7686), 
 	.VPWR(vccd1), 
@@ -159416,7 +156046,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433702 (
-	.A(FE_OFN1648_n_7555),
+	.A(FE_OFN1830_n_7555),
 	.B(n_2254),
 	.Y(n_7685), 
 	.VPWR(vccd1), 
@@ -159424,7 +156054,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433703 (
-	.A(FE_OFN1651_n_7613),
+	.A(FE_OFN1832_n_7613),
 	.B(n_2254),
 	.Y(n_7684), 
 	.VPWR(vccd1), 
@@ -159461,9 +156091,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433708 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7288),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1345),
 	.C1(n_5539),
 	.Y(n_7679), 
@@ -159681,9 +156311,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433731 (
 	.A1(n_2986),
-	.A2(FE_OFN18195_u_soc_lsu_to_xbar_a_address__31),
+	.A2(FE_PSN3912_FE_OFN877_u_soc_lsu_to_xbar_a_address__31),
 	.B1(n_1746),
-	.B2(FE_PSN4663_FE_OFN18361_n),
+	.B2(FE_OFN18378_u_soc_lsu_to_xbar_a_address__30),
 	.C1(n_7568),
 	.Y(n_7656), 
 	.VPWR(vccd1), 
@@ -159691,9 +156321,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433732 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7281),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1839),
 	.C1(n_7379),
 	.Y(n_7655), 
@@ -159702,9 +156332,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433733 (
-	.A1(FE_OFN997_n_6493),
-	.A2(u_soc_u_top_u_core_alu_adder_result_ex[1]),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN18362_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [1]),
 	.C1(n_7551),
 	.Y(n_7654), 
@@ -159714,7 +156344,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433734 (
 	.A1(n_6825),
-	.A2(FE_OFN18240_n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_7600),
 	.Y(n_7653), 
 	.VPWR(vccd1), 
@@ -159723,7 +156353,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433735 (
 	.A1(n_7341),
-	.A2(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN18510_n),
 	.B1(n_7353),
 	.Y(n_7652), 
 	.VPWR(vccd1), 
@@ -159743,9 +156373,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o221ai_1 g433737 (
 	.A1(n_6805),
-	.A2(FE_OFN18240_n_2420),
+	.A2(FE_OFN18114_n_2420),
 	.B1(n_7287),
-	.B2(FE_OFN1401_n_1217),
+	.B2(n_1216),
 	.C1(n_5899),
 	.Y(n_7650), 
 	.VPWR(vccd1), 
@@ -159808,8 +156438,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433744 (
-	.A(FE_OFN1404_n_1848),
-	.B(FE_OFN1652_n_7615),
+	.A(FE_OFN1449_n_1848),
+	.B(FE_OFN1833_n_7615),
 	.Y(n_7815), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -159892,7 +156522,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4_1 g433754 (
+   sky130_fd_sc_hd__nor4_2 g433754 (
 	.A(n_6866),
 	.B(n_6870),
 	.C(n_7297),
@@ -159953,14 +156583,14 @@
    sky130_fd_sc_hd__nor4_1 g433760 (
 	.A(n_6864),
 	.B(n_6866),
-	.C(n_6871),
+	.C(FE_OFN19139_n_6871),
 	.D(n_7297),
 	.Y(n_7799), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g433761 (
+   sky130_fd_sc_hd__nand4_1 g433761 (
 	.A(n_5636),
 	.B(n_5245),
 	.C(n_1747),
@@ -159980,9 +156610,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433763 (
 	.A1(n_7288),
-	.A2(FE_OFN18442_n_112),
+	.A2(FE_OFN18370_n_112),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
-	.B1(FE_PSN4352_n_13500),
+	.B1(n_13500),
 	.B2(n_1215),
 	.Y(n_7795), 
 	.VPWR(vccd1), 
@@ -159991,7 +156621,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433764 (
 	.A1(n_7293),
-	.A2(FE_OFN1398_n_141),
+	.A2(FE_OFN1439_n_141),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
 	.B1(n_13526),
 	.B2(n_1215),
@@ -160046,9 +156676,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433769 (
 	.A1(n_7286),
-	.A2(FE_OFN18252_n_625),
+	.A2(FE_OFN18139_n_625),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
-	.B1(FE_COEN4683_n_13510),
+	.B1(n_13510),
 	.B2(n_1215),
 	.Y(n_7783), 
 	.VPWR(vccd1), 
@@ -160068,7 +156698,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433771 (
 	.A1(n_7294),
-	.A2(FE_OFN18206_n_638),
+	.A2(FE_OFN18383_n_638),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
 	.B1(n_13514),
 	.B2(n_1215),
@@ -160081,7 +156711,7 @@
 	.A1(n_7284),
 	.A2(n_637),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
-	.B1(FE_PSN4151_n_13513),
+	.B1(n_13513),
 	.B2(n_1215),
 	.Y(n_7777), 
 	.VPWR(vccd1), 
@@ -160090,7 +156720,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433773 (
 	.A1(n_7282),
-	.A2(FE_OFN18211_n_620),
+	.A2(FE_OFN18104_n_620),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
 	.B1(n_13518),
 	.B2(n_1215),
@@ -160101,7 +156731,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433774 (
 	.A1(n_7285),
-	.A2(FE_OFN18235_n_130),
+	.A2(FE_OFN18132_n_130),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
 	.B1(n_13511),
 	.B2(n_1215),
@@ -160171,29 +156801,36 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g433785 (
+	.A(n_7619),
+	.Y(n_7618), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g433786 (
-	.A(FE_OFN1653_n_7617),
+	.A(FE_OFN1834_n_7617),
 	.Y(n_7616), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g433787 (
-	.A(FE_OFN1652_n_7615),
+	.A(FE_OFN1833_n_7615),
 	.Y(n_7614), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g433788 (
-	.A(FE_OFN1651_n_7613),
+	.A(FE_OFN1832_n_7613),
 	.Y(n_7612), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g433789 (
-	.A(FE_OFN1650_n_7611),
+	.A(FE_OFN19133_n_7611),
 	.Y(n_7610), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160227,7 +156864,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g433795 (
 	.A1(n_7256),
-	.A2(FE_OFN1001_n_6859),
+	.A2(FE_OFN18177_n_6859),
 	.B1(n_6861),
 	.Y(n_7602), 
 	.VPWR(vccd1), 
@@ -160236,10 +156873,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433796 (
 	.A1(n_13577),
-	.A2(FE_OFN1503_n_6915),
+	.A2(FE_OFN1580_n_6915),
 	.B1(n_1220),
 	.B2(u_soc_u_top_u_core_csr_depc[1]),
-	.C1(n_6919),
+	.C1(FE_OFN18263_n_6919),
 	.C2(u_soc_u_top_u_core_pc_if[1]),
 	.Y(n_7601), 
 	.VPWR(vccd1), 
@@ -160247,9 +156884,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g433797 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_6932),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1388),
 	.C1(n_5562),
 	.Y(n_7600), 
@@ -160268,7 +156905,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g433799 (
-	.A(FE_COEN4335_n_13401),
+	.A(FE_OFN19043_n_13401),
 	.B(n_13400),
 	.C(n_13406),
 	.D(n_6823),
@@ -160277,23 +156914,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g433801 (
-	.A1(u_soc_u_top_u_core_pc_if[30]),
-	.A2(n_6919),
-	.B1(n_1220),
-	.B2(u_soc_u_top_u_core_csr_depc[30]),
-	.C1(n_13606),
-	.C2(FE_OFN1503_n_6915),
-	.Y(n_7596), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433802 (
 	.A1(u_soc_u_top_u_core_pc_if[28]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_13604),
-	.B2(FE_OFN1503_n_6915),
+	.B2(FE_OFN1580_n_6915),
 	.C1(n_1220),
 	.C2(u_soc_u_top_u_core_csr_depc[28]),
 	.Y(n_7595), 
@@ -160303,11 +156928,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433803 (
 	.A1(u_soc_u_top_u_core_pc_if[27]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_1220),
 	.B2(u_soc_u_top_u_core_csr_depc[27]),
 	.C1(n_13603),
-	.C2(FE_OFN1503_n_6915),
+	.C2(FE_OFN1580_n_6915),
 	.Y(n_7594), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160315,9 +156940,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433804 (
 	.A1(u_soc_u_top_u_core_pc_if[26]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_13602),
-	.B2(FE_OFN1503_n_6915),
+	.B2(FE_OFN1580_n_6915),
 	.C1(n_1220),
 	.C2(u_soc_u_top_u_core_csr_depc[26]),
 	.Y(n_7593), 
@@ -160327,9 +156952,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433805 (
 	.A1(u_soc_u_top_u_core_pc_if[25]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_13601),
-	.B2(FE_OFN1503_n_6915),
+	.B2(FE_OFN1580_n_6915),
 	.C1(n_1220),
 	.C2(u_soc_u_top_u_core_csr_depc[25]),
 	.Y(n_7592), 
@@ -160339,9 +156964,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433806 (
 	.A1(u_soc_u_top_u_core_pc_if[24]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_13600),
-	.B2(FE_OFN1503_n_6915),
+	.B2(FE_OFN1580_n_6915),
 	.C1(n_1220),
 	.C2(u_soc_u_top_u_core_csr_depc[24]),
 	.Y(n_7591), 
@@ -160351,11 +156976,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433807 (
 	.A1(u_soc_u_top_u_core_pc_if[23]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_1220),
 	.B2(u_soc_u_top_u_core_csr_depc[23]),
 	.C1(n_13599),
-	.C2(FE_OFN1503_n_6915),
+	.C2(FE_OFN1580_n_6915),
 	.Y(n_7590), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160363,11 +156988,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433808 (
 	.A1(u_soc_u_top_u_core_pc_if[22]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_1220),
 	.B2(u_soc_u_top_u_core_csr_depc[22]),
 	.C1(n_13598),
-	.C2(FE_OFN1503_n_6915),
+	.C2(FE_OFN1580_n_6915),
 	.Y(n_7589), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160375,11 +157000,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433809 (
 	.A1(u_soc_u_top_u_core_pc_if[21]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_1220),
 	.B2(u_soc_u_top_u_core_csr_depc[21]),
 	.C1(n_13597),
-	.C2(FE_OFN1503_n_6915),
+	.C2(FE_OFN1580_n_6915),
 	.Y(n_7588), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160387,11 +157012,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433810 (
 	.A1(u_soc_u_top_u_core_pc_if[20]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_1220),
 	.B2(u_soc_u_top_u_core_csr_depc[20]),
 	.C1(n_13596),
-	.C2(FE_OFN1503_n_6915),
+	.C2(FE_OFN1580_n_6915),
 	.Y(n_7587), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160399,9 +157024,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433811 (
 	.A1(u_soc_u_top_u_core_pc_if[19]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_13595),
-	.B2(FE_OFN1503_n_6915),
+	.B2(FE_OFN1580_n_6915),
 	.C1(n_1220),
 	.C2(u_soc_u_top_u_core_csr_depc[19]),
 	.Y(n_7586), 
@@ -160411,9 +157036,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433812 (
 	.A1(u_soc_u_top_u_core_pc_if[18]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_13594),
-	.B2(FE_OFN1503_n_6915),
+	.B2(FE_OFN1580_n_6915),
 	.C1(n_1220),
 	.C2(u_soc_u_top_u_core_csr_depc[18]),
 	.Y(n_7585), 
@@ -160423,11 +157048,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433813 (
 	.A1(u_soc_u_top_u_core_pc_if[17]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_1220),
 	.B2(u_soc_u_top_u_core_csr_depc[17]),
 	.C1(n_13593),
-	.C2(FE_OFN1503_n_6915),
+	.C2(FE_OFN1580_n_6915),
 	.Y(n_7584), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160435,11 +157060,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433814 (
 	.A1(u_soc_u_top_u_core_pc_if[16]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_1220),
 	.B2(u_soc_u_top_u_core_csr_depc[16]),
 	.C1(n_13592),
-	.C2(FE_OFN1503_n_6915),
+	.C2(FE_OFN1580_n_6915),
 	.Y(n_7583), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160447,9 +157072,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433815 (
 	.A1(u_soc_u_top_u_core_pc_if[15]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_13591),
-	.B2(FE_OFN1503_n_6915),
+	.B2(FE_OFN1580_n_6915),
 	.C1(n_1220),
 	.C2(u_soc_u_top_u_core_csr_depc[15]),
 	.Y(n_7582), 
@@ -160459,9 +157084,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433816 (
 	.A1(u_soc_u_top_u_core_pc_if[14]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_13590),
-	.B2(FE_OFN1503_n_6915),
+	.B2(FE_OFN1580_n_6915),
 	.C1(n_1220),
 	.C2(u_soc_u_top_u_core_csr_depc[14]),
 	.Y(n_7581), 
@@ -160471,11 +157096,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433817 (
 	.A1(u_soc_u_top_u_core_pc_if[13]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_1220),
 	.B2(u_soc_u_top_u_core_csr_depc[13]),
 	.C1(n_13589),
-	.C2(FE_OFN1503_n_6915),
+	.C2(FE_OFN1580_n_6915),
 	.Y(n_7580), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160483,11 +157108,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433818 (
 	.A1(u_soc_u_top_u_core_pc_if[12]),
-	.A2(n_6919),
+	.A2(FE_OFN18263_n_6919),
 	.B1(n_1220),
 	.B2(u_soc_u_top_u_core_csr_depc[12]),
 	.C1(n_13588),
-	.C2(FE_OFN1503_n_6915),
+	.C2(FE_OFN1580_n_6915),
 	.Y(n_7579), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160495,11 +157120,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433819 (
 	.A1(u_soc_u_top_u_core_pc_if[11]),
-	.A2(n_6919),
-	.B1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN18263_n_6919),
+	.B1(FE_OFN18510_n),
 	.B2(n_13530),
 	.C1(n_13587),
-	.C2(FE_OFN1503_n_6915),
+	.C2(FE_OFN1580_n_6915),
 	.Y(n_7578), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160507,11 +157132,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433820 (
 	.A1(u_soc_u_top_u_core_pc_if[10]),
-	.A2(n_6919),
-	.B1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN18263_n_6919),
+	.B1(FE_OFN18510_n),
 	.B2(n_13529),
 	.C1(n_13586),
-	.C2(FE_OFN1503_n_6915),
+	.C2(FE_OFN1580_n_6915),
 	.Y(n_7577), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160519,11 +157144,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433821 (
 	.A1(u_soc_u_top_u_core_pc_if[8]),
-	.A2(n_6919),
-	.B1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN18263_n_6919),
+	.B1(FE_OFN18510_n),
 	.B2(n_13527),
 	.C1(n_13584),
-	.C2(FE_OFN1503_n_6915),
+	.C2(FE_OFN1580_n_6915),
 	.Y(n_7576), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160531,10 +157156,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433822 (
 	.A1(n_13582),
-	.A2(FE_OFN1503_n_6915),
-	.B1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN1580_n_6915),
+	.B1(FE_OFN18510_n),
 	.B2(n_13533),
-	.C1(n_6919),
+	.C1(FE_OFN18263_n_6919),
 	.C2(u_soc_u_top_u_core_pc_if[6]),
 	.Y(n_7575), 
 	.VPWR(vccd1), 
@@ -160543,10 +157168,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433823 (
 	.A1(n_13580),
-	.A2(FE_OFN1503_n_6915),
-	.B1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN1580_n_6915),
+	.B1(FE_OFN18510_n),
 	.B2(n_13532),
-	.C1(n_6919),
+	.C1(FE_OFN18263_n_6919),
 	.C2(u_soc_u_top_u_core_pc_if[4]),
 	.Y(n_7574), 
 	.VPWR(vccd1), 
@@ -160563,10 +157188,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g433825 (
 	.A1(n_13578),
-	.A2(FE_OFN1503_n_6915),
-	.B1(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN1580_n_6915),
+	.B1(FE_PSBN19224_u_soc_u_top_u_core_pc_set),
 	.B2(n_13531),
-	.C1(n_6919),
+	.C1(FE_OFN18263_n_6919),
 	.C2(u_soc_u_top_u_core_pc_if[2]),
 	.Y(n_7572), 
 	.VPWR(vccd1), 
@@ -160575,7 +157200,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433826 (
 	.A(n_7388),
-	.B(FE_OFN18258_n_7382),
+	.B(n_7381),
 	.Y(n_7571), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160601,16 +157226,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g433829 (
 	.A1_N(n_7293),
-	.A2_N(n_1216),
+	.A2_N(FE_OFN1443_n_1217),
 	.B1(n_1209),
-	.B2(FE_OFN976_n_5364),
+	.B2(FE_OFN1167_n_5364),
 	.Y(n_7568), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g433830 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6886),
 	.C(n_6924),
 	.Y(n_7567), 
@@ -160620,7 +157245,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g433831 (
 	.A1(n_1178),
-	.A2(FE_OFN976_n_5364),
+	.A2(FE_OFN1167_n_5364),
 	.B1(n_7375),
 	.Y(n_7566), 
 	.VPWR(vccd1), 
@@ -160628,7 +157253,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g433832 (
-	.A(FE_PSN4101_n_7253),
+	.A(n_7253),
 	.B(n_7393),
 	.Y(n_7565), 
 	.VPWR(vccd1), 
@@ -160637,17 +157262,17 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g433833 (
 	.A1_N(n_7280),
-	.A2_N(n_1216),
+	.A2_N(FE_OFN1443_n_1217),
 	.B1(n_1173),
-	.B2(FE_OFN976_n_5364),
+	.B2(FE_OFN1167_n_5364),
 	.Y(n_7564), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433834 (
-	.A(n_6871),
-	.B(n_7382),
+	.A(FE_OFN19139_n_6871),
+	.B(FE_OFN18164_n_7382),
 	.Y(n_7563), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160655,9 +157280,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g433835 (
 	.A1_N(n_7291),
-	.A2_N(n_1216),
+	.A2_N(FE_OFN1443_n_1217),
 	.B1(n_1177),
-	.B2(FE_OFN976_n_5364),
+	.B2(FE_OFN1167_n_5364),
 	.Y(n_7562), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160673,7 +157298,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g433837 (
 	.A(n_7396),
-	.B(n_6858),
+	.B(FE_OFN1194_n_6859),
 	.Y(n_7560), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160681,9 +157306,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g433838 (
 	.A1_N(n_7292),
-	.A2_N(n_1216),
+	.A2_N(FE_OFN1443_n_1217),
 	.B1(n_1175),
-	.B2(FE_OFN976_n_5364),
+	.B2(FE_OFN1167_n_5364),
 	.Y(n_7559), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160699,7 +157324,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g433872 (
 	.A(n_7386),
-	.B(FE_OFN18264_n_7388),
+	.B(n_7387),
 	.Y(n_7642), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160714,7 +157339,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g433877 (
-	.A(FE_OFN18302_n_6869),
+	.A(n_6869),
 	.B(n_7394),
 	.Y(n_7640), 
 	.VPWR(vccd1), 
@@ -160752,7 +157377,7 @@
    sky130_fd_sc_hd__nand4_1 g433883 (
 	.A(n_6854),
 	.B(n_2929),
-	.C(n_2136),
+	.C(FE_OFN1745_n_2136),
 	.D(n_1807),
 	.Y(n_7636), 
 	.VPWR(vccd1), 
@@ -160804,7 +157429,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g433894 (
-	.A(n_7382),
+	.A(FE_OFN18164_n_7382),
 	.B(n_7386),
 	.Y(n_7630), 
 	.VPWR(vccd1), 
@@ -160820,7 +157445,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433897 (
-	.A(n_6858),
+	.A(FE_OFN1194_n_6859),
 	.B(n_7383),
 	.Y(n_7627), 
 	.VPWR(vccd1), 
@@ -160828,15 +157453,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g433901 (
-	.A(FE_OFN18299_n_15911),
-	.B(n_6858),
+	.A(FE_OFN18198_n_15911),
+	.B(FE_OFN1194_n_6859),
 	.Y(n_7626), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g433903 (
-	.A(FE_PSN4101_n_7253),
+	.A(n_7253),
 	.B(n_7391),
 	.Y(n_7625), 
 	.VPWR(vccd1), 
@@ -160844,7 +157469,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g433931 (
-	.A(FE_OFN18302_n_6869),
+	.A(FE_OFN18167_n_6869),
 	.B(n_7393),
 	.Y(n_7624), 
 	.VPWR(vccd1), 
@@ -160852,7 +157477,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g433934 (
-	.A(FE_OFN18258_n_7382),
+	.A(n_7381),
 	.B(n_6856),
 	.Y(n_7622), 
 	.VPWR(vccd1), 
@@ -160860,9 +157485,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g433935 (
-	.A(FE_OFN18302_n_6869),
+	.A(FE_OFN18167_n_6869),
 	.B(n_7271),
-	.C(n_6863),
+	.C(FE_OFN19023_n_6863),
 	.Y(n_7620), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160870,7 +157495,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g433936 (
 	.A(n_7390),
-	.B(n_7252),
+	.B(FE_OFN18136_n_7253),
 	.Y(n_7619), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -160880,7 +157505,7 @@
 	.A1(n_6884),
 	.A2(n_118),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
-	.B1(FE_COEN4276_n_13501),
+	.B1(n_13501),
 	.B2(n_1215),
 	.Y(n_7617), 
 	.VPWR(vccd1), 
@@ -160891,7 +157516,7 @@
 	.A1(n_6930),
 	.A2(n_117),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
-	.B1(FE_COEN4300_n_13499),
+	.B1(n_13499),
 	.B2(n_1215),
 	.Y(n_7615), 
 	.VPWR(vccd1), 
@@ -160900,7 +157525,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g433939 (
 	.A1(n_6932),
-	.A2(FE_OFN18225_n_616),
+	.A2(FE_OFN18127_n_616),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
 	.B1(n_13509),
 	.B2(n_1215),
@@ -160913,7 +157538,7 @@
 	.A1(n_6933),
 	.A2(n_157),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
-	.B1(FE_OFN18326_n_13495),
+	.B1(n_13495),
 	.B2(n_1215),
 	.Y(n_7611), 
 	.VPWR(vccd1), 
@@ -160929,7 +157554,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a211o_1 g433943 (
-	.A1(n_6921),
+	.A1(FE_OFN18111_n_6921),
 	.A2(n_5693),
 	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [1]),
 	.C1(n_7290),
@@ -160939,23 +157564,23 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g433944 (
-	.A(FE_OFN1649_n_7557),
+	.A(FE_OFN1831_n_7557),
 	.Y(n_7556), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g433945 (
-	.A(FE_OFN1648_n_7555),
+	.A(FE_OFN1830_n_7555),
 	.Y(n_7554), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433946 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [1]),
 	.X(n_7553), 
 	.VPWR(vccd1), 
@@ -160963,9 +157588,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433947 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [8]),
 	.X(n_7552), 
 	.VPWR(vccd1), 
@@ -160973,7 +157598,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g433948 (
-	.A1(FE_COEN4302_n_669),
+	.A1(FE_OFN18604_n),
 	.A2(n_5713),
 	.B1(n_5898),
 	.C1(n_5775),
@@ -160984,7 +157609,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g433949 (
-	.A1(FE_OFN927_n_2421),
+	.A1(FE_OFN1534_n_2421),
 	.A2(n_13562),
 	.B1(n_3085),
 	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[4]),
@@ -160995,9 +157620,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433950 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_PDN3701_u_soc_xbar_to_dccm_a_data_14),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [7]),
 	.X(n_7549), 
 	.VPWR(vccd1), 
@@ -161005,9 +157630,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433951 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [1]),
 	.X(n_7548), 
 	.VPWR(vccd1), 
@@ -161015,9 +157640,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433952 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [2]),
 	.X(n_7547), 
 	.VPWR(vccd1), 
@@ -161025,9 +157650,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433953 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [3]),
 	.X(n_7546), 
 	.VPWR(vccd1), 
@@ -161035,9 +157660,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433954 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [4]),
 	.X(n_7545), 
 	.VPWR(vccd1), 
@@ -161045,9 +157670,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433955 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [5]),
 	.X(n_7544), 
 	.VPWR(vccd1), 
@@ -161055,9 +157680,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433956 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [6]),
 	.X(n_7543), 
 	.VPWR(vccd1), 
@@ -161065,9 +157690,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433957 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1719_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [7]),
 	.X(n_7542), 
 	.VPWR(vccd1), 
@@ -161075,9 +157700,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433958 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [8]),
 	.X(n_7541), 
 	.VPWR(vccd1), 
@@ -161085,9 +157710,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433959 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [1]),
 	.X(n_7540), 
 	.VPWR(vccd1), 
@@ -161095,9 +157720,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433960 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [2]),
 	.X(n_7539), 
 	.VPWR(vccd1), 
@@ -161105,9 +157730,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433961 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [3]),
 	.X(n_7538), 
 	.VPWR(vccd1), 
@@ -161115,9 +157740,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433962 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [4]),
 	.X(n_7537), 
 	.VPWR(vccd1), 
@@ -161125,9 +157750,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433963 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [5]),
 	.X(n_7536), 
 	.VPWR(vccd1), 
@@ -161135,9 +157760,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433964 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [6]),
 	.X(n_7535), 
 	.VPWR(vccd1), 
@@ -161145,9 +157770,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433965 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1710_u_soc_xbar_to_dccm_a_data__14),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [7]),
 	.X(n_7534), 
 	.VPWR(vccd1), 
@@ -161155,9 +157780,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433966 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[29] [8]),
 	.X(n_7533), 
 	.VPWR(vccd1), 
@@ -161165,9 +157790,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433967 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_PDN4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [1]),
 	.X(n_7532), 
 	.VPWR(vccd1), 
@@ -161175,9 +157800,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433968 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_PDN4085_FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [2]),
 	.X(n_7531), 
 	.VPWR(vccd1), 
@@ -161185,9 +157810,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433969 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [3]),
 	.X(n_7530), 
 	.VPWR(vccd1), 
@@ -161195,9 +157820,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433970 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [4]),
 	.X(n_7529), 
 	.VPWR(vccd1), 
@@ -161205,9 +157830,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433971 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [5]),
 	.X(n_7528), 
 	.VPWR(vccd1), 
@@ -161215,9 +157840,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433972 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [6]),
 	.X(n_7527), 
 	.VPWR(vccd1), 
@@ -161225,9 +157850,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433973 (
-	.A1(FE_OFN1005_n_6918),
+	.A1(FE_OFN1198_n_6918),
 	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1504_n_7247),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [7]),
 	.X(n_7526), 
 	.VPWR(vccd1), 
@@ -161235,9 +157860,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433974 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[30] [8]),
 	.X(n_7525), 
 	.VPWR(vccd1), 
@@ -161245,9 +157870,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433975 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [1]),
 	.X(n_7524), 
 	.VPWR(vccd1), 
@@ -161255,9 +157880,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433976 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [2]),
 	.X(n_7523), 
 	.VPWR(vccd1), 
@@ -161265,9 +157890,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433977 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [3]),
 	.X(n_7522), 
 	.VPWR(vccd1), 
@@ -161275,9 +157900,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433978 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [4]),
 	.X(n_7521), 
 	.VPWR(vccd1), 
@@ -161285,9 +157910,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433979 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [5]),
 	.X(n_7520), 
 	.VPWR(vccd1), 
@@ -161295,9 +157920,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433980 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_PDN3698_u_soc_xbar_to_dccm_a_data_29),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [6]),
 	.X(n_7519), 
 	.VPWR(vccd1), 
@@ -161305,9 +157930,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433981 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_OFN1694_u_soc_xbar_to_dccm_a_data__30),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [7]),
 	.X(n_7518), 
 	.VPWR(vccd1), 
@@ -161315,9 +157940,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433982 (
-	.A1(FE_OFN1005_n_6918),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1504_n_7247),
+	.A1(FE_OFN1198_n_6918),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1581_n_7247),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[31] [8]),
 	.X(n_7517), 
 	.VPWR(vccd1), 
@@ -161325,9 +157950,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433983 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [1]),
 	.X(n_7516), 
 	.VPWR(vccd1), 
@@ -161335,9 +157960,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433984 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [2]),
 	.X(n_7515), 
 	.VPWR(vccd1), 
@@ -161345,9 +157970,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433985 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [3]),
 	.X(n_7514), 
 	.VPWR(vccd1), 
@@ -161355,9 +157980,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433986 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [4]),
 	.X(n_7513), 
 	.VPWR(vccd1), 
@@ -161365,9 +157990,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433987 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [5]),
 	.X(n_7512), 
 	.VPWR(vccd1), 
@@ -161375,9 +158000,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433988 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [6]),
 	.X(n_7511), 
 	.VPWR(vccd1), 
@@ -161385,9 +158010,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433989 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1720_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [7]),
 	.X(n_7510), 
 	.VPWR(vccd1), 
@@ -161395,9 +158020,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433990 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [8]),
 	.X(n_7509), 
 	.VPWR(vccd1), 
@@ -161405,9 +158030,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433991 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [1]),
 	.X(n_7508), 
 	.VPWR(vccd1), 
@@ -161415,9 +158040,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433992 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [2]),
 	.X(n_7507), 
 	.VPWR(vccd1), 
@@ -161425,9 +158050,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433993 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [3]),
 	.X(n_7506), 
 	.VPWR(vccd1), 
@@ -161435,9 +158060,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433994 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [4]),
 	.X(n_7505), 
 	.VPWR(vccd1), 
@@ -161445,9 +158070,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433995 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [5]),
 	.X(n_7504), 
 	.VPWR(vccd1), 
@@ -161455,9 +158080,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433996 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [6]),
 	.X(n_7503), 
 	.VPWR(vccd1), 
@@ -161465,9 +158090,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433997 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_PDN3701_u_soc_xbar_to_dccm_a_data_14),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [7]),
 	.X(n_7502), 
 	.VPWR(vccd1), 
@@ -161475,9 +158100,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433998 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[45] [8]),
 	.X(n_7501), 
 	.VPWR(vccd1), 
@@ -161485,9 +158110,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g433999 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [1]),
 	.X(n_7500), 
 	.VPWR(vccd1), 
@@ -161495,9 +158120,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434000 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [2]),
 	.X(n_7499), 
 	.VPWR(vccd1), 
@@ -161505,9 +158130,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434001 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [3]),
 	.X(n_7498), 
 	.VPWR(vccd1), 
@@ -161515,9 +158140,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434002 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [4]),
 	.X(n_7497), 
 	.VPWR(vccd1), 
@@ -161525,9 +158150,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434003 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [5]),
 	.X(n_7496), 
 	.VPWR(vccd1), 
@@ -161535,9 +158160,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434004 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [6]),
 	.X(n_7495), 
 	.VPWR(vccd1), 
@@ -161545,9 +158170,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434005 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1702_u_soc_xbar_to_dccm_a_data__22),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [7]),
 	.X(n_7494), 
 	.VPWR(vccd1), 
@@ -161555,9 +158180,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434006 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[46] [8]),
 	.X(n_7493), 
 	.VPWR(vccd1), 
@@ -161565,9 +158190,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434007 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [1]),
 	.X(n_7492), 
 	.VPWR(vccd1), 
@@ -161575,9 +158200,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434008 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [2]),
 	.X(n_7491), 
 	.VPWR(vccd1), 
@@ -161585,9 +158210,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434009 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [3]),
 	.X(n_7490), 
 	.VPWR(vccd1), 
@@ -161595,9 +158220,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434010 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [4]),
 	.X(n_7489), 
 	.VPWR(vccd1), 
@@ -161605,9 +158230,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434011 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [5]),
 	.X(n_7488), 
 	.VPWR(vccd1), 
@@ -161615,9 +158240,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434012 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_OFN1695_u_soc_xbar_to_dccm_a_data__29),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [6]),
 	.X(n_7487), 
 	.VPWR(vccd1), 
@@ -161625,9 +158250,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434013 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_PDN4060_u_soc_xbar_to_dccm_a_data_30),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [7]),
 	.X(n_7486), 
 	.VPWR(vccd1), 
@@ -161635,9 +158260,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434014 (
-	.A1(FE_OFN1004_n_6917),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1508_n_7251),
+	.A1(FE_OFN1197_n_6917),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1585_n_7251),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[47] [8]),
 	.X(n_7485), 
 	.VPWR(vccd1), 
@@ -161645,9 +158270,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434015 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [2]),
 	.X(n_7484), 
 	.VPWR(vccd1), 
@@ -161655,9 +158280,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434016 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [3]),
 	.X(n_7483), 
 	.VPWR(vccd1), 
@@ -161665,9 +158290,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434017 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [4]),
 	.X(n_7482), 
 	.VPWR(vccd1), 
@@ -161675,9 +158300,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434018 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [5]),
 	.X(n_7481), 
 	.VPWR(vccd1), 
@@ -161685,9 +158310,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434019 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [6]),
 	.X(n_7480), 
 	.VPWR(vccd1), 
@@ -161695,9 +158320,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434020 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1719_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [7]),
 	.X(n_7479), 
 	.VPWR(vccd1), 
@@ -161705,9 +158330,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434021 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [8]),
 	.X(n_7478), 
 	.VPWR(vccd1), 
@@ -161715,9 +158340,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434022 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1694_u_soc_xbar_to_dccm_a_data__30),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [7]),
 	.X(n_7477), 
 	.VPWR(vccd1), 
@@ -161725,9 +158350,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434023 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [2]),
 	.X(n_7476), 
 	.VPWR(vccd1), 
@@ -161735,9 +158360,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434024 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [3]),
 	.X(n_7475), 
 	.VPWR(vccd1), 
@@ -161745,9 +158370,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434025 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [4]),
 	.X(n_7474), 
 	.VPWR(vccd1), 
@@ -161755,9 +158380,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434026 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [5]),
 	.X(n_7473), 
 	.VPWR(vccd1), 
@@ -161765,9 +158390,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434027 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [6]),
 	.X(n_7472), 
 	.VPWR(vccd1), 
@@ -161775,9 +158400,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434028 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1710_u_soc_xbar_to_dccm_a_data__14),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [7]),
 	.X(n_7471), 
 	.VPWR(vccd1), 
@@ -161785,9 +158410,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434029 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[61] [8]),
 	.X(n_7470), 
 	.VPWR(vccd1), 
@@ -161795,9 +158420,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434030 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_PDN4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [1]),
 	.X(n_7469), 
 	.VPWR(vccd1), 
@@ -161805,9 +158430,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434031 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_PDN4085_FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [2]),
 	.X(n_7468), 
 	.VPWR(vccd1), 
@@ -161815,9 +158440,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434032 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [3]),
 	.X(n_7467), 
 	.VPWR(vccd1), 
@@ -161825,9 +158450,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434033 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [4]),
 	.X(n_7466), 
 	.VPWR(vccd1), 
@@ -161835,9 +158460,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434034 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [5]),
 	.X(n_7465), 
 	.VPWR(vccd1), 
@@ -161845,9 +158470,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434035 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [6]),
 	.X(n_7464), 
 	.VPWR(vccd1), 
@@ -161855,9 +158480,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434036 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1702_u_soc_xbar_to_dccm_a_data__22),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [7]),
 	.X(n_7463), 
 	.VPWR(vccd1), 
@@ -161865,9 +158490,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434037 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[62] [8]),
 	.X(n_7462), 
 	.VPWR(vccd1), 
@@ -161875,9 +158500,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434038 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [1]),
 	.X(n_7461), 
 	.VPWR(vccd1), 
@@ -161885,9 +158510,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434039 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [2]),
 	.X(n_7460), 
 	.VPWR(vccd1), 
@@ -161895,9 +158520,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434040 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [3]),
 	.X(n_7459), 
 	.VPWR(vccd1), 
@@ -161905,9 +158530,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434041 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [4]),
 	.X(n_7458), 
 	.VPWR(vccd1), 
@@ -161915,9 +158540,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434042 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [5]),
 	.X(n_7457), 
 	.VPWR(vccd1), 
@@ -161925,9 +158550,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434043 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_PDN3698_u_soc_xbar_to_dccm_a_data_29),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [6]),
 	.X(n_7456), 
 	.VPWR(vccd1), 
@@ -161935,9 +158560,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434044 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1694_u_soc_xbar_to_dccm_a_data__30),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [7]),
 	.X(n_7455), 
 	.VPWR(vccd1), 
@@ -161945,9 +158570,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434045 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[63] [8]),
 	.X(n_7454), 
 	.VPWR(vccd1), 
@@ -161955,9 +158580,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434046 (
-	.A1(FE_OFN1003_n_6916),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1505_n_7248),
+	.A1(FE_OFN1196_n_6916),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1582_n_7248),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [1]),
 	.X(n_7453), 
 	.VPWR(vccd1), 
@@ -161965,9 +158590,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434047 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [3]),
 	.X(n_7452), 
 	.VPWR(vccd1), 
@@ -161975,9 +158600,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434048 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7283),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1355),
 	.Y(n_7451), 
 	.VPWR(vccd1), 
@@ -161985,9 +158610,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434049 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_7282),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1390),
 	.Y(n_7450), 
 	.VPWR(vccd1), 
@@ -161998,16 +158623,16 @@
 	.A1_N(n_7137),
 	.A2_N(n_3080),
 	.B1(n_6661),
-	.B2(n_2419),
+	.B2(FE_OFN18115_n_2420),
 	.Y(n_7449), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434051 (
-	.A1(n_7262),
+	.A1(FE_OFN1767_n_7262),
 	.A2(n_1873),
-	.B1(n_7263),
+	.B1(FE_OFN1768_n_7263),
 	.B2(n_1871),
 	.Y(n_7448), 
 	.VPWR(vccd1), 
@@ -162015,7 +158640,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434052 (
-	.A1(n_7272),
+	.A1(FE_OFN1774_n_7272),
 	.A2(n_1756),
 	.B1(n_8),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[3]),
@@ -162025,9 +158650,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434053 (
-	.A1(n_7261),
+	.A1(FE_OFN1765_n_7261),
 	.A2(n_1873),
-	.B1(n_7258),
+	.B1(FE_OFN1762_n_7258),
 	.B2(n_1871),
 	.Y(n_7446), 
 	.VPWR(vccd1), 
@@ -162035,9 +158660,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434054 (
-	.A1(n_7267),
+	.A1(FE_OFN1771_n_7267),
 	.A2(n_1873),
-	.B1(n_7269),
+	.B1(FE_OFN1773_n_7269),
 	.B2(n_1871),
 	.Y(n_7445), 
 	.VPWR(vccd1), 
@@ -162045,7 +158670,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434055 (
-	.A1(n_7259),
+	.A1(FE_OFN1763_n_7259),
 	.A2(n_1878),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]),
@@ -162055,7 +158680,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434056 (
-	.A1(n_7264),
+	.A1(FE_PDN4062_FE_OFN1769_n_7264),
 	.A2(n_1869),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]),
@@ -162065,7 +158690,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434057 (
-	.A1(n_7262),
+	.A1(FE_OFN1767_n_7262),
 	.A2(n_1869),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]),
@@ -162075,7 +158700,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434058 (
-	.A1(n_7260),
+	.A1(FE_OFN1764_n_7260),
 	.A2(n_1869),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]),
@@ -162085,7 +158710,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434059 (
-	.A1(n_7259),
+	.A1(FE_OFN1763_n_7259),
 	.A2(n_1869),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]),
@@ -162095,7 +158720,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434060 (
-	.A1(n_7258),
+	.A1(FE_OFN1762_n_7258),
 	.A2(n_2281),
 	.B1(u_soc_u_top_u_core_rf_wdata_fwd_wb[20]),
 	.B2(n_8),
@@ -162105,7 +158730,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434061 (
-	.A1(n_7266),
+	.A1(FE_OFN1770_n_7266),
 	.A2(n_1869),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]),
@@ -162115,7 +158740,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434062 (
-	.A1(n_7269),
+	.A1(FE_OFN1773_n_7269),
 	.A2(n_2281),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]),
@@ -162125,9 +158750,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434063 (
-	.A1(n_7263),
-	.A2(FE_OFN888_n_1852),
-	.B1(n_7262),
+	.A1(FE_OFN1768_n_7263),
+	.A2(FE_OFN1094_n_1852),
+	.B1(FE_OFN1767_n_7262),
 	.B2(n_2281),
 	.Y(n_7436), 
 	.VPWR(vccd1), 
@@ -162135,9 +158760,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434064 (
-	.A1(n_7272),
+	.A1(FE_OFN1774_n_7272),
 	.A2(n_1869),
-	.B1(n_7259),
+	.B1(FE_OFN1763_n_7259),
 	.B2(n_2281),
 	.Y(n_7435), 
 	.VPWR(vccd1), 
@@ -162145,9 +158770,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434065 (
-	.A1(n_7258),
-	.A2(FE_OFN888_n_1852),
-	.B1(n_7261),
+	.A1(FE_OFN1762_n_7258),
+	.A2(n_1852),
+	.B1(FE_OFN1765_n_7261),
 	.B2(n_2281),
 	.Y(n_7434), 
 	.VPWR(vccd1), 
@@ -162155,9 +158780,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434066 (
-	.A1(n_7269),
-	.A2(FE_OFN888_n_1852),
-	.B1(n_7267),
+	.A1(FE_OFN1773_n_7269),
+	.A2(FE_OFN1094_n_1852),
+	.B1(FE_OFN1771_n_7267),
 	.B2(n_2281),
 	.Y(n_7433), 
 	.VPWR(vccd1), 
@@ -162165,7 +158790,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434067 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[3]),
 	.B1(n_1884),
 	.B2(u_soc_u_top_u_core_csr_mstatus_mie),
@@ -162176,9 +158801,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434068 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [1]),
 	.X(n_7431), 
 	.VPWR(vccd1), 
@@ -162186,9 +158811,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434069 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [2]),
 	.X(n_7430), 
 	.VPWR(vccd1), 
@@ -162196,9 +158821,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434070 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [3]),
 	.X(n_7429), 
 	.VPWR(vccd1), 
@@ -162206,9 +158831,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434071 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [4]),
 	.X(n_7428), 
 	.VPWR(vccd1), 
@@ -162216,9 +158841,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434072 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [5]),
 	.X(n_7427), 
 	.VPWR(vccd1), 
@@ -162226,9 +158851,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434073 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [6]),
 	.X(n_7426), 
 	.VPWR(vccd1), 
@@ -162236,9 +158861,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434074 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1720_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [7]),
 	.X(n_7425), 
 	.VPWR(vccd1), 
@@ -162246,9 +158871,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434075 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[12] [8]),
 	.X(n_7424), 
 	.VPWR(vccd1), 
@@ -162256,9 +158881,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434076 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [1]),
 	.X(n_7423), 
 	.VPWR(vccd1), 
@@ -162266,9 +158891,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434077 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [2]),
 	.X(n_7422), 
 	.VPWR(vccd1), 
@@ -162276,9 +158901,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434078 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [3]),
 	.X(n_7421), 
 	.VPWR(vccd1), 
@@ -162286,9 +158911,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434079 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [4]),
 	.X(n_7420), 
 	.VPWR(vccd1), 
@@ -162296,9 +158921,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434080 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [5]),
 	.X(n_7419), 
 	.VPWR(vccd1), 
@@ -162306,9 +158931,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434081 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [6]),
 	.X(n_7418), 
 	.VPWR(vccd1), 
@@ -162316,9 +158941,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434082 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[13] [8]),
 	.X(n_7417), 
 	.VPWR(vccd1), 
@@ -162326,9 +158951,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434083 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [1]),
 	.X(n_7416), 
 	.VPWR(vccd1), 
@@ -162336,9 +158961,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434084 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [2]),
 	.X(n_7415), 
 	.VPWR(vccd1), 
@@ -162346,9 +158971,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434085 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [4]),
 	.X(n_7414), 
 	.VPWR(vccd1), 
@@ -162356,9 +158981,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434086 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [5]),
 	.X(n_7413), 
 	.VPWR(vccd1), 
@@ -162366,9 +158991,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434087 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_PDN4096_FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [6]),
 	.X(n_7412), 
 	.VPWR(vccd1), 
@@ -162376,9 +159001,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434088 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1702_u_soc_xbar_to_dccm_a_data__22),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [7]),
 	.X(n_7411), 
 	.VPWR(vccd1), 
@@ -162386,9 +159011,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434089 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[14] [8]),
 	.X(n_7410), 
 	.VPWR(vccd1), 
@@ -162396,9 +159021,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434090 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [1]),
 	.X(n_7409), 
 	.VPWR(vccd1), 
@@ -162406,9 +159031,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434091 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [2]),
 	.X(n_7408), 
 	.VPWR(vccd1), 
@@ -162416,9 +159041,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434092 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [3]),
 	.X(n_7407), 
 	.VPWR(vccd1), 
@@ -162426,9 +159051,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434093 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [4]),
 	.X(n_7406), 
 	.VPWR(vccd1), 
@@ -162436,9 +159061,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434094 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [5]),
 	.X(n_7405), 
 	.VPWR(vccd1), 
@@ -162446,9 +159071,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434095 (
-	.A1(FE_OFN1506_n_7249),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1507_n_7250),
+	.A1(FE_OFN1583_n_7249),
+	.A2(FE_OFN1695_u_soc_xbar_to_dccm_a_data__29),
+	.B1(FE_OFN1584_n_7250),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[15] [6]),
 	.X(n_7404), 
 	.VPWR(vccd1), 
@@ -162459,7 +159084,7 @@
 	.A1(n_6931),
 	.A2(n_127),
 	.A3(u_soc_u_top_u_core_csr_op[1]),
-	.B1(FE_PSN4132_n_13504),
+	.B1(n_13504),
 	.B2(n_1215),
 	.Y(n_7557), 
 	.VPWR(vccd1), 
@@ -162498,6 +159123,13 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g434271 (
+	.A(n_7388),
+	.Y(n_7387), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434275 (
 	.A(n_5744),
 	.B(n_7218),
@@ -162510,16 +159142,16 @@
 	.A1(n_6824),
 	.A2(n_3080),
 	.B1(n_6662),
-	.B2(FE_OFN18240_n_2420),
+	.B2(FE_OFN18114_n_2420),
 	.Y(n_7379), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434277 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_6929),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1365),
 	.Y(n_7378), 
 	.VPWR(vccd1), 
@@ -162527,9 +159159,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434278 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_6931),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1357),
 	.Y(n_7377), 
 	.VPWR(vccd1), 
@@ -162537,9 +159169,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434279 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_6884),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1403),
 	.Y(n_7376), 
 	.VPWR(vccd1), 
@@ -162547,9 +159179,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434280 (
-	.A1(n_1216),
+	.A1(FE_OFN1443_n_1217),
 	.A2(n_6930),
-	.B1(FE_OFN18274_n_2418),
+	.B1(n_2418),
 	.B2(n_1351),
 	.Y(n_7375), 
 	.VPWR(vccd1), 
@@ -162558,8 +159190,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434281 (
 	.A1(n_6922),
-	.A2(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
-	.B1(FE_OFN18219_n_6709),
+	.A2(FE_OFN18271_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0),
+	.B1(FE_OFN18242_n_6709),
 	.B2(u_soc_u_top_u_core_instr_rdata_id[0]),
 	.Y(n_7374), 
 	.VPWR(vccd1), 
@@ -162568,7 +159200,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434282 (
 	.A1(n_13605),
-	.A2(FE_OFN1503_n_6915),
+	.A2(FE_OFN1580_n_6915),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[29]),
 	.Y(n_7373), 
@@ -162578,8 +159210,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434283 (
 	.A1(n_13585),
-	.A2(FE_OFN1503_n_6915),
-	.B1(n_6919),
+	.A2(FE_OFN1580_n_6915),
+	.B1(FE_OFN18263_n_6919),
 	.B2(u_soc_u_top_u_core_pc_if[9]),
 	.Y(n_7372), 
 	.VPWR(vccd1), 
@@ -162588,8 +159220,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434284 (
 	.A1(n_13583),
-	.A2(FE_OFN1503_n_6915),
-	.B1(n_6919),
+	.A2(FE_OFN1580_n_6915),
+	.B1(FE_OFN18263_n_6919),
 	.B2(u_soc_u_top_u_core_pc_if[7]),
 	.Y(n_7371), 
 	.VPWR(vccd1), 
@@ -162597,9 +159229,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434285 (
-	.A1(FE_OFN1503_n_6915),
+	.A1(FE_OFN1580_n_6915),
 	.A2(n_13581),
-	.B1(n_6919),
+	.B1(FE_OFN18263_n_6919),
 	.B2(u_soc_u_top_u_core_pc_if[5]),
 	.Y(n_7370), 
 	.VPWR(vccd1), 
@@ -162607,9 +159239,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434286 (
-	.A1(FE_OFN1503_n_6915),
+	.A1(FE_OFN1580_n_6915),
 	.A2(n_13579),
-	.B1(n_6919),
+	.B1(FE_OFN18263_n_6919),
 	.B2(u_soc_u_top_u_core_pc_if[3]),
 	.Y(n_7369), 
 	.VPWR(vccd1), 
@@ -162627,7 +159259,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434288 (
 	.A1(n_5956),
-	.A2(FE_OFN19299_u_soc_u_dccm_rdata3_31),
+	.A2(FE_OFN475_u_soc_u_dccm_rdata3_31),
 	.B1(n_2008),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
 	.C1(n_7147),
@@ -162638,7 +159270,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434289 (
 	.A1(n_5956),
-	.A2(FE_OFN19320_u_soc_u_dccm_rdata3_30),
+	.A2(FE_OFN476_u_soc_u_dccm_rdata3_30),
 	.B1(n_2008),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
 	.C1(n_7148),
@@ -162649,7 +159281,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434290 (
 	.A1(n_5958),
-	.A2(FE_OFN19110_u_soc_u_dccm_rdata4_29),
+	.A2(FE_OFN484_u_soc_u_dccm_rdata4_29),
 	.B1(n_2008),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
 	.C1(n_7149),
@@ -162660,7 +159292,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434291 (
 	.A1(n_5956),
-	.A2(FE_OFN19318_u_soc_u_dccm_rdata3_28),
+	.A2(FE_OFN478_u_soc_u_dccm_rdata3_28),
 	.B1(n_2008),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
 	.C1(n_7150),
@@ -162671,7 +159303,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434292 (
 	.A1(n_5958),
-	.A2(FE_OFN19108_u_soc_u_dccm_rdata4_26),
+	.A2(FE_OFN487_u_soc_u_dccm_rdata4_26),
 	.B1(n_2008),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
 	.C1(n_7151),
@@ -162682,7 +159314,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434293 (
 	.A1(n_5958),
-	.A2(FE_OFN19437_u_soc_u_dccm_rdata4_25),
+	.A2(FE_PDN3774_FE_OFN488_u_soc_u_dccm_rdata4_25),
 	.B1(n_2008),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
 	.C1(n_7152),
@@ -162693,7 +159325,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434294 (
 	.A1(n_5958),
-	.A2(FE_OFN19111_u_soc_u_dccm_rdata4_24),
+	.A2(FE_PDN3782_FE_OFN489_u_soc_u_dccm_rdata4_24),
 	.B1(n_2008),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
 	.C1(n_7153),
@@ -162706,7 +159338,7 @@
 	.A1(n_5691),
 	.A2(n_6920),
 	.B1(n_5707),
-	.B2(n_6921),
+	.B2(FE_OFN18111_n_6921),
 	.Y(n_7360), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -162715,18 +159347,18 @@
    sky130_fd_sc_hd__a21oi_1 g434296 (
 	.A1(n_6868),
 	.A2(n_6923),
-	.B1(n_6863),
+	.B1(FE_OFN19023_n_6863),
 	.Y(n_7359), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434297 (
-	.A1(FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5),
+	.A1(FE_OFN823_u_soc_u_tcam_rdata_5),
 	.A2(n_6498),
-	.B1(FE_OFN984_n_5382),
-	.B2(n_6727),
-	.C1(FE_OFN929_n_2985),
+	.B1(FE_OFN1177_n_5382),
+	.B2(FE_PSN3896_n_6727),
+	.C1(FE_OFN1123_n_2985),
 	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
 	.Y(n_7358), 
 	.VPWR(vccd1), 
@@ -162734,11 +159366,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434298 (
-	.A1(FE_OFN703_u_soc_u_tcam_rdata_4),
+	.A1(FE_OFN825_u_soc_u_tcam_rdata_4),
 	.A2(n_6498),
-	.B1(FE_OFN984_n_5382),
-	.B2(n_6737),
-	.C1(FE_OFN929_n_2985),
+	.B1(FE_OFN1177_n_5382),
+	.B2(FE_PSN3922_n_6737),
+	.C1(FE_OFN1123_n_2985),
 	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
 	.Y(n_7357), 
 	.VPWR(vccd1), 
@@ -162746,11 +159378,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434299 (
-	.A1(FE_OFN705_u_soc_u_tcam_rdata_3),
+	.A1(FE_OFN827_u_soc_u_tcam_rdata_3),
 	.A2(n_6498),
-	.B1(FE_OFN984_n_5382),
-	.B2(n_6736),
-	.C1(FE_OFN929_n_2985),
+	.B1(FE_OFN1177_n_5382),
+	.B2(FE_PSN3920_n_6736),
+	.C1(FE_OFN1123_n_2985),
 	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
 	.Y(n_7356), 
 	.VPWR(vccd1), 
@@ -162758,11 +159390,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434300 (
-	.A1(FE_OFN707_u_soc_u_tcam_rdata_2),
+	.A1(FE_OFN829_u_soc_u_tcam_rdata_2),
 	.A2(n_6498),
-	.B1(FE_OFN984_n_5382),
-	.B2(n_6735),
-	.C1(FE_OFN929_n_2985),
+	.B1(FE_PSBN19228_n_6735),
+	.B2(FE_OFN1177_n_5382),
+	.C1(FE_OFN1123_n_2985),
 	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
 	.Y(n_7355), 
 	.VPWR(vccd1), 
@@ -162770,11 +159402,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434301 (
-	.A1(FE_OFN709_u_soc_u_tcam_rdata_1),
+	.A1(FE_OFN831_u_soc_u_tcam_rdata_1),
 	.A2(n_6498),
-	.B1(FE_OFN984_n_5382),
-	.B2(n_6734),
-	.C1(FE_OFN929_n_2985),
+	.B1(FE_OFN1177_n_5382),
+	.B2(FE_PSN3911_n_6734),
+	.C1(FE_OFN1123_n_2985),
 	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
 	.Y(n_7354), 
 	.VPWR(vccd1), 
@@ -162793,7 +159425,7 @@
    sky130_fd_sc_hd__nand4_1 g434303 (
 	.A(n_6663),
 	.B(n_2390),
-	.C(n_2136),
+	.C(FE_OFN1745_n_2136),
 	.D(n_1511),
 	.Y(n_7352), 
 	.VPWR(vccd1), 
@@ -162802,10 +159434,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434304 (
 	.A1(n_13771),
-	.A2(FE_OFN1402_n_1218),
-	.B1(FE_OFN883_n_1219),
+	.A2(FE_OFN1444_n_1218),
+	.B1(FE_OFN1086_n_1219),
 	.B2(u_soc_u_top_u_core_csr_mepc[29]),
-	.C1(n_6919),
+	.C1(FE_OFN18263_n_6919),
 	.C2(u_soc_u_top_u_core_pc_if[29]),
 	.Y(n_7351), 
 	.VPWR(vccd1), 
@@ -162854,7 +159486,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g434309 (
 	.A1(n_6882),
-	.A2(FE_OFN1000_n_6857),
+	.A2(FE_PSN3972_FE_OFN1193_n_6857),
 	.B1(n_6864),
 	.Y(n_7346), 
 	.VPWR(vccd1), 
@@ -162872,7 +159504,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21bai_1 g434311 (
 	.A1(n_6881),
-	.A2(FE_OFN1002_n_6865),
+	.A2(FE_PSN3986_FE_OFN1195_n_6865),
 	.B1_N(n_7270),
 	.Y(n_7344), 
 	.VPWR(vccd1), 
@@ -162891,7 +159523,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g434313 (
-	.A1(n_6921),
+	.A1(FE_OFN18111_n_6921),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
 	.B1(n_5692),
 	.Y(n_7342), 
@@ -162900,7 +159532,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_1 g434314 (
-	.A1(n_6921),
+	.A1(FE_OFN18111_n_6921),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [1]),
 	.B1_N(n_5693),
 	.Y(n_7341), 
@@ -162911,7 +159543,7 @@
    sky130_fd_sc_hd__a21oi_1 g434315 (
 	.A1(n_6788),
 	.A2(n_1042),
-	.B1(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B1(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.Y(n_7340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -162936,7 +159568,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434318 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_7191),
 	.Y(n_7337), 
 	.VPWR(vccd1), 
@@ -162953,7 +159585,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434320 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_7194),
 	.Y(n_7335), 
 	.VPWR(vccd1), 
@@ -162961,7 +159593,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434321 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_7195),
 	.Y(n_7334), 
 	.VPWR(vccd1), 
@@ -162969,7 +159601,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434322 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_7196),
 	.Y(n_7333), 
 	.VPWR(vccd1), 
@@ -162977,7 +159609,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434323 (
-	.A(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_6938),
 	.Y(n_7332), 
 	.VPWR(vccd1), 
@@ -162985,7 +159617,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434324 (
-	.A(FE_OFN18302_n_6869),
+	.A(n_6869),
 	.B(n_7255),
 	.Y(n_7331), 
 	.VPWR(vccd1), 
@@ -163177,7 +159809,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434348 (
-	.A(n_7267),
+	.A(FE_OFN1771_n_7267),
 	.B(n_1878),
 	.Y(n_7307), 
 	.VPWR(vccd1), 
@@ -163185,7 +159817,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434349 (
-	.A(n_7268),
+	.A(FE_OFN1772_n_7268),
 	.B(n_1878),
 	.Y(n_7306), 
 	.VPWR(vccd1), 
@@ -163267,7 +159899,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434385 (
-	.A(FE_PSN4101_n_7253),
+	.A(n_7253),
 	.B(n_7254),
 	.Y(n_7401), 
 	.VPWR(vccd1), 
@@ -163277,7 +159909,7 @@
    sky130_fd_sc_hd__nand4_1 g434392 (
 	.A(n_6682),
 	.B(n_2966),
-	.C(n_2136),
+	.C(FE_OFN1745_n_2136),
 	.D(n_2652),
 	.Y(n_7398), 
 	.VPWR(vccd1), 
@@ -163303,14 +159935,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434403 (
 	.A(n_6738),
-	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.B(FE_PHN4034_u_soc_u_uart_u_uart_core_read_fifo_raddr_6),
 	.Y(n_7395), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434404 (
-	.A(n_6862),
+	.A(FE_OFN18153_n_6863),
 	.B(n_7279),
 	.Y(n_7394), 
 	.VPWR(vccd1), 
@@ -163318,7 +159950,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434411 (
-	.A(n_6858),
+	.A(FE_OFN1194_n_6859),
 	.B(n_7255),
 	.Y(n_7393), 
 	.VPWR(vccd1), 
@@ -163326,8 +159958,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g434438 (
-	.A(n_6862),
-	.B(n_6858),
+	.A(FE_OFN18153_n_6863),
+	.B(FE_OFN1194_n_6859),
 	.C(n_6861),
 	.Y(n_7392), 
 	.VPWR(vccd1), 
@@ -163336,7 +159968,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434439 (
 	.A(n_7279),
-	.B(n_6863),
+	.B(FE_OFN19023_n_6863),
 	.Y(n_7390), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163351,7 +159983,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434441 (
-	.A(FE_PSN4101_n_7253),
+	.A(n_7253),
 	.B(n_7271),
 	.Y(n_7386), 
 	.VPWR(vccd1), 
@@ -163359,7 +159991,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434443 (
-	.A(FE_PSN4101_n_7253),
+	.A(n_7253),
 	.B(n_7276),
 	.Y(n_7382), 
 	.VPWR(vccd1), 
@@ -163402,9 +160034,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434452 (
-	.A1(FE_OFN997_n_6493),
-	.A2(\u_soc_xbar_to_dccm[a_address] [2]),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN18214_u_soc_xbar_to_dccm_a_address__2),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [2]),
 	.C1(n_6586),
 	.Y(n_7246), 
@@ -163423,10 +160055,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g434454 (
-	.A1(n_5364),
+	.A1(FE_OFN1167_n_5364),
 	.A2(n_2417),
 	.A3(n_6359),
-	.B1(n_475),
+	.B1(FE_OFN1266_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[0]),
 	.Y(n_7244), 
 	.VPWR(vccd1), 
@@ -163454,11 +160086,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434457 (
-	.A1(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
-	.A2(FE_OFN974_n_5360),
+	.A1(FE_OFN18214_u_soc_xbar_to_dccm_a_address__2),
+	.A2(n_5360),
 	.B1(n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
-	.C1(FE_OFN975_n_5362),
+	.C1(FE_OFN1166_n_5362),
 	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
 	.Y(n_7241), 
 	.VPWR(vccd1), 
@@ -163475,9 +160107,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g434459 (
-	.A1(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN977_n_5366),
+	.A1(FE_OFN18228_n),
+	.A2(n_5360),
+	.B1(FE_OFN1168_n_5366),
 	.B2(n_13415),
 	.C1(n_6845),
 	.X(n_7239), 
@@ -163487,11 +160119,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434460 (
 	.A1(n_13415),
-	.A2(FE_OFN973_n_5358),
-	.B1(FE_OFN1493_n_6490),
+	.A2(n_5358),
+	.B1(n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.C1(FE_OFN977_n_5366),
-	.C2(FE_OFN1089_n_13086),
+	.C1(FE_OFN1168_n_5366),
+	.C2(FE_OFN1257_n_13086),
 	.Y(n_7238), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163499,11 +160131,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434461 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
-	.A2(FE_OFN975_n_5362),
-	.B1(FE_OFN1493_n_6490),
+	.A2(FE_OFN1166_n_5362),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
-	.C1(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
-	.C2(FE_OFN974_n_5360),
+	.C1(\u_soc_lsu_to_xbar[a_address] [29]),
+	.C2(n_5360),
 	.Y(n_7237), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163511,11 +160143,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434462 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.A2(FE_OFN1493_n_6490),
-	.B1(FE_OFN973_n_5358),
-	.B2(FE_OFN1093_n_13090),
-	.C1(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
-	.C2(FE_OFN974_n_5360),
+	.A2(FE_OFN1569_n_6490),
+	.B1(FE_OFN1164_n_5358),
+	.B2(FE_OFN1260_n_13090),
+	.C1(FE_OFN880_u_soc_lsu_to_xbar_a_address__28),
+	.C2(FE_OFN1165_n_5360),
 	.Y(n_7236), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163523,11 +160155,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434463 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
-	.A2(FE_OFN1493_n_6490),
-	.B1(FE_OFN975_n_5362),
+	.A2(FE_OFN1569_n_6490),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
-	.C1(FE_PSN4141_u_soc_lsu_to_xbar_a_address_27),
-	.C2(FE_OFN974_n_5360),
+	.C1(FE_OFN881_u_soc_lsu_to_xbar_a_address__27),
+	.C2(FE_OFN1165_n_5360),
 	.Y(n_7235), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163535,10 +160167,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434464 (
 	.A1(n_13411),
-	.A2(FE_OFN973_n_5358),
-	.B1(FE_OFN1493_n_6490),
+	.A2(FE_OFN1164_n_5358),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
-	.C1(FE_OFN977_n_5366),
+	.C1(FE_OFN1168_n_5366),
 	.C2(n_13410),
 	.Y(n_7234), 
 	.VPWR(vccd1), 
@@ -163546,11 +160178,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434465 (
-	.A1(FE_PSN4168_n_13409),
-	.A2(FE_OFN977_n_5366),
-	.B1(FE_OFN1493_n_6490),
+	.A1(n_13409),
+	.A2(FE_OFN1168_n_5366),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
-	.C1(FE_OFN975_n_5362),
+	.C1(FE_OFN1166_n_5362),
 	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
 	.Y(n_7233), 
 	.VPWR(vccd1), 
@@ -163558,11 +160190,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434466 (
-	.A1(FE_PSN4168_n_13409),
-	.A2(FE_OFN973_n_5358),
-	.B1(FE_OFN1493_n_6490),
+	.A1(FE_PSN3951_n_13409),
+	.A2(FE_OFN1164_n_5358),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
-	.C1(FE_OFN977_n_5366),
+	.C1(FE_OFN1168_n_5366),
 	.C2(n_13408),
 	.Y(n_7232), 
 	.VPWR(vccd1), 
@@ -163571,11 +160203,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434467 (
 	.A1(n_13408),
-	.A2(FE_OFN973_n_5358),
-	.B1(FE_OFN1493_n_6490),
+	.A2(FE_OFN1164_n_5358),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
-	.C1(FE_OFN977_n_5366),
-	.C2(FE_PSN4147_n_13407),
+	.C1(FE_OFN1168_n_5366),
+	.C2(n_13407),
 	.Y(n_7231), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163583,11 +160215,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434468 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.A2(FE_OFN1493_n_6490),
-	.B1(FE_OFN977_n_5366),
+	.A2(FE_OFN1569_n_6490),
+	.B1(FE_OFN1168_n_5366),
 	.B2(n_13406),
-	.C1(FE_PSN4145_u_soc_lsu_to_xbar_a_address_22),
-	.C2(FE_OFN974_n_5360),
+	.C1(FE_OFN886_u_soc_lsu_to_xbar_a_address__22),
+	.C2(FE_OFN1165_n_5360),
 	.Y(n_7230), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163595,11 +160227,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434469 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
-	.A2(FE_OFN975_n_5362),
-	.B1(FE_OFN1493_n_6490),
+	.A2(FE_OFN1166_n_5362),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.C1(FE_OFN977_n_5366),
-	.C2(n_13405),
+	.C1(FE_OFN1168_n_5366),
+	.C2(FE_PSN3971_n_13405),
 	.Y(n_7229), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163607,11 +160239,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434470 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
-	.A2(FE_OFN975_n_5362),
-	.B1(FE_OFN1493_n_6490),
+	.A2(FE_OFN1166_n_5362),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.C1(FE_OFN973_n_5358),
-	.C2(n_13405),
+	.C1(FE_OFN1164_n_5358),
+	.C2(FE_PSN3971_n_13405),
 	.Y(n_7228), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163619,47 +160251,47 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434471 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
-	.A2(FE_OFN975_n_5362),
-	.B1(FE_OFN1493_n_6490),
+	.A2(FE_OFN1166_n_5362),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
-	.C1(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
-	.C2(FE_OFN974_n_5360),
+	.C1(FE_OFN889_u_soc_lsu_to_xbar_a_address__19),
+	.C2(FE_OFN1165_n_5360),
 	.Y(n_7227), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434472 (
-	.A1(FE_COEN4329_n_13402),
-	.A2(FE_OFN977_n_5366),
-	.B1(FE_OFN1493_n_6490),
+	.A1(n_13402),
+	.A2(FE_OFN1168_n_5366),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
-	.C1(FE_OFN973_n_5358),
-	.C2(FE_OFN1086_n_13082),
+	.C1(FE_OFN1164_n_5358),
+	.C2(FE_OFN1253_n_13082),
 	.Y(n_7226), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434473 (
-	.A1(FE_COEN4329_n_13402),
-	.A2(FE_OFN973_n_5358),
-	.B1(FE_OFN1493_n_6490),
+	.A1(n_13402),
+	.A2(FE_OFN1164_n_5358),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
-	.C1(\u_soc_lsu_to_xbar[a_address] [17]),
-	.C2(FE_OFN974_n_5360),
+	.C1(FE_OFN18408_u_soc_lsu_to_xbar_a_address__17),
+	.C2(FE_OFN1165_n_5360),
 	.Y(n_7225), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434474 (
-	.A1(FE_COEN4335_n_13401),
-	.A2(FE_OFN973_n_5358),
-	.B1(FE_OFN1493_n_6490),
+	.A1(FE_OFN19043_n_13401),
+	.A2(FE_OFN1164_n_5358),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.C1(\u_soc_lsu_to_xbar[a_address] [16]),
-	.C2(FE_OFN974_n_5360),
+	.C1(FE_OFN18195_u_soc_lsu_to_xbar_a_address__16),
+	.C2(FE_OFN1165_n_5360),
 	.Y(n_7224), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163667,10 +160299,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434475 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
-	.A2(FE_OFN975_n_5362),
-	.B1(FE_OFN1493_n_6490),
+	.A2(FE_OFN1166_n_5362),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
-	.C1(FE_OFN973_n_5358),
+	.C1(FE_OFN1164_n_5358),
 	.C2(n_13400),
 	.Y(n_7223), 
 	.VPWR(vccd1), 
@@ -163678,11 +160310,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434476 (
-	.A1(FE_OFN1083_n_13076),
-	.A2(FE_OFN977_n_5366),
-	.B1(FE_OFN1493_n_6490),
+	.A1(FE_OFN1250_n_13076),
+	.A2(FE_OFN1168_n_5366),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
-	.C1(FE_OFN975_n_5362),
+	.C1(FE_OFN1166_n_5362),
 	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
 	.Y(n_7222), 
 	.VPWR(vccd1), 
@@ -163690,11 +160322,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434477 (
-	.A1(FE_COEN4304_n_13397),
-	.A2(FE_OFN977_n_5366),
-	.B1(FE_OFN1493_n_6490),
+	.A1(n_13397),
+	.A2(FE_OFN1168_n_5366),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
-	.C1(FE_OFN975_n_5362),
+	.C1(FE_OFN1166_n_5362),
 	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
 	.Y(n_7221), 
 	.VPWR(vccd1), 
@@ -163702,12 +160334,12 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434478 (
-	.A1(FE_COEN4304_n_13397),
-	.A2(FE_OFN973_n_5358),
-	.B1(FE_OFN1493_n_6490),
+	.A1(n_13397),
+	.A2(FE_OFN1164_n_5358),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
-	.C1(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
-	.C2(FE_OFN974_n_5360),
+	.C1(FE_OFN896_u_soc_xbar_to_dccm_a_address__12),
+	.C2(FE_OFN1165_n_5360),
 	.Y(n_7220), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163715,23 +160347,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434479 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
-	.A2(FE_OFN1493_n_6490),
-	.B1(FE_OFN977_n_5366),
-	.B2(FE_PSN4129_n_13395),
-	.C1(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
-	.C2(FE_OFN974_n_5360),
+	.A2(FE_OFN1569_n_6490),
+	.B1(FE_OFN1168_n_5366),
+	.B2(FE_OFN19017_n_13074),
+	.C1(FE_OFN18210_u_soc_xbar_to_dccm_a_address__11),
+	.C2(FE_OFN1165_n_5360),
 	.Y(n_7219), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434480 (
-	.A1(FE_OFN19544_n_13394),
-	.A2(FE_OFN977_n_5366),
-	.B1(FE_OFN1493_n_6490),
+	.A1(FE_OFN18244_n_13394),
+	.A2(FE_OFN1168_n_5366),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
-	.C1(FE_OFN973_n_5358),
-	.C2(FE_PSN4129_n_13395),
+	.C1(FE_OFN1164_n_5358),
+	.C2(FE_OFN19017_n_13074),
 	.Y(n_7218), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163739,22 +160371,22 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434481 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
-	.A2(n_6490),
-	.B1(FE_OFN973_n_5358),
-	.B2(FE_OFN19544_n_13394),
-	.C1(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
-	.C2(FE_OFN974_n_5360),
+	.A2(FE_OFN1569_n_6490),
+	.B1(FE_OFN1164_n_5358),
+	.B2(FE_OFN18244_n_13394),
+	.C1(FE_OFN19045_u_soc_xbar_to_dccm_a_address__9),
+	.C2(FE_OFN1165_n_5360),
 	.Y(n_7217), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434482 (
-	.A1(FE_COEN4275_n_13392),
-	.A2(FE_OFN977_n_5366),
-	.B1(n_6490),
+	.A1(FE_OFN1256_n_13085),
+	.A2(FE_OFN1168_n_5366),
+	.B1(FE_OFN1569_n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
-	.C1(FE_OFN975_n_5362),
+	.C1(FE_OFN1166_n_5362),
 	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
 	.Y(n_7216), 
 	.VPWR(vccd1), 
@@ -163762,36 +160394,36 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434483 (
-	.A1(FE_OFN19539_n_13391),
-	.A2(FE_OFN977_n_5366),
+	.A1(FE_OFN1652_n_13391),
+	.A2(FE_OFN1168_n_5366),
 	.B1(n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
-	.C1(FE_OFN973_n_5358),
-	.C2(FE_COEN4275_n_13392),
+	.C1(n_5358),
+	.C2(FE_OFN1256_n_13085),
 	.Y(n_7215), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434484 (
-	.A1(FE_OFN19539_n_13391),
-	.A2(FE_OFN973_n_5358),
+	.A1(FE_OFN1652_n_13391),
+	.A2(n_5358),
 	.B1(n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
-	.C1(FE_OFN977_n_5366),
-	.C2(FE_PSN4380_n_13390),
+	.C1(FE_OFN1168_n_5366),
+	.C2(FE_OFN18427_n_13390),
 	.Y(n_7214), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434485 (
-	.A1(FE_PSN4380_n_13390),
-	.A2(FE_OFN973_n_5358),
+	.A1(FE_OFN18427_n_13390),
+	.A2(n_5358),
 	.B1(n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.C1(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
-	.C2(FE_OFN974_n_5360),
+	.C1(FE_OFN903_u_soc_xbar_to_dccm_a_address__5),
+	.C2(n_5360),
 	.Y(n_7213), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163800,10 +160432,10 @@
    sky130_fd_sc_hd__a222oi_1 g434486 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
 	.A2(n_6490),
-	.B1(FE_OFN975_n_5362),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
-	.C1(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
-	.C2(FE_OFN974_n_5360),
+	.C1(FE_OFN904_u_soc_xbar_to_dccm_a_address__4),
+	.C2(n_5360),
 	.Y(n_7212), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163811,23 +160443,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434487 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
-	.A2(FE_OFN975_n_5362),
+	.A2(FE_OFN1166_n_5362),
 	.B1(n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
-	.C1(FE_OFN977_n_5366),
-	.C2(FE_PSN4344_n_13387),
+	.C1(FE_OFN1168_n_5366),
+	.C2(FE_OFN18450_n_13387),
 	.Y(n_7211), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434488 (
-	.A1(FE_OFN18484_n_13416),
-	.A2(FE_OFN977_n_5366),
+	.A1(FE_OFN18520_n),
+	.A2(FE_OFN1168_n_5366),
 	.B1(n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
-	.C1(FE_OFN974_n_5360),
-	.C2(FE_OFN18427_u_soc_u_top_u_core_alu_adder_result_ex_1),
+	.C1(n_5360),
+	.C2(FE_OFN18362_u_soc_u_top_u_core_alu_adder_result_ex_1),
 	.Y(n_7210), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -163835,20 +160467,20 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g434489 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
-	.A2(FE_OFN975_n_5362),
+	.A2(FE_OFN1166_n_5362),
 	.B1(n_6490),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
-	.C1(FE_OFN977_n_5366),
-	.C2(FE_OFN18318_n_15946),
+	.C1(FE_OFN1168_n_5366),
+	.C2(FE_OFN18428_n),
 	.Y(n_7209), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434490 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[29]),
 	.C1(n_6850),
 	.Y(n_7208), 
@@ -163857,9 +160489,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434491 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[27]),
 	.C1(n_6851),
 	.Y(n_7207), 
@@ -163901,9 +160533,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434496 (
 	.A1(n_5939),
-	.A2(FE_OFN18492_n_13420),
+	.A2(FE_OFN18426_n_13420),
 	.B1(n_5938),
-	.B2(FE_OFN18294_n_13073),
+	.B2(FE_OFN1247_n_13073),
 	.C1(n_6847),
 	.Y(n_7202), 
 	.VPWR(vccd1), 
@@ -163912,7 +160544,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434497 (
 	.A1(n_5939),
-	.A2(FE_PSN4354_FE_OFN1585_n_13422),
+	.A2(FE_OFN1654_n_13422),
 	.B1(n_5938),
 	.B2(n_13423),
 	.C1(n_6844),
@@ -163942,10 +160574,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434501 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN18496_n),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN18228_n),
 	.B1(n_5937),
-	.B2(FE_OFN18361_n),
+	.B2(FE_OFN18378_u_soc_lsu_to_xbar_a_address__30),
 	.C1(n_6757),
 	.Y(n_7197), 
 	.VPWR(vccd1), 
@@ -163977,9 +160609,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434505 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN18361_n),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN18378_u_soc_lsu_to_xbar_a_address__30),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
 	.C1(n_6561),
 	.Y(n_7193), 
@@ -164007,9 +160639,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434508 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_COEN4292_u_soc_lsu_to_xbar_a_address_28),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN880_u_soc_lsu_to_xbar_a_address__28),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [28]),
 	.C1(n_6563),
 	.Y(n_7190), 
@@ -164018,7 +160650,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434509 (
-	.A(n_1217),
+	.A(FE_OFN1443_n_1217),
 	.B(n_6933),
 	.Y(n_7189), 
 	.VPWR(vccd1), 
@@ -164047,7 +160679,7 @@
    sky130_fd_sc_hd__a21oi_1 g434512 (
 	.A1(n_6505),
 	.A2(n_15916),
-	.B1(n_1751),
+	.B1(FE_OFN1447_n_1751),
 	.Y(n_7186), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -164124,9 +160756,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434521 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN753_u_soc_lsu_to_xbar_a_address__24),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN884_u_soc_lsu_to_xbar_a_address__24),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [24]),
 	.C1(n_6567),
 	.Y(n_7177), 
@@ -164144,7 +160776,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434523 (
 	.A1(n_5939),
-	.A2(FE_OFN1094_n_13091),
+	.A2(n_13440),
 	.B1(n_5938),
 	.B2(n_13441),
 	.C1(n_6765),
@@ -164165,7 +160797,7 @@
 	.A1(n_5939),
 	.A2(n_13439),
 	.B1(n_5938),
-	.B2(FE_OFN1094_n_13091),
+	.B2(n_13440),
 	.C1(n_6767),
 	.Y(n_7173), 
 	.VPWR(vccd1), 
@@ -164200,9 +160832,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434529 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_COEN4327_u_soc_lsu_to_xbar_a_address_20),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN888_u_soc_lsu_to_xbar_a_address__20),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [20]),
 	.C1(n_6570),
 	.Y(n_7169), 
@@ -164220,9 +160852,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434531 (
 	.A1(n_5939),
-	.A2(FE_COEN4573_n_13436),
+	.A2(n_13436),
 	.B1(n_5938),
-	.B2(FE_COEN4285_n_13437),
+	.B2(n_13437),
 	.C1(n_6770),
 	.Y(n_7167), 
 	.VPWR(vccd1), 
@@ -164230,9 +160862,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434532 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN890_u_soc_lsu_to_xbar_a_address__18),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [18]),
 	.C1(n_6572),
 	.Y(n_7166), 
@@ -164260,9 +160892,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434535 (
-	.A1(FE_OFN997_n_6493),
-	.A2(\u_soc_lsu_to_xbar[a_address] [16]),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN18195_u_soc_lsu_to_xbar_a_address__16),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [16]),
 	.C1(n_6574),
 	.Y(n_7163), 
@@ -164280,9 +160912,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434537 (
 	.A1(n_5939),
-	.A2(FE_COEN4330_n_13432),
+	.A2(n_13432),
 	.B1(n_5938),
-	.B2(FE_COEN4287_n_13433),
+	.B2(n_13433),
 	.C1(n_6774),
 	.Y(n_7161), 
 	.VPWR(vccd1), 
@@ -164290,9 +160922,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434538 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN894_u_soc_lsu_to_xbar_a_address__14),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [14]),
 	.C1(n_6576),
 	.Y(n_7160), 
@@ -164309,7 +160941,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434540 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6789),
 	.Y(n_7158), 
 	.VPWR(vccd1), 
@@ -164333,7 +160965,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21o_1 g434543 (
-	.A1(n_6708),
+	.A1(FE_OFN18242_n_6709),
 	.A2(u_soc_u_top_u_core_instr_rdata_c_id[1]),
 	.B1(n_6922),
 	.X(n_7155), 
@@ -164407,9 +161039,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434552 (
 	.A1(n_5939),
-	.A2(n_13430),
+	.A2(FE_OFN1261_n_13092),
 	.B1(n_5938),
-	.B2(FE_COEN4310_n_13431),
+	.B2(n_13431),
 	.C1(n_6776),
 	.Y(n_7146), 
 	.VPWR(vccd1), 
@@ -164417,9 +161049,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434553 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN904_u_soc_xbar_to_dccm_a_address__4),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [4]),
 	.C1(n_6584),
 	.Y(n_7145), 
@@ -164429,9 +161061,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434554 (
 	.A1(n_5939),
-	.A2(FE_PSN4109_FE_OFN1586_n_13424),
+	.A2(FE_OFN18245_n_13424),
 	.B1(n_5938),
-	.B2(FE_OFN18315_n_13425),
+	.B2(FE_OFN1878_n_13425),
 	.C1(n_6783),
 	.Y(n_7144), 
 	.VPWR(vccd1), 
@@ -164440,7 +161072,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434555 (
 	.A1(n_5939),
-	.A2(FE_PSN4424_FE_OFN18315_n_13425),
+	.A2(FE_OFN1878_n_13425),
 	.B1(n_5938),
 	.B2(n_13426),
 	.C1(n_6782),
@@ -164464,7 +161096,7 @@
 	.A1(n_5939),
 	.A2(n_13427),
 	.B1(n_5938),
-	.B2(FE_PSN4461_FE_OFN1587_n_13428),
+	.B2(FE_OFN1656_n_13428),
 	.C1(n_6779),
 	.Y(n_7141), 
 	.VPWR(vccd1), 
@@ -164472,10 +161104,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434558 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN18210_u_soc_xbar_to_dccm_a_address__11),
 	.B1(n_5937),
-	.B2(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
+	.B2(FE_PSN4013_u_soc_xbar_to_dccm_a_address_10),
 	.C1(n_6248),
 	.Y(n_7140), 
 	.VPWR(vccd1), 
@@ -164483,9 +161115,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434559 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN896_u_soc_xbar_to_dccm_a_address__12),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [12]),
 	.C1(n_6578),
 	.Y(n_7139), 
@@ -164495,15 +161127,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434573 (
 	.A(n_6872),
-	.B(FE_OFN992_n_5974),
+	.B(FE_OFN19136_n_5974),
 	.Y(n_7297), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434574 (
-	.A(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
-	.B(n_6862),
+	.A(FE_OFN18271_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0),
+	.B(FE_OFN18153_n_6863),
 	.Y(n_7295), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -164557,7 +161189,7 @@
    sky130_fd_sc_hd__nand4_1 g434596 (
 	.A(n_6346),
 	.B(n_2654),
-	.C(n_2136),
+	.C(FE_OFN1745_n_2136),
 	.D(n_1949),
 	.Y(n_7288), 
 	.VPWR(vccd1), 
@@ -164628,7 +161260,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434606 (
-	.A(n_6858),
+	.A(FE_OFN1194_n_6859),
 	.B(n_6861),
 	.Y(n_7279), 
 	.VPWR(vccd1), 
@@ -164645,7 +161277,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434608 (
 	.A(n_6863),
-	.B(n_6858),
+	.B(FE_OFN1194_n_6859),
 	.Y(n_7276), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -164660,14 +161292,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434610 (
-	.A(FE_OFN18302_n_6869),
-	.B(n_6858),
+	.A(n_6869),
+	.B(FE_OFN1194_n_6859),
 	.Y(n_7273), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand4_2 g434612 (
+   sky130_fd_sc_hd__nand4_1 g434612 (
 	.A(n_5612),
 	.B(n_5265),
 	.C(n_1747),
@@ -164678,7 +161310,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434617 (
-	.A(FE_OFN1001_n_6859),
+	.A(n_6858),
 	.B(n_6861),
 	.Y(n_7271), 
 	.VPWR(vccd1), 
@@ -164687,7 +161319,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434620 (
 	.A(n_6866),
-	.B(FE_OFN1002_n_6865),
+	.B(FE_PSN3986_FE_OFN1195_n_6865),
 	.Y(n_7270), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -164734,8 +161366,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434634 (
-	.A(FE_OFN19255_n_6867),
-	.B(FE_OFN1002_n_6865),
+	.A(n_6867),
+	.B(FE_PSN3986_FE_OFN1195_n_6865),
 	.Y(n_7265), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -164822,7 +161454,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434644 (
-	.A(n_6863),
+	.A(FE_OFN19023_n_6863),
 	.B(n_6861),
 	.Y(n_7255), 
 	.VPWR(vccd1), 
@@ -164838,7 +161470,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434648 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6935),
 	.Y(n_7251), 
 	.VPWR(vccd1), 
@@ -164846,7 +161478,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434649 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6926),
 	.Y(n_7250), 
 	.VPWR(vccd1), 
@@ -164854,7 +161486,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434650 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6925),
 	.Y(n_7249), 
 	.VPWR(vccd1), 
@@ -164862,7 +161494,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434651 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6937),
 	.Y(n_7248), 
 	.VPWR(vccd1), 
@@ -164870,7 +161502,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434652 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6934),
 	.Y(n_7247), 
 	.VPWR(vccd1), 
@@ -164878,7 +161510,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434653 (
-	.A1(FE_OFN1499_n_6718),
+	.A1(FE_OFN1575_n_6718),
 	.A2(n_5945),
 	.B1(n_5913),
 	.Y(n_7138), 
@@ -164889,7 +161521,7 @@
    sky130_fd_sc_hd__a221oi_1 g434654 (
 	.A1(n_5385),
 	.A2(n_2566),
-	.B1(FE_OFN1646_n_3077),
+	.B1(FE_OFN1820_n_3077),
 	.B2(n_5458),
 	.C1(n_6667),
 	.Y(n_7137), 
@@ -164901,8 +161533,8 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [8]),
-	.C1(FE_OFN984_n_5382),
+	.B2(FE_OFN1030_u_soc_uart_to_xbar_d_data__8),
+	.C1(FE_OFN1177_n_5382),
 	.C2(n_6717),
 	.Y(n_7136), 
 	.VPWR(vccd1), 
@@ -164913,8 +161545,8 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [10]),
-	.C1(FE_OFN984_n_5382),
+	.B2(FE_OFN1028_u_soc_uart_to_xbar_d_data__10),
+	.C1(FE_OFN1177_n_5382),
 	.C2(n_6719),
 	.Y(n_7135), 
 	.VPWR(vccd1), 
@@ -164925,8 +161557,8 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [11]),
-	.C1(FE_OFN984_n_5382),
+	.B2(FE_OFN1027_u_soc_uart_to_xbar_d_data__11),
+	.C1(FE_OFN1177_n_5382),
 	.C2(n_6725),
 	.Y(n_7134), 
 	.VPWR(vccd1), 
@@ -164937,8 +161569,8 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
 	.A2(n_2009),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [13]),
-	.C1(FE_OFN984_n_5382),
+	.B2(FE_OFN1026_u_soc_uart_to_xbar_d_data__13),
+	.C1(FE_OFN1177_n_5382),
 	.C2(n_6730),
 	.Y(n_7133), 
 	.VPWR(vccd1), 
@@ -164949,9 +161581,9 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [6]),
-	.C1(FE_OFN984_n_5382),
-	.C2(n_6721),
+	.B2(FE_PDN3804_FE_OFN1032_u_soc_uart_to_xbar_d_data__6),
+	.C1(n_6721),
+	.C2(FE_OFN1177_n_5382),
 	.Y(n_7132), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -164961,8 +161593,8 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [7]),
-	.C1(FE_OFN984_n_5382),
+	.B2(FE_PDN3832_FE_OFN1031_u_soc_uart_to_xbar_d_data__7),
+	.C1(FE_OFN1177_n_5382),
 	.C2(n_6723),
 	.Y(n_7131), 
 	.VPWR(vccd1), 
@@ -164973,8 +161605,8 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [15]),
-	.C1(FE_OFN984_n_5382),
+	.B2(FE_PDN3770_u_soc_uart_to_xbar_d_data_15),
+	.C1(FE_OFN1177_n_5382),
 	.C2(n_6728),
 	.Y(n_7130), 
 	.VPWR(vccd1), 
@@ -164982,9 +161614,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434662 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [3]),
 	.X(n_7129), 
 	.VPWR(vccd1), 
@@ -164992,9 +161624,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434663 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [1]),
 	.X(n_7128), 
 	.VPWR(vccd1), 
@@ -165002,9 +161634,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434664 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [2]),
 	.X(n_7127), 
 	.VPWR(vccd1), 
@@ -165012,9 +161644,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434665 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [3]),
 	.X(n_7126), 
 	.VPWR(vccd1), 
@@ -165022,9 +161654,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434666 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [4]),
 	.X(n_7125), 
 	.VPWR(vccd1), 
@@ -165032,9 +161664,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434667 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [5]),
 	.X(n_7124), 
 	.VPWR(vccd1), 
@@ -165042,9 +161674,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434668 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [6]),
 	.X(n_7123), 
 	.VPWR(vccd1), 
@@ -165052,9 +161684,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434669 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1720_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [7]),
 	.X(n_7122), 
 	.VPWR(vccd1), 
@@ -165062,9 +161694,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434670 (
-	.A1(FE_OFN995_n_6491),
-	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [8]),
 	.X(n_7121), 
 	.VPWR(vccd1), 
@@ -165072,9 +161704,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434671 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [1]),
 	.X(n_7120), 
 	.VPWR(vccd1), 
@@ -165082,9 +161714,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434672 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [2]),
 	.X(n_7119), 
 	.VPWR(vccd1), 
@@ -165092,9 +161724,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434673 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [3]),
 	.X(n_7118), 
 	.VPWR(vccd1), 
@@ -165102,9 +161734,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434674 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [4]),
 	.X(n_7117), 
 	.VPWR(vccd1), 
@@ -165112,9 +161744,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434675 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [5]),
 	.X(n_7116), 
 	.VPWR(vccd1), 
@@ -165122,9 +161754,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434676 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [6]),
 	.X(n_7115), 
 	.VPWR(vccd1), 
@@ -165132,9 +161764,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434677 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_PDN3701_u_soc_xbar_to_dccm_a_data_14),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [7]),
 	.X(n_7114), 
 	.VPWR(vccd1), 
@@ -165142,9 +161774,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434678 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[37] [8]),
 	.X(n_7113), 
 	.VPWR(vccd1), 
@@ -165152,9 +161784,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434679 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_PDN4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [1]),
 	.X(n_7112), 
 	.VPWR(vccd1), 
@@ -165162,9 +161794,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434680 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [2]),
 	.X(n_7111), 
 	.VPWR(vccd1), 
@@ -165172,9 +161804,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434681 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [3]),
 	.X(n_7110), 
 	.VPWR(vccd1), 
@@ -165182,9 +161814,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434682 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [4]),
 	.X(n_7109), 
 	.VPWR(vccd1), 
@@ -165192,9 +161824,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434683 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [5]),
 	.X(n_7108), 
 	.VPWR(vccd1), 
@@ -165202,9 +161834,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434684 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [6]),
 	.X(n_7107), 
 	.VPWR(vccd1), 
@@ -165212,9 +161844,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434685 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1702_u_soc_xbar_to_dccm_a_data__22),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [7]),
 	.X(n_7106), 
 	.VPWR(vccd1), 
@@ -165222,9 +161854,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434686 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[38] [8]),
 	.X(n_7105), 
 	.VPWR(vccd1), 
@@ -165232,9 +161864,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434687 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [1]),
 	.X(n_7104), 
 	.VPWR(vccd1), 
@@ -165242,9 +161874,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434688 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [2]),
 	.X(n_7103), 
 	.VPWR(vccd1), 
@@ -165252,9 +161884,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434689 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [3]),
 	.X(n_7102), 
 	.VPWR(vccd1), 
@@ -165262,9 +161894,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434690 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [4]),
 	.X(n_7101), 
 	.VPWR(vccd1), 
@@ -165272,9 +161904,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434691 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [5]),
 	.X(n_7100), 
 	.VPWR(vccd1), 
@@ -165282,9 +161914,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434692 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_OFN1695_u_soc_xbar_to_dccm_a_data__29),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [6]),
 	.X(n_7099), 
 	.VPWR(vccd1), 
@@ -165292,9 +161924,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434693 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_PDN4060_u_soc_xbar_to_dccm_a_data_30),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [7]),
 	.X(n_7098), 
 	.VPWR(vccd1), 
@@ -165302,9 +161934,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434694 (
-	.A1(FE_OFN995_n_6491),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1494_n_6710),
+	.A1(FE_OFN1188_n_6491),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1570_n_6710),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[39] [8]),
 	.X(n_7097), 
 	.VPWR(vccd1), 
@@ -165312,9 +161944,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434695 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [5]),
 	.X(n_7096), 
 	.VPWR(vccd1), 
@@ -165322,9 +161954,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434696 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [1]),
 	.X(n_7095), 
 	.VPWR(vccd1), 
@@ -165332,9 +161964,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434697 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [2]),
 	.X(n_7094), 
 	.VPWR(vccd1), 
@@ -165342,9 +161974,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434698 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [3]),
 	.X(n_7093), 
 	.VPWR(vccd1), 
@@ -165352,9 +161984,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434699 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [4]),
 	.X(n_7092), 
 	.VPWR(vccd1), 
@@ -165362,9 +161994,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434700 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [5]),
 	.X(n_7091), 
 	.VPWR(vccd1), 
@@ -165372,9 +162004,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434701 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [6]),
 	.X(n_7090), 
 	.VPWR(vccd1), 
@@ -165382,9 +162014,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434702 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1720_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [7]),
 	.X(n_7089), 
 	.VPWR(vccd1), 
@@ -165392,9 +162024,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434703 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [8]),
 	.X(n_7088), 
 	.VPWR(vccd1), 
@@ -165402,9 +162034,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434704 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [1]),
 	.X(n_7087), 
 	.VPWR(vccd1), 
@@ -165412,9 +162044,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434705 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [2]),
 	.X(n_7086), 
 	.VPWR(vccd1), 
@@ -165422,9 +162054,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434706 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [3]),
 	.X(n_7085), 
 	.VPWR(vccd1), 
@@ -165432,9 +162064,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434707 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [4]),
 	.X(n_7084), 
 	.VPWR(vccd1), 
@@ -165442,9 +162074,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434708 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [5]),
 	.X(n_7083), 
 	.VPWR(vccd1), 
@@ -165452,9 +162084,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434709 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [6]),
 	.X(n_7082), 
 	.VPWR(vccd1), 
@@ -165462,9 +162094,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434710 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1710_u_soc_xbar_to_dccm_a_data__14),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [7]),
 	.X(n_7081), 
 	.VPWR(vccd1), 
@@ -165472,9 +162104,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434711 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[53] [8]),
 	.X(n_7080), 
 	.VPWR(vccd1), 
@@ -165482,9 +162114,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434712 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_PDN4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [1]),
 	.X(n_7079), 
 	.VPWR(vccd1), 
@@ -165492,9 +162124,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434713 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_PDN4085_FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [2]),
 	.X(n_7078), 
 	.VPWR(vccd1), 
@@ -165502,9 +162134,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434714 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_PDN4090_FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [3]),
 	.X(n_7077), 
 	.VPWR(vccd1), 
@@ -165512,9 +162144,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434715 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [4]),
 	.X(n_7076), 
 	.VPWR(vccd1), 
@@ -165522,9 +162154,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434716 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [5]),
 	.X(n_7075), 
 	.VPWR(vccd1), 
@@ -165532,9 +162164,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434717 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [6]),
 	.X(n_7074), 
 	.VPWR(vccd1), 
@@ -165542,9 +162174,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434718 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [8]),
 	.X(n_7073), 
 	.VPWR(vccd1), 
@@ -165552,9 +162184,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434719 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [1]),
 	.X(n_7072), 
 	.VPWR(vccd1), 
@@ -165562,9 +162194,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434720 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [2]),
 	.X(n_7071), 
 	.VPWR(vccd1), 
@@ -165572,9 +162204,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434721 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [3]),
 	.X(n_7070), 
 	.VPWR(vccd1), 
@@ -165582,9 +162214,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434722 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [4]),
 	.X(n_7069), 
 	.VPWR(vccd1), 
@@ -165592,9 +162224,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434723 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [5]),
 	.X(n_7068), 
 	.VPWR(vccd1), 
@@ -165602,9 +162234,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434724 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_PDN3698_u_soc_xbar_to_dccm_a_data_29),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [6]),
 	.X(n_7067), 
 	.VPWR(vccd1), 
@@ -165612,9 +162244,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434725 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_OFN1694_u_soc_xbar_to_dccm_a_data__30),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [7]),
 	.X(n_7066), 
 	.VPWR(vccd1), 
@@ -165622,9 +162254,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434726 (
-	.A1(FE_OFN994_n_6488),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1496_n_6712),
+	.A1(FE_OFN1187_n_6488),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[55] [8]),
 	.X(n_7065), 
 	.VPWR(vccd1), 
@@ -165632,7 +162264,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434727 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[17]),
 	.B1(n_1884),
 	.B2(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
@@ -165643,9 +162275,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434728 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [4]),
 	.X(n_7063), 
 	.VPWR(vccd1), 
@@ -165653,7 +162285,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434729 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[21]),
 	.B1(n_1884),
 	.B2(u_soc_u_top_u_core_csr_mstatus_tw),
@@ -165675,7 +162307,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434731 (
 	.A1(n_6494),
-	.A2(n_6736),
+	.A2(FE_PSN3920_n_6736),
 	.B1(n_16007),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
 	.X(n_7060), 
@@ -165685,7 +162317,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434732 (
 	.A1(n_6494),
-	.A2(n_6737),
+	.A2(FE_PSN3922_n_6737),
 	.B1(n_16007),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
 	.X(n_7059), 
@@ -165695,7 +162327,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434733 (
 	.A1(n_6494),
-	.A2(n_6735),
+	.A2(FE_PSBN19228_n_6735),
 	.B1(n_16007),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
 	.X(n_7058), 
@@ -165704,7 +162336,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g434734 (
-	.A1(FE_OFN1621_n_6733),
+	.A1(FE_OFN1761_n_6733),
 	.A2(n_6495),
 	.B1(n_6416),
 	.Y(n_7057), 
@@ -165714,7 +162346,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434735 (
 	.A1(n_6494),
-	.A2(n_6727),
+	.A2(FE_PSN3896_n_6727),
 	.B1(n_16007),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
 	.X(n_7056), 
@@ -165723,7 +162355,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g434736 (
-	.A1(FE_OFN1501_n_6722),
+	.A1(FE_OFN1759_n_6722),
 	.A2(n_6495),
 	.B1(n_6415),
 	.Y(n_7055), 
@@ -165733,7 +162365,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434737 (
 	.A1(n_6494),
-	.A2(n_6734),
+	.A2(FE_PSN3911_n_6734),
 	.B1(n_16007),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
 	.X(n_7054), 
@@ -165742,7 +162374,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g434738 (
-	.A1(FE_OFN1502_n_6724),
+	.A1(FE_OFN1760_n_6724),
 	.A2(n_6495),
 	.B1(n_6414),
 	.Y(n_7053), 
@@ -165751,7 +162383,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434739 (
-	.A1(FE_OFN1499_n_6718),
+	.A1(FE_OFN1575_n_6718),
 	.A2(n_6495),
 	.B1(n_6413),
 	.Y(n_7052), 
@@ -165760,9 +162392,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434740 (
-	.A1(FE_OFN18230_n_3080),
+	.A1(n_3079),
 	.A2(n_6637),
-	.B1(n_2419),
+	.B1(FE_OFN18115_n_2420),
 	.B2(n_6658),
 	.Y(n_7051), 
 	.VPWR(vccd1), 
@@ -165770,7 +162402,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434741 (
-	.A1(n_6720),
+	.A1(FE_OFN1576_n_6720),
 	.A2(n_6495),
 	.B1(n_6412),
 	.Y(n_7050), 
@@ -165779,7 +162411,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434742 (
-	.A1(n_6726),
+	.A1(FE_OFN1577_n_6726),
 	.A2(n_6495),
 	.B1(n_6411),
 	.Y(n_7049), 
@@ -165788,7 +162420,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434743 (
-	.A1(n_6731),
+	.A1(FE_OFN1579_n_6731),
 	.A2(n_6495),
 	.B1(n_6410),
 	.Y(n_7048), 
@@ -165797,7 +162429,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434744 (
-	.A1(n_6729),
+	.A1(FE_OFN1578_n_6729),
 	.A2(n_6495),
 	.B1(n_6409),
 	.Y(n_7047), 
@@ -165806,7 +162438,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g434745 (
-	.A1(FE_OFN1621_n_6733),
+	.A1(FE_OFN1761_n_6733),
 	.A2(n_5945),
 	.B1(n_5916),
 	.Y(n_7046), 
@@ -165816,7 +162448,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434746 (
 	.A1(n_5944),
-	.A2(n_6734),
+	.A2(FE_PSN3924_n_6734),
 	.B1(n_5642),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
 	.X(n_7045), 
@@ -165826,7 +162458,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434747 (
 	.A1(n_5944),
-	.A2(n_6735),
+	.A2(FE_PSBN19228_n_6735),
 	.B1(n_5642),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
 	.X(n_7044), 
@@ -165836,7 +162468,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434748 (
 	.A1(n_5944),
-	.A2(n_6736),
+	.A2(FE_PSN3920_n_6736),
 	.B1(n_5642),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
 	.X(n_7043), 
@@ -165846,7 +162478,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434749 (
 	.A1(n_5944),
-	.A2(n_6737),
+	.A2(FE_PSN3922_n_6737),
 	.B1(n_5642),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
 	.X(n_7042), 
@@ -165856,7 +162488,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434750 (
 	.A1(n_5944),
-	.A2(n_6727),
+	.A2(FE_PSN3896_n_6727),
 	.B1(n_5642),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
 	.X(n_7041), 
@@ -165865,7 +162497,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g434751 (
-	.A1(FE_OFN1501_n_6722),
+	.A1(FE_OFN1759_n_6722),
 	.A2(n_5945),
 	.B1(n_5915),
 	.Y(n_7040), 
@@ -165874,7 +162506,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g434752 (
-	.A1(FE_OFN1502_n_6724),
+	.A1(FE_OFN1760_n_6724),
 	.A2(n_5945),
 	.B1(n_5914),
 	.Y(n_7039), 
@@ -165883,7 +162515,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g434753 (
-	.A1(FE_OFN1646_n_3077),
+	.A1(FE_OFN1820_n_3077),
 	.A2(n_5441),
 	.B1(n_5385),
 	.B2(n_2561),
@@ -165894,7 +162526,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434754 (
-	.A1(n_6726),
+	.A1(FE_OFN1577_n_6726),
 	.A2(n_5945),
 	.B1(n_5911),
 	.Y(n_7037), 
@@ -165903,7 +162535,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434755 (
-	.A1(n_6720),
+	.A1(FE_OFN1576_n_6720),
 	.A2(n_5945),
 	.B1(n_5912),
 	.Y(n_7036), 
@@ -165912,7 +162544,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434756 (
-	.A1(n_6731),
+	.A1(FE_OFN1579_n_6731),
 	.A2(n_5945),
 	.B1(n_5910),
 	.Y(n_7035), 
@@ -165921,7 +162553,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434757 (
-	.A1(n_6729),
+	.A1(FE_OFN1578_n_6729),
 	.A2(n_5945),
 	.B1(n_5909),
 	.Y(n_7034), 
@@ -165932,7 +162564,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g434758 (
 	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
 	.A2_N(n_5643),
-	.B1(FE_OFN1621_n_6733),
+	.B1(FE_OFN1761_n_6733),
 	.B2(n_5940),
 	.Y(n_7033), 
 	.VPWR(vccd1), 
@@ -165941,7 +162573,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434759 (
 	.A1(n_5941),
-	.A2(n_6734),
+	.A2(FE_PSN3924_n_6734),
 	.B1(n_5643),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
 	.X(n_7032), 
@@ -165951,7 +162583,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434760 (
 	.A1(n_5941),
-	.A2(n_6735),
+	.A2(FE_PSBN19228_n_6735),
 	.B1(n_5643),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
 	.X(n_7031), 
@@ -165961,7 +162593,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434761 (
 	.A1(n_5941),
-	.A2(n_6736),
+	.A2(FE_PSN3920_n_6736),
 	.B1(n_5643),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
 	.X(n_7030), 
@@ -165971,7 +162603,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434762 (
 	.A1(n_5941),
-	.A2(n_6737),
+	.A2(FE_PSN3908_n_6737),
 	.B1(n_5643),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
 	.X(n_7029), 
@@ -165981,7 +162613,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434763 (
 	.A1(n_5941),
-	.A2(n_6727),
+	.A2(FE_PSN3896_n_6727),
 	.B1(n_5643),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
 	.X(n_7028), 
@@ -165992,7 +162624,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g434764 (
 	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
 	.A2_N(n_5643),
-	.B1(FE_OFN1501_n_6722),
+	.B1(FE_OFN1759_n_6722),
 	.B2(n_5940),
 	.Y(n_7027), 
 	.VPWR(vccd1), 
@@ -166002,7 +162634,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g434765 (
 	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
 	.A2_N(n_5643),
-	.B1(FE_OFN1502_n_6724),
+	.B1(FE_OFN1760_n_6724),
 	.B2(n_5940),
 	.Y(n_7026), 
 	.VPWR(vccd1), 
@@ -166012,7 +162644,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g434766 (
 	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
 	.A2_N(n_5643),
-	.B1(FE_OFN1499_n_6718),
+	.B1(FE_OFN1575_n_6718),
 	.B2(n_5940),
 	.Y(n_7025), 
 	.VPWR(vccd1), 
@@ -166022,7 +162654,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g434767 (
 	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
 	.A2_N(n_5643),
-	.B1(n_6720),
+	.B1(FE_OFN1576_n_6720),
 	.B2(n_5940),
 	.Y(n_7024), 
 	.VPWR(vccd1), 
@@ -166032,7 +162664,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g434768 (
 	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
 	.A2_N(n_5643),
-	.B1(n_6726),
+	.B1(FE_OFN1577_n_6726),
 	.B2(n_5940),
 	.Y(n_7023), 
 	.VPWR(vccd1), 
@@ -166042,7 +162674,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g434769 (
 	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
 	.A2_N(n_5643),
-	.B1(n_6731),
+	.B1(FE_OFN1579_n_6731),
 	.B2(n_5940),
 	.Y(n_7022), 
 	.VPWR(vccd1), 
@@ -166052,7 +162684,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g434770 (
 	.A1_N(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
 	.A2_N(n_5643),
-	.B1(n_6729),
+	.B1(FE_OFN1578_n_6729),
 	.B2(n_5940),
 	.Y(n_7021), 
 	.VPWR(vccd1), 
@@ -166060,7 +162692,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g434771 (
-	.A1(FE_OFN1621_n_6733),
+	.A1(FE_OFN1761_n_6733),
 	.A2(n_5943),
 	.B1(n_5908),
 	.Y(n_7020), 
@@ -166070,7 +162702,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434772 (
 	.A1(n_5942),
-	.A2(n_6734),
+	.A2(FE_PSN3924_n_6734),
 	.B1(n_5644),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
 	.X(n_7019), 
@@ -166080,7 +162712,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434773 (
 	.A1(n_5942),
-	.A2(n_6735),
+	.A2(FE_PSBN19228_n_6735),
 	.B1(n_5644),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
 	.X(n_7018), 
@@ -166090,7 +162722,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434774 (
 	.A1(n_5942),
-	.A2(n_6736),
+	.A2(FE_PSN3920_n_6736),
 	.B1(n_5644),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
 	.X(n_7017), 
@@ -166100,7 +162732,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434775 (
 	.A1(n_5942),
-	.A2(n_6737),
+	.A2(FE_PSN3908_n_6737),
 	.B1(n_5644),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
 	.X(n_7016), 
@@ -166110,7 +162742,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434776 (
 	.A1(n_5942),
-	.A2(n_6727),
+	.A2(FE_PSN3896_n_6727),
 	.B1(n_5644),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
 	.X(n_7015), 
@@ -166119,9 +162751,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434777 (
-	.A1(FE_OFN984_n_5382),
+	.A1(FE_OFN1177_n_5382),
 	.A2(n_6732),
-	.B1(n_2534),
+	.B1(FE_OFN18991_n_2534),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [1]),
 	.Y(n_7014), 
 	.VPWR(vccd1), 
@@ -166129,7 +162761,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g434778 (
-	.A1(FE_OFN1502_n_6724),
+	.A1(FE_OFN1760_n_6724),
 	.A2(n_5943),
 	.B1(n_5906),
 	.Y(n_7013), 
@@ -166138,7 +162770,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g434779 (
-	.A1(FE_OFN1501_n_6722),
+	.A1(FE_OFN1759_n_6722),
 	.A2(n_5943),
 	.B1(n_5907),
 	.Y(n_7012), 
@@ -166147,7 +162779,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434780 (
-	.A1(FE_OFN1499_n_6718),
+	.A1(FE_OFN1575_n_6718),
 	.A2(n_5943),
 	.B1(n_5905),
 	.Y(n_7011), 
@@ -166156,7 +162788,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434781 (
-	.A1(n_6720),
+	.A1(FE_OFN1576_n_6720),
 	.A2(n_5943),
 	.B1(n_5904),
 	.Y(n_7010), 
@@ -166165,7 +162797,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434782 (
-	.A1(n_6726),
+	.A1(FE_OFN1577_n_6726),
 	.A2(n_5943),
 	.B1(n_5903),
 	.Y(n_7009), 
@@ -166174,7 +162806,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434783 (
-	.A1(n_6731),
+	.A1(FE_OFN1579_n_6731),
 	.A2(n_5943),
 	.B1(n_5902),
 	.Y(n_7008), 
@@ -166183,7 +162815,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g434784 (
-	.A1(n_6729),
+	.A1(FE_OFN1578_n_6729),
 	.A2(n_5943),
 	.B1(n_5901),
 	.Y(n_7007), 
@@ -166192,9 +162824,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434785 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [3]),
 	.X(n_7006), 
 	.VPWR(vccd1), 
@@ -166202,9 +162834,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434786 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_PDN4060_u_soc_xbar_to_dccm_a_data_30),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [7]),
 	.X(n_7005), 
 	.VPWR(vccd1), 
@@ -166212,9 +162844,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434787 (
-	.A1(FE_OFN994_n_6488),
+	.A1(FE_OFN1187_n_6488),
 	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1496_n_6712),
+	.B1(FE_OFN1572_n_6712),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[54] [7]),
 	.X(n_7004), 
 	.VPWR(vccd1), 
@@ -166222,9 +162854,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434788 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [1]),
 	.X(n_7003), 
 	.VPWR(vccd1), 
@@ -166232,9 +162864,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434789 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [2]),
 	.X(n_7002), 
 	.VPWR(vccd1), 
@@ -166242,9 +162874,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434790 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [6]),
 	.X(n_7001), 
 	.VPWR(vccd1), 
@@ -166252,9 +162884,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434791 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1720_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [7]),
 	.X(n_7000), 
 	.VPWR(vccd1), 
@@ -166262,9 +162894,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434792 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [8]),
 	.X(n_6999), 
 	.VPWR(vccd1), 
@@ -166272,9 +162904,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434793 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [1]),
 	.X(n_6998), 
 	.VPWR(vccd1), 
@@ -166282,9 +162914,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434794 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [2]),
 	.X(n_6997), 
 	.VPWR(vccd1), 
@@ -166292,9 +162924,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434795 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [3]),
 	.X(n_6996), 
 	.VPWR(vccd1), 
@@ -166302,9 +162934,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434796 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [4]),
 	.X(n_6995), 
 	.VPWR(vccd1), 
@@ -166312,9 +162944,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434797 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [5]),
 	.X(n_6994), 
 	.VPWR(vccd1), 
@@ -166322,9 +162954,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434798 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [6]),
 	.X(n_6993), 
 	.VPWR(vccd1), 
@@ -166332,9 +162964,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434799 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_PDN3701_u_soc_xbar_to_dccm_a_data_14),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [7]),
 	.X(n_6992), 
 	.VPWR(vccd1), 
@@ -166342,9 +162974,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434800 (
-	.A1(FE_OFN1498_n_6714),
+	.A1(FE_OFN1574_n_6714),
 	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1497_n_6713),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[5] [8]),
 	.X(n_6991), 
 	.VPWR(vccd1), 
@@ -166352,9 +162984,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434801 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [1]),
 	.X(n_6990), 
 	.VPWR(vccd1), 
@@ -166362,9 +162994,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434802 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [2]),
 	.X(n_6989), 
 	.VPWR(vccd1), 
@@ -166372,9 +163004,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434803 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [4]),
 	.X(n_6988), 
 	.VPWR(vccd1), 
@@ -166382,9 +163014,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434804 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [5]),
 	.X(n_6987), 
 	.VPWR(vccd1), 
@@ -166392,9 +163024,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434805 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [6]),
 	.X(n_6986), 
 	.VPWR(vccd1), 
@@ -166402,9 +163034,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434806 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1702_u_soc_xbar_to_dccm_a_data__22),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [7]),
 	.X(n_6985), 
 	.VPWR(vccd1), 
@@ -166412,9 +163044,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434807 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[6] [8]),
 	.X(n_6984), 
 	.VPWR(vccd1), 
@@ -166422,9 +163054,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434808 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [1]),
 	.X(n_6983), 
 	.VPWR(vccd1), 
@@ -166432,9 +163064,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434809 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [2]),
 	.X(n_6982), 
 	.VPWR(vccd1), 
@@ -166442,9 +163074,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434810 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [3]),
 	.X(n_6981), 
 	.VPWR(vccd1), 
@@ -166452,9 +163084,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434811 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [4]),
 	.X(n_6980), 
 	.VPWR(vccd1), 
@@ -166462,9 +163094,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434812 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [5]),
 	.X(n_6979), 
 	.VPWR(vccd1), 
@@ -166472,9 +163104,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434813 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_OFN1695_u_soc_xbar_to_dccm_a_data__29),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [6]),
 	.X(n_6978), 
 	.VPWR(vccd1), 
@@ -166482,9 +163114,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434814 (
-	.A1(FE_OFN1498_n_6714),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1497_n_6713),
+	.A1(FE_OFN1574_n_6714),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1573_n_6713),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[7] [8]),
 	.X(n_6977), 
 	.VPWR(vccd1), 
@@ -166492,9 +163124,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434815 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [1]),
 	.X(n_6976), 
 	.VPWR(vccd1), 
@@ -166502,9 +163134,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434816 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [2]),
 	.X(n_6975), 
 	.VPWR(vccd1), 
@@ -166512,9 +163144,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434817 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [3]),
 	.X(n_6974), 
 	.VPWR(vccd1), 
@@ -166522,9 +163154,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434818 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [4]),
 	.X(n_6973), 
 	.VPWR(vccd1), 
@@ -166532,9 +163164,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434819 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [5]),
 	.X(n_6972), 
 	.VPWR(vccd1), 
@@ -166542,9 +163174,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434820 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [6]),
 	.X(n_6971), 
 	.VPWR(vccd1), 
@@ -166552,9 +163184,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434821 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1719_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [7]),
 	.X(n_6970), 
 	.VPWR(vccd1), 
@@ -166562,9 +163194,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434822 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_PDN3656_FE_OFN1717_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [8]),
 	.X(n_6969), 
 	.VPWR(vccd1), 
@@ -166572,9 +163204,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434823 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [1]),
 	.X(n_6968), 
 	.VPWR(vccd1), 
@@ -166582,9 +163214,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434824 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [2]),
 	.X(n_6967), 
 	.VPWR(vccd1), 
@@ -166592,9 +163224,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434825 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [3]),
 	.X(n_6966), 
 	.VPWR(vccd1), 
@@ -166602,9 +163234,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434826 (
-	.A1(FE_OFN993_n_6487),
-	.A2(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [4]),
 	.X(n_6965), 
 	.VPWR(vccd1), 
@@ -166612,9 +163244,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434827 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [5]),
 	.X(n_6964), 
 	.VPWR(vccd1), 
@@ -166622,9 +163254,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434828 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [6]),
 	.X(n_6963), 
 	.VPWR(vccd1), 
@@ -166632,9 +163264,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434829 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1710_u_soc_xbar_to_dccm_a_data__14),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [7]),
 	.X(n_6962), 
 	.VPWR(vccd1), 
@@ -166642,9 +163274,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434830 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[21] [8]),
 	.X(n_6961), 
 	.VPWR(vccd1), 
@@ -166652,9 +163284,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434831 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_PDN4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [1]),
 	.X(n_6960), 
 	.VPWR(vccd1), 
@@ -166662,9 +163294,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434832 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_PDN4085_FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [2]),
 	.X(n_6959), 
 	.VPWR(vccd1), 
@@ -166672,9 +163304,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434833 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [3]),
 	.X(n_6958), 
 	.VPWR(vccd1), 
@@ -166682,9 +163314,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434834 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [4]),
 	.X(n_6957), 
 	.VPWR(vccd1), 
@@ -166692,9 +163324,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434835 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [5]),
 	.X(n_6956), 
 	.VPWR(vccd1), 
@@ -166702,9 +163334,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434836 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [6]),
 	.X(n_6955), 
 	.VPWR(vccd1), 
@@ -166712,9 +163344,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434837 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1702_u_soc_xbar_to_dccm_a_data__22),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [7]),
 	.X(n_6954), 
 	.VPWR(vccd1), 
@@ -166722,9 +163354,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434838 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[22] [8]),
 	.X(n_6953), 
 	.VPWR(vccd1), 
@@ -166732,9 +163364,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434839 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [1]),
 	.X(n_6952), 
 	.VPWR(vccd1), 
@@ -166742,9 +163374,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434840 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [2]),
 	.X(n_6951), 
 	.VPWR(vccd1), 
@@ -166752,9 +163384,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434841 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [3]),
 	.X(n_6950), 
 	.VPWR(vccd1), 
@@ -166762,9 +163394,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434842 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [4]),
 	.X(n_6949), 
 	.VPWR(vccd1), 
@@ -166772,9 +163404,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434843 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [5]),
 	.X(n_6948), 
 	.VPWR(vccd1), 
@@ -166782,9 +163414,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434844 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_PDN3698_u_soc_xbar_to_dccm_a_data_29),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [6]),
 	.X(n_6947), 
 	.VPWR(vccd1), 
@@ -166792,9 +163424,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434845 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_OFN1694_u_soc_xbar_to_dccm_a_data__30),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [7]),
 	.X(n_6946), 
 	.VPWR(vccd1), 
@@ -166802,9 +163434,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g434846 (
-	.A1(FE_OFN993_n_6487),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1495_n_6711),
+	.A1(FE_OFN1186_n_6487),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1571_n_6711),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[23] [8]),
 	.X(n_6945), 
 	.VPWR(vccd1), 
@@ -166815,16 +163447,16 @@
 	.A1(n_2419),
 	.A2(n_6618),
 	.B1(n_1746),
-	.B2(FE_OFN18279_n_16012),
+	.B2(FE_OFN1272_n_16012),
 	.Y(n_6944), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434848 (
-	.A1(FE_OFN18230_n_3080),
+	.A1(n_3079),
 	.A2(n_6611),
-	.B1(n_2419),
+	.B1(FE_OFN18115_n_2420),
 	.B2(n_6653),
 	.Y(n_6943), 
 	.VPWR(vccd1), 
@@ -166835,16 +163467,16 @@
 	.A1(n_2419),
 	.A2(n_6641),
 	.B1(n_1746),
-	.B2(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.B2(\u_soc_xbar_to_dccm[a_address] [2]),
 	.Y(n_6942), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434850 (
-	.A1(FE_OFN18230_n_3080),
+	.A1(n_3079),
 	.A2(n_6617),
-	.B1(n_2419),
+	.B1(FE_OFN18115_n_2420),
 	.B2(n_6609),
 	.Y(n_6941), 
 	.VPWR(vccd1), 
@@ -166852,9 +163484,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434851 (
-	.A1(FE_OFN18230_n_3080),
+	.A1(n_3079),
 	.A2(n_6636),
-	.B1(n_2419),
+	.B1(FE_OFN18115_n_2420),
 	.B2(n_6613),
 	.Y(n_6940), 
 	.VPWR(vccd1), 
@@ -166862,9 +163494,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g434852 (
-	.A1(FE_OFN18230_n_3080),
+	.A1(n_3079),
 	.A2(n_6638),
-	.B1(n_2419),
+	.B1(FE_OFN18115_n_2420),
 	.B2(n_6612),
 	.Y(n_6939), 
 	.VPWR(vccd1), 
@@ -166893,13 +163525,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g434856 (
-	.A(n_6921),
-	.Y(n_6920), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434858 (
 	.A(n_6592),
 	.B(n_6185),
@@ -166994,7 +163619,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434869 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6697),
 	.Y(n_6902), 
 	.VPWR(vccd1), 
@@ -167002,7 +163627,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434870 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6698),
 	.Y(n_6901), 
 	.VPWR(vccd1), 
@@ -167078,7 +163703,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434879 (
-	.A(FE_OFN18219_n_6709),
+	.A(FE_OFN18242_n_6709),
 	.B(u_soc_u_top_u_core_instr_rdata_id[23]),
 	.Y(n_6892), 
 	.VPWR(vccd1), 
@@ -167086,15 +163711,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434880 (
-	.A(FE_OFN18219_n_6709),
-	.B(FE_OFN721_u_soc_u_top_u_core_instr_rdata_id_21),
+	.A(FE_OFN18242_n_6709),
+	.B(FE_OFN843_u_soc_u_top_u_core_instr_rdata_id_21),
 	.Y(n_6891), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434881 (
-	.A(n_6708),
+	.A(FE_OFN1191_n_6709),
 	.B(u_soc_u_top_u_core_instr_rdata_id[7]),
 	.Y(n_6890), 
 	.VPWR(vccd1), 
@@ -167102,7 +163727,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434882 (
-	.A(n_6708),
+	.A(FE_OFN18242_n_6709),
 	.B(u_soc_u_top_u_core_instr_rdata_c_id[0]),
 	.Y(n_6889), 
 	.VPWR(vccd1), 
@@ -167110,16 +163735,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434883 (
-	.A(FE_OFN18321_n_6708),
-	.B(FE_OFN18262_u_soc_u_top_u_core_instr_rdata_id_4),
+	.A(FE_OFN18242_n_6709),
+	.B(FE_OFN18188_u_soc_u_top_u_core_instr_rdata_id_4),
 	.Y(n_6888), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434884 (
-	.A(FE_OFN18320_n_6708),
-	.B(FE_OFN18439_u_soc_u_top_u_core_instr_rdata_id_3),
+	.A(FE_OFN18243_n_6709),
+	.B(u_soc_u_top_u_core_instr_rdata_id[3]),
 	.Y(n_6887), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -167142,8 +163767,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434953 (
-	.A(FE_OFN998_n_6709),
-	.B(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
+	.A(n_6708),
+	.B(FE_OFN18271_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0),
 	.Y(n_6936), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -167178,7 +163803,7 @@
    sky130_fd_sc_hd__nand4_1 g434966 (
 	.A(n_5785),
 	.B(n_2629),
-	.C(n_2136),
+	.C(FE_OFN1745_n_2136),
 	.D(n_2973),
 	.Y(n_6932), 
 	.VPWR(vccd1), 
@@ -167188,7 +163813,7 @@
    sky130_fd_sc_hd__nand4_1 g434967 (
 	.A(n_5786),
 	.B(n_2914),
-	.C(n_2136),
+	.C(FE_OFN1745_n_2136),
 	.D(n_2620),
 	.Y(n_6931), 
 	.VPWR(vccd1), 
@@ -167198,7 +163823,7 @@
    sky130_fd_sc_hd__nand4_1 g434968 (
 	.A(n_5781),
 	.B(n_2972),
-	.C(n_2136),
+	.C(FE_OFN1745_n_2136),
 	.D(n_2665),
 	.Y(n_6930), 
 	.VPWR(vccd1), 
@@ -167208,7 +163833,7 @@
    sky130_fd_sc_hd__nand4_1 g434969 (
 	.A(n_5780),
 	.B(n_2631),
-	.C(n_2136),
+	.C(FE_OFN1745_n_2136),
 	.D(n_2967),
 	.Y(n_6929), 
 	.VPWR(vccd1), 
@@ -167248,7 +163873,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g434986 (
-	.A(FE_OFN998_n_6709),
+	.A(n_6708),
 	.B(u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]),
 	.Y(n_6923), 
 	.VPWR(vccd1), 
@@ -167258,15 +163883,15 @@
    sky130_fd_sc_hd__a21oi_1 g434987 (
 	.A1(n_1189),
 	.A2(n_13),
-	.B1(n_6709),
+	.B1(FE_OFN18242_n_6709),
 	.Y(n_6921), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g434988 (
+   sky130_fd_sc_hd__and2_1 g434988 (
 	.A(n_6),
-	.B(n_6708),
+	.B(FE_OFN18242_n_6709),
 	.X(n_6919), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -167297,8 +163922,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g434992 (
-	.A(n_6708),
-	.B(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A(FE_OFN18242_n_6709),
+	.B(FE_OFN18510_n),
 	.Y(n_6915), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -167312,33 +163937,26 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g434995 (
-	.A(n_6871),
+	.A(FE_OFN19139_n_6871),
 	.Y(n_6870), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g434997 (
-	.A(FE_OFN19255_n_6867),
+	.A(n_6867),
 	.Y(n_6866), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g434998 (
-	.A(FE_OFN1002_n_6865),
+	.A(FE_PSN3986_FE_OFN1195_n_6865),
 	.Y(n_6864), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g434999 (
-	.A(n_6863),
-	.Y(n_6862), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g435000 (
 	.A(n_6861),
 	.Y(n_6860), 
@@ -167346,15 +163964,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g435001 (
-	.A(FE_OFN1001_n_6859),
-	.Y(n_6858), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g435002 (
-	.A(FE_OFN1000_n_6857),
+	.A(FE_OFN1193_n_6857),
 	.Y(n_6856), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -167365,7 +163976,7 @@
 	.A2(n_3078),
 	.B1(n_5998),
 	.B2(n_2414),
-	.C1(FE_OFN1646_n_3077),
+	.C1(FE_OFN1820_n_3077),
 	.C2(n_5439),
 	.Y(n_6855), 
 	.VPWR(vccd1), 
@@ -167384,9 +163995,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435005 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[20]),
 	.C1(n_6590),
 	.Y(n_6853), 
@@ -167398,7 +164009,7 @@
 	.A1(n_5939),
 	.A2(n_13423),
 	.B1(n_5938),
-	.B2(FE_PSN4109_FE_OFN1586_n_13424),
+	.B2(FE_OFN18245_n_13424),
 	.C1(n_6583),
 	.Y(n_6852), 
 	.VPWR(vccd1), 
@@ -167426,9 +164037,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435009 (
-	.A1(FE_OFN885_n_1518),
+	.A1(FE_OFN1090_n_1518),
 	.A2(u_soc_u_top_u_core_csr_mepc[30]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[30]),
 	.C1(n_6565),
 	.Y(n_6849), 
@@ -167438,7 +164049,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435010 (
 	.A1(n_1037),
-	.A2(n_5831),
+	.A2(FE_OFN19019_n_5832),
 	.B1(n_1024),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
 	.C1(n_5847),
@@ -167449,10 +164060,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435011 (
-	.A1(FE_OFN997_n_6493),
-	.A2(\u_soc_xbar_to_dccm[a_address] [3]),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN18212_u_soc_xbar_to_dccm_a_address__3),
 	.B1(n_5937),
-	.B2(\u_soc_xbar_to_dccm[a_address] [2]),
+	.B2(FE_OFN18214_u_soc_xbar_to_dccm_a_address__2),
 	.X(n_6847), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -167462,7 +164073,7 @@
 	.A1(n_2287),
 	.A2(n_2162),
 	.B1(n_5938),
-	.B2(FE_OFN18412_n),
+	.B2(n_13419),
 	.C1(n_2564),
 	.C2(n_5396),
 	.Y(n_6846), 
@@ -167481,19 +164092,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435014 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN903_u_soc_xbar_to_dccm_a_address__5),
 	.B1(n_5937),
-	.B2(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.B2(FE_OFN904_u_soc_xbar_to_dccm_a_address__4),
 	.X(n_6844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435015 (
-	.A1(FE_OFN1606_n_1516),
+	.A1(FE_OFN1730_n_1516),
 	.A2(u_soc_u_top_u_core_csr_depc[3]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[3]),
 	.C1(n_6399),
 	.X(n_6843), 
@@ -167503,7 +164114,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435016 (
 	.A1(n_6497),
-	.A2(FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5),
+	.A2(FE_OFN823_u_soc_u_tcam_rdata_5),
 	.B1(n_5670),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [6]),
 	.X(n_6842), 
@@ -167514,16 +164125,16 @@
    sky130_fd_sc_hd__a21oi_1 g435017 (
 	.A1(n_6504),
 	.A2(n_468),
-	.B1(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B1(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.Y(n_6841), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435018 (
-	.A1(FE_OFN1608_n_2244),
+	.A1(FE_OFN1747_n_2244),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
-	.B1(FE_OFN1605_n_1513),
+	.B1(FE_OFN1729_n_1513),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[8]),
 	.C1(n_6626),
 	.Y(n_6840), 
@@ -167543,7 +164154,7 @@
    sky130_fd_sc_hd__a211oi_1 g435020 (
 	.A1(n_3138),
 	.A2(n_497),
-	.B1(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B1(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.C1(n_5990),
 	.Y(n_6838), 
 	.VPWR(vccd1), 
@@ -167572,7 +164183,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435023 (
 	.A1(n_6497),
-	.A2(FE_OFN707_u_soc_u_tcam_rdata_2),
+	.A2(FE_OFN829_u_soc_u_tcam_rdata_2),
 	.B1(n_5670),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [3]),
 	.X(n_6835), 
@@ -167582,7 +164193,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435024 (
 	.A1(n_6497),
-	.A2(FE_OFN703_u_soc_u_tcam_rdata_4),
+	.A2(FE_OFN825_u_soc_u_tcam_rdata_4),
 	.B1(n_5670),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [5]),
 	.X(n_6834), 
@@ -167592,7 +164203,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435025 (
 	.A1(n_6497),
-	.A2(FE_OFN705_u_soc_u_tcam_rdata_3),
+	.A2(FE_OFN827_u_soc_u_tcam_rdata_3),
 	.B1(n_5670),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [4]),
 	.X(n_6833), 
@@ -167602,7 +164213,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435026 (
 	.A1(n_6497),
-	.A2(FE_OFN709_u_soc_u_tcam_rdata_1),
+	.A2(FE_OFN831_u_soc_u_tcam_rdata_1),
 	.B1(n_5670),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [2]),
 	.X(n_6832), 
@@ -167612,7 +164223,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435027 (
 	.A1(n_6497),
-	.A2(FE_OFN711_u_soc_u_tcam_rdata_0),
+	.A2(FE_OFN833_u_soc_u_tcam_rdata_0),
 	.B1(n_5670),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [1]),
 	.X(n_6831), 
@@ -167622,7 +164233,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435028 (
 	.A1(n_6496),
-	.A2(FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5),
+	.A2(FE_OFN823_u_soc_u_tcam_rdata_5),
 	.B1(n_5668),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [6]),
 	.X(n_6830), 
@@ -167632,7 +164243,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435029 (
 	.A1(n_6496),
-	.A2(FE_OFN703_u_soc_u_tcam_rdata_4),
+	.A2(FE_OFN825_u_soc_u_tcam_rdata_4),
 	.B1(n_5668),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [5]),
 	.X(n_6829), 
@@ -167642,7 +164253,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435030 (
 	.A1(n_6496),
-	.A2(FE_OFN705_u_soc_u_tcam_rdata_3),
+	.A2(FE_OFN827_u_soc_u_tcam_rdata_3),
 	.B1(n_5668),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [4]),
 	.X(n_6828), 
@@ -167652,7 +164263,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435031 (
 	.A1(n_6496),
-	.A2(FE_OFN707_u_soc_u_tcam_rdata_2),
+	.A2(FE_OFN829_u_soc_u_tcam_rdata_2),
 	.B1(n_5668),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [3]),
 	.X(n_6827), 
@@ -167661,7 +164272,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435032 (
-	.A1(FE_OFN1646_n_3077),
+	.A1(FE_OFN1820_n_3077),
 	.A2(n_5428),
 	.B1(n_5385),
 	.B2(n_2925),
@@ -167674,7 +164285,7 @@
    sky130_fd_sc_hd__a222oi_1 g435033 (
 	.A1(n_5445),
 	.A2(n_3078),
-	.B1(FE_OFN1646_n_3077),
+	.B1(FE_OFN1820_n_3077),
 	.B2(n_5444),
 	.C1(n_6001),
 	.C2(n_2414),
@@ -167684,7 +164295,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435034 (
-	.A1(FE_OFN1646_n_3077),
+	.A1(FE_OFN1820_n_3077),
 	.A2(n_5457),
 	.B1(n_5385),
 	.B2(n_2567),
@@ -167696,8 +164307,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g435035 (
 	.A(n_13408),
-	.B(FE_PSN4147_n_13407),
-	.C(FE_PSN4168_n_13409),
+	.B(n_13407),
+	.C(FE_PSN3951_n_13409),
 	.D(n_5919),
 	.X(n_6823), 
 	.VPWR(vccd1), 
@@ -167715,8 +164326,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435037 (
-	.A1(n_13418),
-	.A2(FE_OFN1482_n_5651),
+	.A1(FE_OFN19049_n),
+	.A2(n_5651),
 	.B1(n_5960),
 	.B2(n_1422),
 	.C1(n_2982),
@@ -167727,8 +164338,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435038 (
-	.A1(FE_OFN18492_n_13420),
-	.A2(FE_OFN1482_n_5651),
+	.A1(FE_OFN18426_n_13420),
+	.A2(n_5651),
 	.B1(n_5960),
 	.B2(n_1423),
 	.C1(n_2982),
@@ -167740,10 +164351,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435039 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
-	.A2(FE_OFN1481_n_5649),
+	.A2(n_5649),
 	.B1(n_5960),
 	.B2(n_1524),
-	.C1(FE_OFN1480_n_5647),
+	.C1(n_5647),
 	.C2(n_13423),
 	.Y(n_6819), 
 	.VPWR(vccd1), 
@@ -167752,7 +164363,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435040 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
-	.A2(FE_OFN1481_n_5649),
+	.A2(FE_OFN1552_n_5649),
 	.B1(n_5960),
 	.B2(n_1523),
 	.C1(n_2982),
@@ -167763,11 +164374,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435041 (
-	.A1(\u_soc_uart_to_xbar[d_data] [24]),
+	.A1(FE_OFN1016_u_soc_uart_to_xbar_d_data__24),
 	.A2(n_1411),
 	.B1(n_5956),
-	.B2(FE_OFN19312_u_soc_u_dccm_rdata3_24),
-	.C1(FE_OFN930_n_2987),
+	.B2(FE_OFN481_u_soc_u_dccm_rdata3_24),
+	.C1(FE_OFN1124_n_2987),
 	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
 	.Y(n_6817), 
 	.VPWR(vccd1), 
@@ -167775,11 +164386,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435042 (
-	.A1(\u_soc_uart_to_xbar[d_data] [25]),
+	.A1(FE_OFN1015_u_soc_uart_to_xbar_d_data__25),
 	.A2(n_1411),
 	.B1(n_5956),
-	.B2(FE_OFN19303_u_soc_u_dccm_rdata3_25),
-	.C1(FE_OFN930_n_2987),
+	.B2(FE_OFN480_u_soc_u_dccm_rdata3_25),
+	.C1(FE_OFN1124_n_2987),
 	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
 	.Y(n_6816), 
 	.VPWR(vccd1), 
@@ -167787,11 +164398,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435043 (
-	.A1(\u_soc_uart_to_xbar[d_data] [26]),
+	.A1(FE_OFN1014_u_soc_uart_to_xbar_d_data__26),
 	.A2(n_1411),
 	.B1(n_5956),
-	.B2(FE_OFN19305_u_soc_u_dccm_rdata3_26),
-	.C1(FE_OFN930_n_2987),
+	.B2(FE_OFN479_u_soc_u_dccm_rdata3_26),
+	.C1(FE_OFN1124_n_2987),
 	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
 	.Y(n_6815), 
 	.VPWR(vccd1), 
@@ -167799,11 +164410,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435044 (
-	.A1(\u_soc_uart_to_xbar[d_data] [28]),
+	.A1(FE_OFN1012_u_soc_uart_to_xbar_d_data__28),
 	.A2(n_1411),
 	.B1(n_5958),
-	.B2(FE_OFN19106_u_soc_u_dccm_rdata4_28),
-	.C1(FE_OFN930_n_2987),
+	.B2(FE_OFN485_u_soc_u_dccm_rdata4_28),
+	.C1(FE_OFN1124_n_2987),
 	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
 	.Y(n_6814), 
 	.VPWR(vccd1), 
@@ -167811,11 +164422,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435045 (
-	.A1(\u_soc_uart_to_xbar[d_data] [29]),
+	.A1(FE_OFN1011_u_soc_uart_to_xbar_d_data__29),
 	.A2(n_1411),
 	.B1(n_5956),
-	.B2(FE_OFN19313_u_soc_u_dccm_rdata3_29),
-	.C1(FE_OFN930_n_2987),
+	.B2(FE_OFN477_u_soc_u_dccm_rdata3_29),
+	.C1(FE_OFN1124_n_2987),
 	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
 	.Y(n_6813), 
 	.VPWR(vccd1), 
@@ -167823,11 +164434,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435046 (
-	.A1(\u_soc_uart_to_xbar[d_data] [30]),
+	.A1(FE_OFN1010_u_soc_uart_to_xbar_d_data__30),
 	.A2(n_1411),
 	.B1(n_5958),
-	.B2(FE_OFN19109_u_soc_u_dccm_rdata4_30),
-	.C1(FE_OFN930_n_2987),
+	.B2(FE_PDN4057_u_soc_u_dccm_rdata4_30),
+	.C1(FE_OFN1124_n_2987),
 	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
 	.Y(n_6812), 
 	.VPWR(vccd1), 
@@ -167835,11 +164446,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435047 (
-	.A1(\u_soc_uart_to_xbar[d_data] [31]),
+	.A1(FE_OFN1009_u_soc_uart_to_xbar_d_data__31),
 	.A2(n_1411),
 	.B1(n_5958),
-	.B2(FE_OFN19105_u_soc_u_dccm_rdata4_31),
-	.C1(FE_OFN930_n_2987),
+	.B2(FE_OFN482_u_soc_u_dccm_rdata4_31),
+	.C1(FE_OFN1124_n_2987),
 	.C2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
 	.Y(n_6811), 
 	.VPWR(vccd1), 
@@ -167858,8 +164469,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435049 (
-	.A1(n_13430),
-	.A2(FE_OFN1482_n_5651),
+	.A1(FE_OFN1261_n_13092),
+	.A2(FE_OFN1553_n_5651),
 	.B1(n_5949),
 	.B2(n_1524),
 	.C1(n_2982),
@@ -167870,8 +164481,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435050 (
-	.A1(FE_COEN4285_n_13437),
-	.A2(FE_OFN1480_n_5647),
+	.A1(n_13437),
+	.A2(FE_OFN1551_n_5647),
 	.B1(n_5960),
 	.B2(n_1421),
 	.C1(n_2982),
@@ -167883,10 +164494,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435051 (
 	.A1(n_13435),
-	.A2(FE_OFN1480_n_5647),
+	.A2(FE_OFN1551_n_5647),
 	.B1(n_5960),
 	.B2(n_1417),
-	.C1(FE_OFN1482_n_5651),
+	.C1(FE_OFN1553_n_5651),
 	.C2(n_13434),
 	.Y(n_6807), 
 	.VPWR(vccd1), 
@@ -167895,11 +164506,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435052 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
-	.A2(FE_OFN1481_n_5649),
+	.A2(FE_OFN1552_n_5649),
 	.B1(n_5949),
 	.B2(n_1423),
-	.C1(FE_OFN1480_n_5647),
-	.C2(FE_PSN4363_n_13429),
+	.C1(FE_OFN1551_n_5647),
+	.C2(n_13429),
 	.Y(n_6806), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -167908,7 +164519,7 @@
    sky130_fd_sc_hd__a222oi_1 g435053 (
 	.A1(n_5448),
 	.A2(n_3078),
-	.B1(FE_OFN1646_n_3077),
+	.B1(FE_OFN1820_n_3077),
 	.B2(n_5447),
 	.C1(n_5874),
 	.C2(n_2414),
@@ -167919,10 +164530,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435054 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
-	.A2(FE_OFN1481_n_5649),
-	.B1(FE_OFN989_n_5950),
+	.A2(FE_OFN1552_n_5649),
+	.B1(FE_OFN1184_n_5950),
 	.B2(n_1417),
-	.C1(FE_OFN1480_n_5647),
+	.C1(FE_OFN1551_n_5647),
 	.C2(n_13444),
 	.Y(n_6804), 
 	.VPWR(vccd1), 
@@ -167930,8 +164541,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435055 (
-	.A1(FE_COEN4330_n_13432),
-	.A2(FE_OFN1482_n_5651),
+	.A1(n_13432),
+	.A2(FE_OFN1553_n_5651),
 	.B1(n_5960),
 	.B2(n_1522),
 	.C1(n_2982),
@@ -167943,10 +164554,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435056 (
 	.A1(n_13427),
-	.A2(FE_OFN1480_n_5647),
+	.A2(n_5647),
 	.B1(n_5949),
 	.B2(n_1422),
-	.C1(FE_OFN1481_n_5649),
+	.C1(FE_OFN1552_n_5649),
 	.C2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
 	.Y(n_6802), 
 	.VPWR(vccd1), 
@@ -167955,7 +164566,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435057 (
 	.A1(n_13446),
-	.A2(FE_OFN1482_n_5651),
+	.A2(FE_OFN1553_n_5651),
 	.B1(n_5949),
 	.B2(n_1523),
 	.C1(n_2982),
@@ -167967,7 +164578,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435058 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.A2(FE_OFN1481_n_5649),
+	.A2(FE_OFN1552_n_5649),
 	.B1(n_5949),
 	.B2(n_1421),
 	.C1(n_2982),
@@ -167978,8 +164589,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435059 (
-	.A1(FE_PSN4109_FE_OFN1586_n_13424),
-	.A2(FE_OFN1482_n_5651),
+	.A1(FE_OFN18245_n_13424),
+	.A2(n_5651),
 	.B1(n_5949),
 	.B2(n_1525),
 	.C1(n_2982),
@@ -167991,7 +164602,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435060 (
 	.A1(n_5434),
-	.A2(FE_OFN1646_n_3077),
+	.A2(FE_OFN1820_n_3077),
 	.B1(n_6000),
 	.B2(n_2414),
 	.C1(n_3078),
@@ -168002,7 +164613,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g435061 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6694),
 	.Y(n_6797), 
 	.VPWR(vccd1), 
@@ -168011,7 +164622,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435062 (
 	.A1(n_13442),
-	.A2(FE_OFN1482_n_5651),
+	.A2(FE_OFN1553_n_5651),
 	.B1(n_5949),
 	.B2(n_1417),
 	.C1(n_2982),
@@ -168026,7 +164637,7 @@
 	.A2(n_6006),
 	.B1(n_3078),
 	.B2(n_5428),
-	.C1(FE_OFN1646_n_3077),
+	.C1(FE_OFN1820_n_3077),
 	.C2(n_5430),
 	.Y(n_6795), 
 	.VPWR(vccd1), 
@@ -168038,7 +164649,7 @@
 	.A2(n_3078),
 	.B1(n_6008),
 	.B2(n_2414),
-	.C1(FE_OFN1646_n_3077),
+	.C1(FE_OFN1820_n_3077),
 	.C2(n_5431),
 	.Y(n_6794), 
 	.VPWR(vccd1), 
@@ -168047,7 +164658,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435065 (
 	.A1(n_5436),
-	.A2(FE_OFN1646_n_3077),
+	.A2(FE_OFN1820_n_3077),
 	.B1(n_6004),
 	.B2(n_2414),
 	.C1(n_3078),
@@ -168062,7 +164673,7 @@
 	.A2(n_3078),
 	.B1(n_5996),
 	.B2(n_2414),
-	.C1(FE_OFN1646_n_3077),
+	.C1(FE_OFN1820_n_3077),
 	.C2(n_5442),
 	.Y(n_6792), 
 	.VPWR(vccd1), 
@@ -168070,8 +164681,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435067 (
-	.A1(FE_OFN1094_n_13091),
-	.A2(FE_OFN1482_n_5651),
+	.A1(n_13440),
+	.A2(FE_OFN1553_n_5651),
 	.B1(n_5949),
 	.B2(n_1522),
 	.C1(n_2982),
@@ -168082,7 +164693,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435068 (
-	.A1(FE_OFN927_n_2421),
+	.A1(FE_OFN1534_n_2421),
 	.A2(n_13561),
 	.B1(n_3085),
 	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[3]),
@@ -168111,7 +164722,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435071 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [3]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
@@ -168121,7 +164732,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435072 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [5]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
@@ -168131,9 +164742,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435073 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN19028_u_soc_xbar_to_dccm_a_address__6),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [6]),
 	.Y(n_6785), 
 	.VPWR(vccd1), 
@@ -168141,7 +164752,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435074 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [7]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
@@ -168151,19 +164762,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435075 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN18390_u_soc_xbar_to_dccm_a_address__7),
 	.B1(n_5937),
-	.B2(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.B2(FE_OFN19028_u_soc_xbar_to_dccm_a_address__6),
 	.X(n_6783), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435076 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN18534_n),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
 	.X(n_6782), 
 	.VPWR(vccd1), 
@@ -168171,7 +164782,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435077 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
@@ -168181,19 +164792,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435078 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN19045_u_soc_xbar_to_dccm_a_address__9),
 	.B1(n_5937),
-	.B2(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.B2(FE_OFN18534_n),
 	.X(n_6780), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435079 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_PSN4013_u_soc_xbar_to_dccm_a_address_10),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [10]),
 	.X(n_6779), 
 	.VPWR(vccd1), 
@@ -168201,7 +164812,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435080 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [11]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
@@ -168211,7 +164822,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435081 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [13]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
@@ -168221,17 +164832,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435082 (
-	.A1(FE_OFN997_n_6493),
-	.A2(\u_soc_xbar_to_dccm[a_address] [13]),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN895_u_soc_xbar_to_dccm_a_address__13),
 	.B1(n_5937),
-	.B2(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.B2(FE_OFN896_u_soc_xbar_to_dccm_a_address__12),
 	.X(n_6776), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435083 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [15]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
@@ -168241,17 +164852,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435084 (
-	.A1(FE_OFN997_n_6493),
-	.A2(\u_soc_lsu_to_xbar[a_address] [15]),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN893_u_soc_lsu_to_xbar_a_address__15),
 	.B1(n_5937),
-	.B2(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.B2(FE_OFN894_u_soc_lsu_to_xbar_a_address__14),
 	.X(n_6774), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435085 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
@@ -168261,17 +164872,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435086 (
-	.A1(FE_OFN997_n_6493),
-	.A2(\u_soc_lsu_to_xbar[a_address] [17]),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN18408_u_soc_lsu_to_xbar_a_address__17),
 	.B1(n_5937),
-	.B2(\u_soc_lsu_to_xbar[a_address] [16]),
+	.B2(FE_OFN18195_u_soc_lsu_to_xbar_a_address__16),
 	.X(n_6772), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435087 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
@@ -168281,17 +164892,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435088 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN889_u_soc_lsu_to_xbar_a_address__19),
 	.B1(n_5937),
-	.B2(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.B2(FE_OFN890_u_soc_lsu_to_xbar_a_address__18),
 	.X(n_6770), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435089 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [21]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
@@ -168301,19 +164912,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435090 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_COEN4265_u_soc_lsu_to_xbar_a_address_21),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN887_u_soc_lsu_to_xbar_a_address__21),
 	.B1(n_5937),
-	.B2(FE_COEN4327_u_soc_lsu_to_xbar_a_address_20),
+	.B2(FE_OFN888_u_soc_lsu_to_xbar_a_address__20),
 	.X(n_6768), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435091 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_PSN4145_u_soc_lsu_to_xbar_a_address_22),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN886_u_soc_lsu_to_xbar_a_address__22),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
 	.X(n_6767), 
 	.VPWR(vccd1), 
@@ -168321,7 +164932,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435092 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
@@ -168331,17 +164942,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435093 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_PSN4126_u_soc_lsu_to_xbar_a_address_23),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN885_u_soc_lsu_to_xbar_a_address__23),
 	.B1(n_5937),
-	.B2(FE_PSN4145_u_soc_lsu_to_xbar_a_address_22),
+	.B2(FE_OFN886_u_soc_lsu_to_xbar_a_address__22),
 	.X(n_6765), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435094 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
@@ -168351,19 +164962,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435095 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN752_u_soc_lsu_to_xbar_a_address__25),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN883_u_soc_lsu_to_xbar_a_address__25),
 	.B1(n_5937),
-	.B2(FE_OFN753_u_soc_lsu_to_xbar_a_address__24),
+	.B2(FE_OFN884_u_soc_lsu_to_xbar_a_address__24),
 	.X(n_6763), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435096 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
-	.B1(FE_OFN996_n_6492),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN882_u_soc_lsu_to_xbar_a_address__26),
+	.B1(FE_OFN1189_n_6492),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [26]),
 	.X(n_6762), 
 	.VPWR(vccd1), 
@@ -168371,7 +164982,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435097 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
@@ -168381,17 +164992,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435098 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_PSN4141_u_soc_lsu_to_xbar_a_address_27),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN881_u_soc_lsu_to_xbar_a_address__27),
 	.B1(n_5937),
-	.B2(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
+	.B2(FE_OFN882_u_soc_lsu_to_xbar_a_address__26),
 	.X(n_6760), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435099 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [29]),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
@@ -168401,19 +165012,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435100 (
-	.A1(FE_OFN997_n_6493),
-	.A2(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+	.A1(FE_OFN1190_n_6493),
+	.A2(FE_OFN879_u_soc_lsu_to_xbar_a_address__29),
 	.B1(n_5937),
-	.B2(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
+	.B2(FE_OFN880_u_soc_lsu_to_xbar_a_address__28),
 	.X(n_6758), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435101 (
-	.A1(FE_OFN996_n_6492),
+	.A1(FE_OFN1189_n_6492),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
-	.B1(FE_OFN987_n_5663),
+	.B1(n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
 	.X(n_6757), 
 	.VPWR(vccd1), 
@@ -168422,7 +165033,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435102 (
 	.A1(n_6494),
-	.A2(n_5687),
+	.A2(FE_OFN18995_n_5687),
 	.B1(n_16007),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
 	.X(n_6756), 
@@ -168432,7 +165043,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435103 (
 	.A1(n_6494),
-	.A2(n_5686),
+	.A2(FE_OFN1567_n_5686),
 	.B1(n_16007),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
 	.X(n_6755), 
@@ -168442,7 +165053,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435104 (
 	.A1(n_6494),
-	.A2(n_5684),
+	.A2(FE_OFN1566_n_5684),
 	.B1(n_16007),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
 	.X(n_6754), 
@@ -168452,7 +165063,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435105 (
 	.A1(n_6500),
-	.A2(FE_OFN711_u_soc_u_tcam_rdata_0),
+	.A2(FE_OFN833_u_soc_u_tcam_rdata_0),
 	.B1(n_5957),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [1]),
 	.X(n_6753), 
@@ -168462,7 +165073,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435106 (
 	.A1(n_6500),
-	.A2(FE_OFN709_u_soc_u_tcam_rdata_1),
+	.A2(FE_OFN831_u_soc_u_tcam_rdata_1),
 	.B1(n_5957),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [2]),
 	.X(n_6752), 
@@ -168472,7 +165083,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435107 (
 	.A1(n_6500),
-	.A2(FE_OFN707_u_soc_u_tcam_rdata_2),
+	.A2(FE_OFN829_u_soc_u_tcam_rdata_2),
 	.B1(n_5957),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [3]),
 	.X(n_6751), 
@@ -168482,7 +165093,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435108 (
 	.A1(n_6500),
-	.A2(FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5),
+	.A2(FE_OFN823_u_soc_u_tcam_rdata_5),
 	.B1(n_5957),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [6]),
 	.X(n_6750), 
@@ -168492,7 +165103,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435109 (
 	.A1(n_6500),
-	.A2(FE_OFN705_u_soc_u_tcam_rdata_3),
+	.A2(FE_OFN827_u_soc_u_tcam_rdata_3),
 	.B1(n_5957),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [4]),
 	.X(n_6749), 
@@ -168502,7 +165113,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435110 (
 	.A1(n_6500),
-	.A2(FE_OFN703_u_soc_u_tcam_rdata_4),
+	.A2(FE_OFN825_u_soc_u_tcam_rdata_4),
 	.B1(n_5957),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [5]),
 	.X(n_6748), 
@@ -168512,7 +165123,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435111 (
 	.A1(n_6499),
-	.A2(FE_OFN711_u_soc_u_tcam_rdata_0),
+	.A2(FE_OFN833_u_soc_u_tcam_rdata_0),
 	.B1(n_5669),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [1]),
 	.X(n_6747), 
@@ -168522,7 +165133,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435112 (
 	.A1(n_6499),
-	.A2(FE_OFN707_u_soc_u_tcam_rdata_2),
+	.A2(FE_OFN829_u_soc_u_tcam_rdata_2),
 	.B1(n_5669),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [3]),
 	.X(n_6746), 
@@ -168532,7 +165143,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435113 (
 	.A1(n_6499),
-	.A2(FE_OFN709_u_soc_u_tcam_rdata_1),
+	.A2(FE_OFN831_u_soc_u_tcam_rdata_1),
 	.B1(n_5669),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [2]),
 	.X(n_6745), 
@@ -168542,7 +165153,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435114 (
 	.A1(n_6499),
-	.A2(FE_OFN705_u_soc_u_tcam_rdata_3),
+	.A2(FE_OFN827_u_soc_u_tcam_rdata_3),
 	.B1(n_5669),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [4]),
 	.X(n_6744), 
@@ -168552,7 +165163,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435115 (
 	.A1(n_6499),
-	.A2(FE_OFN703_u_soc_u_tcam_rdata_4),
+	.A2(FE_OFN825_u_soc_u_tcam_rdata_4),
 	.B1(n_5669),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [5]),
 	.X(n_6743), 
@@ -168562,7 +165173,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435116 (
 	.A1(n_6499),
-	.A2(FE_PDN3874_FE_OFN701_u_soc_u_tcam_rdata_5),
+	.A2(FE_OFN823_u_soc_u_tcam_rdata_5),
 	.B1(n_5669),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[1] [6]),
 	.X(n_6742), 
@@ -168572,7 +165183,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435117 (
 	.A1(n_6496),
-	.A2(FE_OFN711_u_soc_u_tcam_rdata_0),
+	.A2(FE_OFN833_u_soc_u_tcam_rdata_0),
 	.B1(n_5668),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [1]),
 	.X(n_6741), 
@@ -168582,7 +165193,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435118 (
 	.A1(n_6496),
-	.A2(FE_OFN709_u_soc_u_tcam_rdata_1),
+	.A2(FE_OFN831_u_soc_u_tcam_rdata_1),
 	.B1(n_5668),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[2] [2]),
 	.X(n_6740), 
@@ -168623,7 +165234,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435122 (
-	.A1(n_5839),
+	.A1(FE_OFN19022_n_5840),
 	.A2(n_1037),
 	.B1(n_1035),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
@@ -168635,9 +165246,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435123 (
 	.A1(n_5971),
-	.A2(FE_OFN296_u_soc_u_dccm_rdata1_24),
+	.A2(FE_PDN3661_FE_OFN404_u_soc_u_dccm_rdata1_24),
 	.B1(n_5962),
-	.B2(FE_OFN19289_u_soc_u_dccm_rdata2_24),
+	.B2(FE_PDN3703_FE_OFN455_u_soc_u_dccm_rdata2_24),
 	.C1(n_6668),
 	.X(n_6880), 
 	.VPWR(vccd1), 
@@ -168646,9 +165257,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435124 (
 	.A1(n_5971),
-	.A2(FE_OFN295_u_soc_u_dccm_rdata1_25),
+	.A2(FE_PDN3878_FE_OFN402_u_soc_u_dccm_rdata1_25),
 	.B1(n_5962),
-	.B2(FE_OFN19293_u_soc_u_dccm_rdata2_25),
+	.B2(FE_OFN454_u_soc_u_dccm_rdata2_25),
 	.C1(n_6669),
 	.X(n_6879), 
 	.VPWR(vccd1), 
@@ -168657,9 +165268,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435125 (
 	.A1(n_5971),
-	.A2(FE_OFN294_u_soc_u_dccm_rdata1_26),
+	.A2(FE_OFN400_u_soc_u_dccm_rdata1_26),
 	.B1(n_5962),
-	.B2(FE_OFN326_u_soc_u_dccm_rdata2_26),
+	.B2(FE_OFN453_u_soc_u_dccm_rdata2_26),
 	.C1(n_6670),
 	.X(n_6878), 
 	.VPWR(vccd1), 
@@ -168668,9 +165279,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435126 (
 	.A1(n_5971),
-	.A2(FE_PDN3957_FE_OFN292_u_soc_u_dccm_rdata1_28),
+	.A2(FE_PDN3880_FE_OFN396_u_soc_u_dccm_rdata1_28),
 	.B1(n_5962),
-	.B2(FE_OFN324_u_soc_u_dccm_rdata2_28),
+	.B2(FE_OFN451_u_soc_u_dccm_rdata2_28),
 	.C1(n_6671),
 	.X(n_6877), 
 	.VPWR(vccd1), 
@@ -168679,9 +165290,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435127 (
 	.A1(n_5971),
-	.A2(FE_OFN291_u_soc_u_dccm_rdata1_29),
+	.A2(FE_OFN394_u_soc_u_dccm_rdata1_29),
 	.B1(n_5962),
-	.B2(FE_OFN19307_u_soc_u_dccm_rdata2_29),
+	.B2(FE_OFN450_u_soc_u_dccm_rdata2_29),
 	.C1(n_6672),
 	.X(n_6876), 
 	.VPWR(vccd1), 
@@ -168690,9 +165301,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435128 (
 	.A1(n_5971),
-	.A2(FE_OFN290_u_soc_u_dccm_rdata1_30),
+	.A2(FE_OFN392_u_soc_u_dccm_rdata1_30),
 	.B1(n_5962),
-	.B2(FE_OFN19292_u_soc_u_dccm_rdata2_30),
+	.B2(FE_OFN449_u_soc_u_dccm_rdata2_30),
 	.C1(n_6673),
 	.X(n_6875), 
 	.VPWR(vccd1), 
@@ -168701,9 +165312,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435129 (
 	.A1(n_5971),
-	.A2(FE_OFN289_u_soc_u_dccm_rdata1_31),
+	.A2(FE_OFN390_u_soc_u_dccm_rdata1_31),
 	.B1(n_5962),
-	.B2(FE_OFN19279_u_soc_u_dccm_rdata2_31),
+	.B2(FE_OFN448_u_soc_u_dccm_rdata2_31),
 	.C1(n_6674),
 	.X(n_6874), 
 	.VPWR(vccd1), 
@@ -168721,7 +165332,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g435131 (
+   sky130_fd_sc_hd__a221oi_1 g435131 (
 	.A1(n_5842),
 	.A2(n_1029),
 	.B1(n_1030),
@@ -168733,15 +165344,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g435132 (
-	.A(u_soc_u_top_u_core_if_stage_i_fetch_rdata[0]),
+	.A(FE_OFN18271_u_soc_u_top_u_core_if_stage_i_fetch_rdata_0),
 	.B(u_soc_u_top_u_core_if_stage_i_if_instr_rdata[1]),
-	.C(FE_OFN18219_n_6709),
+	.C(FE_OFN18242_n_6709),
 	.Y(n_6869), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g435133 (
+   sky130_fd_sc_hd__a221oi_2 g435133 (
 	.A1(n_5845),
 	.A2(n_1029),
 	.B1(n_1030),
@@ -168752,7 +165363,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g435134 (
+   sky130_fd_sc_hd__a221oi_2 g435134 (
 	.A1(n_5847),
 	.A2(n_1029),
 	.B1(n_1030),
@@ -168763,7 +165374,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g435135 (
+   sky130_fd_sc_hd__nor2_1 g435135 (
 	.A(n_6558),
 	.B(n_6557),
 	.Y(n_6863), 
@@ -168790,7 +165401,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g435138 (
+   sky130_fd_sc_hd__a221oi_2 g435138 (
 	.A1(n_5849),
 	.A2(n_1029),
 	.B1(n_1030),
@@ -168802,56 +165413,56 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g435396 (
-	.A(FE_OFN1621_n_6733),
+	.A(FE_OFN1761_n_6733),
 	.Y(n_6732), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g435397 (
-	.A(n_6731),
+	.A(FE_OFN1579_n_6731),
 	.Y(n_6730), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g435398 (
-	.A(n_6729),
+	.A(FE_OFN1578_n_6729),
 	.Y(n_6728), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g435399 (
-	.A(n_6726),
+	.A(FE_OFN1577_n_6726),
 	.Y(n_6725), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g435400 (
-	.A(FE_OFN1502_n_6724),
+	.A(FE_OFN1760_n_6724),
 	.Y(n_6723), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g435401 (
-	.A(FE_OFN1501_n_6722),
+	.A(FE_OFN1759_n_6722),
 	.Y(n_6721), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g435402 (
-	.A(n_6720),
+	.A(FE_OFN1576_n_6720),
 	.Y(n_6719), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g435403 (
-	.A(FE_OFN1499_n_6718),
+	.A(FE_OFN1575_n_6718),
 	.Y(n_6717), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -168865,9 +165476,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435406 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[24]),
 	.C1(n_6373),
 	.Y(n_6707), 
@@ -168876,7 +165487,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435407 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[1]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[1]),
@@ -168898,7 +165509,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435409 (
 	.A1(n_5959),
-	.A2(FE_OFN19279_u_soc_u_dccm_rdata2_31),
+	.A2(FE_OFN448_u_soc_u_dccm_rdata2_31),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
 	.Y(n_6704), 
@@ -168908,7 +165519,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435410 (
 	.A1(n_5959),
-	.A2(FE_OFN19292_u_soc_u_dccm_rdata2_30),
+	.A2(FE_OFN449_u_soc_u_dccm_rdata2_30),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
 	.Y(n_6703), 
@@ -168918,7 +165529,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435411 (
 	.A1(n_5972),
-	.A2(FE_OFN291_u_soc_u_dccm_rdata1_29),
+	.A2(FE_OFN394_u_soc_u_dccm_rdata1_29),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
 	.Y(n_6702), 
@@ -168928,7 +165539,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435412 (
 	.A1(n_5972),
-	.A2(FE_PDN3957_FE_OFN292_u_soc_u_dccm_rdata1_28),
+	.A2(FE_PDN3880_FE_OFN396_u_soc_u_dccm_rdata1_28),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
 	.Y(n_6701), 
@@ -168938,7 +165549,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435413 (
 	.A1(n_5942),
-	.A2(n_5684),
+	.A2(FE_OFN1566_n_5684),
 	.B1(n_5644),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
 	.X(n_6700), 
@@ -168948,7 +165559,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435414 (
 	.A1(n_5972),
-	.A2(FE_OFN294_u_soc_u_dccm_rdata1_26),
+	.A2(FE_OFN400_u_soc_u_dccm_rdata1_26),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
 	.Y(n_6699), 
@@ -168990,7 +165601,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g435420 (
 	.A(n_6498),
-	.B(FE_OFN711_u_soc_u_tcam_rdata_0),
+	.B(FE_OFN833_u_soc_u_tcam_rdata_0),
 	.Y(n_6693), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -168998,7 +165609,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435421 (
 	.A1(n_5942),
-	.A2(n_5686),
+	.A2(FE_OFN1567_n_5686),
 	.B1(n_5644),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
 	.X(n_6692), 
@@ -169016,7 +165627,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435423 (
 	.A1(n_5942),
-	.A2(n_5687),
+	.A2(FE_OFN18995_n_5687),
 	.B1(n_5644),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
 	.X(n_6690), 
@@ -169026,7 +165637,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435424 (
 	.A1(n_5959),
-	.A2(FE_OFN19293_u_soc_u_dccm_rdata2_25),
+	.A2(FE_OFN454_u_soc_u_dccm_rdata2_25),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
 	.Y(n_6689), 
@@ -169035,9 +165646,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o41ai_1 g435425 (
-	.A1(FE_OFN19533_n_577),
-	.A2(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
-	.A3(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.A1(FE_OFN19016_n_577),
+	.A2(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.A3(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7),
 	.A4(n_2382),
 	.B1(n_6357),
 	.Y(n_6688), 
@@ -169047,7 +165658,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435426 (
 	.A1(n_5972),
-	.A2(FE_OFN296_u_soc_u_dccm_rdata1_24),
+	.A2(FE_PDN3661_FE_OFN404_u_soc_u_dccm_rdata1_24),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
 	.Y(n_6687), 
@@ -169066,7 +165677,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435428 (
 	.A1(n_5941),
-	.A2(n_5684),
+	.A2(FE_OFN1566_n_5684),
 	.B1(n_5643),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
 	.X(n_6685), 
@@ -169076,7 +165687,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435429 (
 	.A1(n_5941),
-	.A2(n_5686),
+	.A2(FE_OFN1567_n_5686),
 	.B1(n_5643),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
 	.X(n_6684), 
@@ -169086,7 +165697,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435430 (
 	.A1(n_5941),
-	.A2(n_5687),
+	.A2(FE_OFN18995_n_5687),
 	.B1(n_5643),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
 	.X(n_6683), 
@@ -169114,7 +165725,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435433 (
-	.A1(FE_OFN885_n_1518),
+	.A1(FE_OFN1090_n_1518),
 	.A2(u_soc_u_top_u_core_csr_mepc[17]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[17]),
@@ -169125,7 +165736,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435434 (
-	.A1(FE_OFN885_n_1518),
+	.A1(FE_OFN1090_n_1518),
 	.A2(u_soc_u_top_u_core_csr_mepc[21]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[21]),
@@ -169147,7 +165758,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435436 (
 	.A1(n_5944),
-	.A2(n_5684),
+	.A2(FE_OFN1566_n_5684),
 	.B1(n_5642),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
 	.X(n_6677), 
@@ -169157,7 +165768,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435437 (
 	.A1(n_5944),
-	.A2(n_5686),
+	.A2(FE_OFN1567_n_5686),
 	.B1(n_5642),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
 	.X(n_6676), 
@@ -169167,7 +165778,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435438 (
 	.A1(n_5944),
-	.A2(n_5687),
+	.A2(FE_OFN18995_n_5687),
 	.B1(n_5642),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
 	.X(n_6675), 
@@ -169177,9 +165788,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435439 (
 	.A1(n_5967),
-	.A2(FE_OFN19299_u_soc_u_dccm_rdata3_31),
+	.A2(FE_OFN475_u_soc_u_dccm_rdata3_31),
 	.B1(n_5968),
-	.B2(FE_OFN19105_u_soc_u_dccm_rdata4_31),
+	.B2(FE_OFN482_u_soc_u_dccm_rdata4_31),
 	.X(n_6674), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -169187,9 +165798,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435440 (
 	.A1(n_5967),
-	.A2(FE_OFN19320_u_soc_u_dccm_rdata3_30),
+	.A2(FE_OFN476_u_soc_u_dccm_rdata3_30),
 	.B1(n_5968),
-	.B2(FE_OFN19109_u_soc_u_dccm_rdata4_30),
+	.B2(FE_PDN4057_u_soc_u_dccm_rdata4_30),
 	.X(n_6673), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -169197,9 +165808,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435441 (
 	.A1(n_5967),
-	.A2(FE_OFN19313_u_soc_u_dccm_rdata3_29),
+	.A2(FE_OFN477_u_soc_u_dccm_rdata3_29),
 	.B1(n_5968),
-	.B2(FE_OFN19110_u_soc_u_dccm_rdata4_29),
+	.B2(FE_OFN484_u_soc_u_dccm_rdata4_29),
 	.X(n_6672), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -169207,9 +165818,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435442 (
 	.A1(n_5967),
-	.A2(FE_OFN19318_u_soc_u_dccm_rdata3_28),
+	.A2(FE_OFN478_u_soc_u_dccm_rdata3_28),
 	.B1(n_5968),
-	.B2(FE_OFN19106_u_soc_u_dccm_rdata4_28),
+	.B2(FE_OFN485_u_soc_u_dccm_rdata4_28),
 	.X(n_6671), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -169217,9 +165828,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435443 (
 	.A1(n_5967),
-	.A2(FE_OFN19305_u_soc_u_dccm_rdata3_26),
+	.A2(FE_OFN479_u_soc_u_dccm_rdata3_26),
 	.B1(n_5968),
-	.B2(FE_OFN19108_u_soc_u_dccm_rdata4_26),
+	.B2(FE_OFN487_u_soc_u_dccm_rdata4_26),
 	.X(n_6670), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -169227,9 +165838,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435444 (
 	.A1(n_5967),
-	.A2(FE_OFN19303_u_soc_u_dccm_rdata3_25),
+	.A2(FE_OFN480_u_soc_u_dccm_rdata3_25),
 	.B1(n_5968),
-	.B2(FE_OFN19437_u_soc_u_dccm_rdata4_25),
+	.B2(FE_PDN3774_FE_OFN488_u_soc_u_dccm_rdata4_25),
 	.X(n_6669), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -169237,9 +165848,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435445 (
 	.A1(n_5967),
-	.A2(FE_OFN19312_u_soc_u_dccm_rdata3_24),
+	.A2(FE_OFN481_u_soc_u_dccm_rdata3_24),
 	.B1(n_5968),
-	.B2(FE_OFN19111_u_soc_u_dccm_rdata4_24),
+	.B2(FE_PDN3782_FE_OFN489_u_soc_u_dccm_rdata4_24),
 	.X(n_6668), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -169288,7 +165899,7 @@
    sky130_fd_sc_hd__a221oi_1 g435450 (
 	.A1(n_1884),
 	.A2(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
-	.B1(FE_OFN884_n_1413),
+	.B1(FE_OFN1087_n_1413),
 	.B2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
 	.C1(n_6126),
 	.Y(n_6663), 
@@ -169354,7 +165965,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g435457 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5929),
 	.Y(n_6656), 
 	.VPWR(vccd1), 
@@ -169473,8 +166084,8 @@
    sky130_fd_sc_hd__a221o_1 g435470 (
 	.A1(n_2982),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
-	.B1(FE_OFN1482_n_5651),
-	.B2(n_13448),
+	.B1(n_5651),
+	.B2(FE_OFN1259_n_13089),
 	.C1(n_6184),
 	.X(n_6643), 
 	.VPWR(vccd1), 
@@ -169557,9 +166168,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435478 (
-	.A1(FE_OFN1606_n_1516),
+	.A1(FE_OFN1730_n_1516),
 	.A2(u_soc_u_top_u_core_csr_depc[15]),
-	.B1(n_1233),
+	.B1(FE_OFN1727_n_1233),
 	.B2(u_soc_u_top_u_core_debug_ebreakm),
 	.C1(n_6366),
 	.Y(n_6635), 
@@ -169568,9 +166179,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435479 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[16]),
 	.C1(n_6379),
 	.Y(n_6634), 
@@ -169579,9 +166190,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435480 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[18]),
 	.C1(n_6365),
 	.Y(n_6633), 
@@ -169590,9 +166201,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435481 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[19]),
 	.C1(n_6378),
 	.Y(n_6632), 
@@ -169601,9 +166212,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435482 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[22]),
 	.C1(n_6377),
 	.Y(n_6631), 
@@ -169639,9 +166250,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435486 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[23]),
 	.C1(n_6374),
 	.Y(n_6627), 
@@ -169650,9 +166261,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435487 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
-	.B1(FE_OFN921_n_2243),
+	.B1(FE_OFN1113_n_2243),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
 	.C1(n_5792),
 	.X(n_6626), 
@@ -169679,9 +166290,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435490 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[25]),
 	.C1(n_6372),
 	.Y(n_6623), 
@@ -169690,9 +166301,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435491 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[26]),
 	.C1(n_6371),
 	.Y(n_6622), 
@@ -169701,9 +166312,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435492 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[28]),
 	.C1(n_6370),
 	.Y(n_6621), 
@@ -169712,7 +166323,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435493 (
-	.A1(FE_OFN1606_n_1516),
+	.A1(FE_OFN1730_n_1516),
 	.A2(u_soc_u_top_u_core_csr_depc[31]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[31]),
@@ -169728,7 +166339,7 @@
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
 	.C1(n_5937),
-	.C2(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
+	.C2(FE_OFN18228_n),
 	.Y(n_6619), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -169768,10 +166379,10 @@
    sky130_fd_sc_hd__a222oi_1 g435498 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
 	.A2(n_2982),
-	.B1(FE_OFN1481_n_5649),
+	.B1(n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
-	.C1(FE_OFN1480_n_5647),
-	.C2(n_13418),
+	.C1(n_5647),
+	.C2(FE_OFN19049_n),
 	.Y(n_6615), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -169779,11 +166390,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435499 (
 	.A1(n_13426),
-	.A2(FE_OFN1480_n_5647),
-	.B1(FE_OFN1481_n_5649),
+	.A2(n_5647),
+	.B1(n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
-	.C1(FE_OFN1482_n_5651),
-	.C2(FE_PSN4424_FE_OFN18315_n_13425),
+	.C1(n_5651),
+	.C2(FE_OFN1878_n_13425),
 	.Y(n_6614), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -169819,9 +166430,9 @@
    sky130_fd_sc_hd__a222oi_1 g435503 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
 	.A2(n_2982),
-	.B1(FE_OFN1481_n_5649),
+	.B1(n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.C1(FE_OFN991_n_5961),
+	.C1(FE_OFN1185_n_5961),
 	.C2(n_1524),
 	.Y(n_6610), 
 	.VPWR(vccd1), 
@@ -169839,9 +166450,9 @@
    sky130_fd_sc_hd__a222oi_1 g435505 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
 	.A2(n_2982),
-	.B1(FE_OFN1481_n_5649),
+	.B1(n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
-	.C1(FE_OFN991_n_5961),
+	.C1(FE_OFN1185_n_5961),
 	.C2(n_1423),
 	.Y(n_6608), 
 	.VPWR(vccd1), 
@@ -169869,9 +166480,9 @@
    sky130_fd_sc_hd__a222oi_1 g435508 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
 	.A2(n_2982),
-	.B1(FE_OFN1480_n_5647),
-	.B2(FE_OFN18492_n_13420),
-	.C1(FE_OFN991_n_5961),
+	.B1(n_5647),
+	.B2(FE_OFN18426_n_13420),
+	.C1(FE_OFN1185_n_5961),
 	.C2(n_1422),
 	.Y(n_6605), 
 	.VPWR(vccd1), 
@@ -169890,9 +166501,9 @@
    sky130_fd_sc_hd__a222oi_1 g435510 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
 	.A2(n_2982),
-	.B1(FE_OFN1481_n_5649),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
-	.C1(FE_OFN991_n_5961),
+	.C1(FE_OFN1185_n_5961),
 	.C2(n_1523),
 	.Y(n_6603), 
 	.VPWR(vccd1), 
@@ -169902,9 +166513,9 @@
    sky130_fd_sc_hd__a222oi_1 g435511 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
 	.A2(n_2982),
-	.B1(FE_OFN1481_n_5649),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
-	.C1(FE_OFN989_n_5950),
+	.C1(FE_OFN1184_n_5950),
 	.C2(n_1524),
 	.Y(n_6602), 
 	.VPWR(vccd1), 
@@ -169914,9 +166525,9 @@
    sky130_fd_sc_hd__a222oi_1 g435512 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
 	.A2(n_2982),
-	.B1(FE_OFN1481_n_5649),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
-	.C1(FE_OFN991_n_5961),
+	.C1(FE_OFN1185_n_5961),
 	.C2(n_1421),
 	.Y(n_6601), 
 	.VPWR(vccd1), 
@@ -169924,7 +166535,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435513 (
-	.A1(FE_OFN1646_n_3077),
+	.A1(FE_OFN1820_n_3077),
 	.A2(n_5455),
 	.B1(n_3078),
 	.B2(n_5463),
@@ -169935,7 +166546,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435514 (
-	.A1(FE_OFN1646_n_3077),
+	.A1(FE_OFN1820_n_3077),
 	.A2(n_5456),
 	.B1(n_3078),
 	.B2(n_5464),
@@ -169948,9 +166559,9 @@
    sky130_fd_sc_hd__a222oi_1 g435515 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
 	.A2(n_2982),
-	.B1(FE_OFN1480_n_5647),
-	.B2(FE_PSN4461_FE_OFN1587_n_13428),
-	.C1(FE_OFN989_n_5950),
+	.B1(FE_OFN1551_n_5647),
+	.B2(FE_OFN1656_n_13428),
+	.C1(FE_OFN1184_n_5950),
 	.C2(n_1422),
 	.Y(n_6598), 
 	.VPWR(vccd1), 
@@ -169960,9 +166571,9 @@
    sky130_fd_sc_hd__a222oi_1 g435516 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
 	.A2(n_2982),
-	.B1(FE_OFN1481_n_5649),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.C1(FE_OFN989_n_5950),
+	.C1(FE_OFN1184_n_5950),
 	.C2(n_1423),
 	.Y(n_6597), 
 	.VPWR(vccd1), 
@@ -169972,9 +166583,9 @@
    sky130_fd_sc_hd__a222oi_1 g435517 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
 	.A2(n_2982),
-	.B1(FE_OFN1480_n_5647),
+	.B1(FE_OFN1551_n_5647),
 	.B2(n_13434),
-	.C1(FE_OFN991_n_5961),
+	.C1(FE_OFN1185_n_5961),
 	.C2(n_1522),
 	.Y(n_6596), 
 	.VPWR(vccd1), 
@@ -169984,9 +166595,9 @@
    sky130_fd_sc_hd__a222oi_1 g435518 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
 	.A2(n_2982),
-	.B1(FE_OFN1480_n_5647),
-	.B2(FE_COEN4573_n_13436),
-	.C1(FE_OFN991_n_5961),
+	.B1(FE_OFN1551_n_5647),
+	.B2(n_13436),
+	.C1(FE_OFN1185_n_5961),
 	.C2(n_1417),
 	.Y(n_6595), 
 	.VPWR(vccd1), 
@@ -169996,9 +166607,9 @@
    sky130_fd_sc_hd__a222oi_1 g435519 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
 	.A2(n_2982),
-	.B1(FE_OFN1481_n_5649),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
-	.C1(FE_OFN989_n_5950),
+	.C1(FE_OFN1184_n_5950),
 	.C2(n_1522),
 	.Y(n_6594), 
 	.VPWR(vccd1), 
@@ -170008,9 +166619,9 @@
    sky130_fd_sc_hd__a222oi_1 g435520 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
 	.A2(n_2982),
-	.B1(FE_OFN1481_n_5649),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
-	.C1(FE_OFN989_n_5950),
+	.C1(FE_OFN1184_n_5950),
 	.C2(n_1421),
 	.Y(n_6593), 
 	.VPWR(vccd1), 
@@ -170020,9 +166631,9 @@
    sky130_fd_sc_hd__a222oi_1 g435521 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
 	.A2(n_2982),
-	.B1(FE_OFN1481_n_5649),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
-	.C1(FE_OFN989_n_5950),
+	.C1(FE_OFN1184_n_5950),
 	.C2(n_1523),
 	.Y(n_6592), 
 	.VPWR(vccd1), 
@@ -170030,9 +166641,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435522 (
-	.A1(FE_OFN920_n_2242),
+	.A1(FE_OFN1112_n_2242),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
-	.B1(FE_OFN1607_n_2241),
+	.B1(FE_OFN1746_n_2241),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
 	.C1(n_5789),
 	.X(n_6591), 
@@ -170043,7 +166654,7 @@
    sky130_fd_sc_hd__a221o_1 g435523 (
 	.A1(n_1409),
 	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[20]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[20]),
 	.C1(n_5793),
 	.X(n_6590), 
@@ -170073,9 +166684,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435526 (
 	.A1(n_5939),
-	.A2(FE_OFN18412_n),
+	.A2(n_13419),
 	.B1(n_5938),
-	.B2(FE_OFN18492_n_13420),
+	.B2(FE_OFN18426_n_13420),
 	.Y(n_6587), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -170083,7 +166694,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435527 (
 	.A1(n_5937),
-	.A2(u_soc_u_top_u_core_alu_adder_result_ex[1]),
+	.A2(FE_OFN18362_u_soc_u_top_u_core_alu_adder_result_ex_1),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
 	.X(n_6586), 
@@ -170093,9 +166704,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435528 (
 	.A1(n_5939),
-	.A2(FE_OFN18294_n_13073),
+	.A2(FE_OFN1247_n_13073),
 	.B1(n_5938),
-	.B2(FE_PSN4354_FE_OFN1585_n_13422),
+	.B2(FE_OFN1654_n_13422),
 	.Y(n_6585), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -170103,7 +166714,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435529 (
 	.A1(n_5937),
-	.A2(\u_soc_xbar_to_dccm[a_address] [3]),
+	.A2(FE_OFN18212_u_soc_xbar_to_dccm_a_address__3),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
 	.X(n_6584), 
@@ -170113,7 +166724,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435530 (
 	.A1(n_5937),
-	.A2(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.A2(FE_OFN903_u_soc_xbar_to_dccm_a_address__5),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
 	.X(n_6583), 
@@ -170123,7 +166734,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435531 (
 	.A1(n_5937),
-	.A2(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.A2(FE_OFN18390_u_soc_xbar_to_dccm_a_address__7),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
 	.Y(n_6582), 
@@ -170133,7 +166744,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435532 (
 	.A1(n_5937),
-	.A2(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
+	.A2(FE_OFN19045_u_soc_xbar_to_dccm_a_address__9),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
 	.Y(n_6581), 
@@ -170143,9 +166754,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435533 (
 	.A1(n_5939),
-	.A2(FE_OFN1587_n_13428),
+	.A2(FE_OFN1656_n_13428),
 	.B1(n_5938),
-	.B2(FE_PSN4156_n_13429),
+	.B2(n_13429),
 	.Y(n_6580), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -170153,9 +166764,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435534 (
 	.A1(n_5939),
-	.A2(FE_PSN4156_n_13429),
+	.A2(n_13429),
 	.B1(n_5938),
-	.B2(n_13430),
+	.B2(FE_OFN1261_n_13092),
 	.Y(n_6579), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -170163,7 +166774,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435535 (
 	.A1(n_5937),
-	.A2(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
+	.A2(FE_OFN18210_u_soc_xbar_to_dccm_a_address__11),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
 	.X(n_6578), 
@@ -170173,9 +166784,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435536 (
 	.A1(n_5939),
-	.A2(FE_COEN4310_n_13431),
+	.A2(n_13431),
 	.B1(n_5938),
-	.B2(FE_COEN4330_n_13432),
+	.B2(n_13432),
 	.Y(n_6577), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -170183,7 +166794,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435537 (
 	.A1(n_5937),
-	.A2(\u_soc_xbar_to_dccm[a_address] [13]),
+	.A2(FE_OFN895_u_soc_xbar_to_dccm_a_address__13),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
 	.X(n_6576), 
@@ -170193,7 +166804,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435538 (
 	.A1(n_5939),
-	.A2(FE_COEN4287_n_13433),
+	.A2(n_13433),
 	.B1(n_5938),
 	.B2(n_13434),
 	.Y(n_6575), 
@@ -170203,7 +166814,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435539 (
 	.A1(n_5937),
-	.A2(\u_soc_lsu_to_xbar[a_address] [15]),
+	.A2(FE_OFN893_u_soc_lsu_to_xbar_a_address__15),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
 	.X(n_6574), 
@@ -170215,7 +166826,7 @@
 	.A1(n_5939),
 	.A2(n_13435),
 	.B1(n_5938),
-	.B2(FE_COEN4573_n_13436),
+	.B2(n_13436),
 	.Y(n_6573), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -170223,7 +166834,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435541 (
 	.A1(n_5937),
-	.A2(\u_soc_lsu_to_xbar[a_address] [17]),
+	.A2(FE_OFN18408_u_soc_lsu_to_xbar_a_address__17),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
 	.X(n_6572), 
@@ -170233,7 +166844,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435542 (
 	.A1(n_5939),
-	.A2(FE_COEN4285_n_13437),
+	.A2(n_13437),
 	.B1(n_5938),
 	.B2(n_13438),
 	.Y(n_6571), 
@@ -170243,7 +166854,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435543 (
 	.A1(n_5937),
-	.A2(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.A2(FE_OFN889_u_soc_lsu_to_xbar_a_address__19),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
 	.X(n_6570), 
@@ -170253,7 +166864,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435544 (
 	.A1(n_5937),
-	.A2(FE_COEN4265_u_soc_lsu_to_xbar_a_address_21),
+	.A2(FE_OFN887_u_soc_lsu_to_xbar_a_address__21),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
 	.Y(n_6569), 
@@ -170273,7 +166884,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435546 (
 	.A1(n_5937),
-	.A2(FE_PSN4126_u_soc_lsu_to_xbar_a_address_23),
+	.A2(FE_OFN885_u_soc_lsu_to_xbar_a_address__23),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
 	.X(n_6567), 
@@ -170283,7 +166894,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435547 (
 	.A1(n_5937),
-	.A2(FE_OFN752_u_soc_lsu_to_xbar_a_address__25),
+	.A2(FE_OFN883_u_soc_lsu_to_xbar_a_address__25),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
 	.Y(n_6566), 
@@ -170294,7 +166905,7 @@
    sky130_fd_sc_hd__a221o_1 g435548 (
 	.A1(n_2246),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
-	.B1(FE_OFN884_n_1413),
+	.B1(FE_OFN1087_n_1413),
 	.B2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
 	.C1(n_15931),
 	.X(n_6565), 
@@ -170314,7 +166925,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435550 (
 	.A1(n_5937),
-	.A2(FE_PSN4141_u_soc_lsu_to_xbar_a_address_27),
+	.A2(FE_OFN881_u_soc_lsu_to_xbar_a_address__27),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
 	.X(n_6563), 
@@ -170326,7 +166937,7 @@
 	.A1(n_5939),
 	.A2(n_13447),
 	.B1(n_5938),
-	.B2(n_13448),
+	.B2(FE_OFN1259_n_13089),
 	.Y(n_6562), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -170334,7 +166945,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435552 (
 	.A1(n_5937),
-	.A2(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+	.A2(FE_OFN879_u_soc_lsu_to_xbar_a_address__29),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
 	.X(n_6561), 
@@ -170344,7 +166955,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g435553 (
 	.A1(n_5939),
-	.A2(n_13448),
+	.A2(FE_OFN1259_n_13089),
 	.B1(n_1845),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
 	.Y(n_6560), 
@@ -170393,7 +167004,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435558 (
-	.A1(n_5839),
+	.A1(FE_OFN19022_n_5840),
 	.A2(n_1027),
 	.B1(n_1024),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
@@ -170423,7 +167034,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435561 (
-	.A1(n_5831),
+	.A1(FE_OFN19019_n_5832),
 	.A2(n_1027),
 	.B1(n_1024),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
@@ -170510,21 +167121,21 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435640 (
 	.A1(n_5666),
-	.A2(FE_OFN19351_u_soc_u_dccm_rdata3_4),
+	.A2(u_soc_u_dccm_rdata3[4]),
 	.B1(n_5665),
-	.B2(FE_OFN19468_u_soc_u_dccm_rdata4_4),
-	.C1(n_6222),
+	.B2(FE_PDN3769_FE_OFN509_u_soc_u_dccm_rdata4_4),
+	.C1(FE_PDN3846_n_6222),
 	.X(n_6737), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g435641 (
+   sky130_fd_sc_hd__a221o_4 g435641 (
 	.A1(n_5666),
-	.A2(FE_OFN19369_u_soc_u_dccm_rdata3_3),
+	.A2(u_soc_u_dccm_rdata3[3]),
 	.B1(n_5665),
-	.B2(FE_OFN19482_u_soc_u_dccm_rdata4_3),
-	.C1(n_6223),
+	.B2(FE_OFN510_u_soc_u_dccm_rdata4_3),
+	.C1(FE_PDN3847_n_6223),
 	.X(n_6736), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -170532,10 +167143,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435642 (
 	.A1(n_5666),
-	.A2(FE_OFN19363_u_soc_u_dccm_rdata3_2),
+	.A2(u_soc_u_dccm_rdata3[2]),
 	.B1(n_5665),
-	.B2(FE_OFN18838_u_soc_u_dccm_rdata4_2),
-	.C1(n_6224),
+	.B2(FE_PDN3772_FE_OFN511_u_soc_u_dccm_rdata4_2),
+	.C1(FE_PSN3925_n_6224),
 	.X(n_6735), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -170543,20 +167154,20 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435643 (
 	.A1(n_5666),
-	.A2(FE_OFN19396_u_soc_u_dccm_rdata3_1),
+	.A2(u_soc_u_dccm_rdata3[1]),
 	.B1(n_5665),
-	.B2(FE_OFN19489_u_soc_u_dccm_rdata4_1),
-	.C1(n_6225),
+	.B2(FE_PDN3777_FE_OFN512_u_soc_u_dccm_rdata4_1),
+	.C1(FE_PDN3844_n_6225),
 	.X(n_6734), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435644 (
-	.A1(n_5667),
-	.A2(FE_OFN19384_u_soc_u_dccm_rdata2_0),
+	.A1(FE_PSBN19222_n_5667),
+	.A2(FE_OFN474_u_soc_u_dccm_rdata2_0),
 	.B1(n_5665),
-	.B2(FE_OFN18970_u_soc_u_dccm_rdata4_0),
+	.B2(FE_PDN3762_FE_OFN513_u_soc_u_dccm_rdata4_0),
 	.C1(n_6226),
 	.Y(n_6733), 
 	.VPWR(vccd1), 
@@ -170565,9 +167176,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435645 (
 	.A1(n_5688),
-	.A2(FE_OFN19386_u_soc_u_dccm_rdata2_13),
+	.A2(FE_OFN466_u_soc_u_dccm_rdata2_13),
 	.B1(n_5682),
-	.B2(FE_OFN19159_u_soc_u_dccm_rdata4_13),
+	.B2(FE_OFN500_u_soc_u_dccm_rdata4_13),
 	.C1(n_6215),
 	.Y(n_6731), 
 	.VPWR(vccd1), 
@@ -170576,9 +167187,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435646 (
 	.A1(n_5688),
-	.A2(FE_OFN18841_u_soc_u_dccm_rdata2_15),
+	.A2(FE_PDN3719_FE_OFN464_u_soc_u_dccm_rdata2_15),
 	.B1(n_5682),
-	.B2(FE_OFN19158_u_soc_u_dccm_rdata4_15),
+	.B2(FE_PDN3718_FE_OFN498_u_soc_u_dccm_rdata4_15),
 	.C1(n_6214),
 	.Y(n_6729), 
 	.VPWR(vccd1), 
@@ -170587,9 +167198,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435647 (
 	.A1(n_5666),
-	.A2(FE_OFN19399_u_soc_u_dccm_rdata3_5),
+	.A2(u_soc_u_dccm_rdata3[5]),
 	.B1(n_5665),
-	.B2(FE_OFN19467_u_soc_u_dccm_rdata4_5),
+	.B2(FE_OFN508_u_soc_u_dccm_rdata4_5),
 	.C1(n_6221),
 	.X(n_6727), 
 	.VPWR(vccd1), 
@@ -170598,9 +167209,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435648 (
 	.A1(n_5688),
-	.A2(FE_OFN19333_u_soc_u_dccm_rdata2_11),
+	.A2(FE_OFN468_u_soc_u_dccm_rdata2_11),
 	.B1(n_5682),
-	.B2(FE_OFN19127_u_soc_u_dccm_rdata4_11),
+	.B2(FE_PDN3758_FE_OFN502_u_soc_u_dccm_rdata4_11),
 	.C1(n_6216),
 	.Y(n_6726), 
 	.VPWR(vccd1), 
@@ -170608,10 +167219,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435649 (
-	.A1(n_5667),
-	.A2(FE_OFN19372_u_soc_u_dccm_rdata2_7),
+	.A1(FE_PSN3988_n_5667),
+	.A2(FE_PDN3712_FE_OFN472_u_soc_u_dccm_rdata2_7),
 	.B1(n_5665),
-	.B2(FE_OFN19163_u_soc_u_dccm_rdata4_7),
+	.B2(FE_OFN506_u_soc_u_dccm_rdata4_7),
 	.C1(n_6219),
 	.Y(n_6724), 
 	.VPWR(vccd1), 
@@ -170619,10 +167230,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435650 (
-	.A1(n_5667),
-	.A2(FE_OFN19340_u_soc_u_dccm_rdata2_6),
+	.A1(FE_PSBN19222_n_5667),
+	.A2(FE_PDN4066_FE_OFN473_u_soc_u_dccm_rdata2_6),
 	.B1(n_5665),
-	.B2(FE_OFN19164_u_soc_u_dccm_rdata4_6),
+	.B2(FE_PDN3745_FE_OFN507_u_soc_u_dccm_rdata4_6),
 	.C1(n_6220),
 	.Y(n_6722), 
 	.VPWR(vccd1), 
@@ -170631,9 +167242,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435652 (
 	.A1(n_5688),
-	.A2(FE_OFN19347_u_soc_u_dccm_rdata2_10),
+	.A2(FE_PDN3730_FE_OFN469_u_soc_u_dccm_rdata2_10),
 	.B1(n_5682),
-	.B2(FE_OFN19425_u_soc_u_dccm_rdata4_10),
+	.B2(FE_PDN3717_FE_OFN503_u_soc_u_dccm_rdata4_10),
 	.C1(n_6217),
 	.Y(n_6720), 
 	.VPWR(vccd1), 
@@ -170642,9 +167253,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435653 (
 	.A1(n_5688),
-	.A2(FE_OFN19297_u_soc_u_dccm_rdata2_8),
+	.A2(FE_OFN471_u_soc_u_dccm_rdata2_8),
 	.B1(n_5682),
-	.B2(FE_OFN19453_u_soc_u_dccm_rdata4_8),
+	.B2(FE_PDN3747_FE_OFN505_u_soc_u_dccm_rdata4_8),
 	.C1(n_6218),
 	.Y(n_6718), 
 	.VPWR(vccd1), 
@@ -170660,7 +167271,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g435658 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6504),
 	.Y(n_6714), 
 	.VPWR(vccd1), 
@@ -170668,7 +167279,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g435659 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B_N(n_6504),
 	.Y(n_6713), 
 	.VPWR(vccd1), 
@@ -170676,7 +167287,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g435660 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6506),
 	.Y(n_6712), 
 	.VPWR(vccd1), 
@@ -170684,7 +167295,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g435661 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6507),
 	.Y(n_6711), 
 	.VPWR(vccd1), 
@@ -170692,7 +167303,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g435662 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_6508),
 	.Y(n_6710), 
 	.VPWR(vccd1), 
@@ -170702,7 +167313,7 @@
    sky130_fd_sc_hd__a21bo_2 g435663 (
 	.A1(n_5769),
 	.A2(n_1025),
-	.B1_N(u_soc_u_top_u_core_id_in_ready),
+	.B1_N(FE_OFN18089_n_301),
 	.X(n_6709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -170982,21 +167593,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435704 (
-	.A1(FE_PSN4156_n_13429),
-	.A2(FE_OFN1479_n_5376),
+	.A1(n_13429),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [12]),
-	.C1(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN896_u_soc_xbar_to_dccm_a_address__12),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6486), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435705 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [3]),
 	.X(n_6485), 
 	.VPWR(vccd1), 
@@ -171004,9 +167615,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435706 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [8]),
 	.X(n_6484), 
 	.VPWR(vccd1), 
@@ -171014,9 +167625,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435707 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1694_u_soc_xbar_to_dccm_a_data__30),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [7]),
 	.X(n_6483), 
 	.VPWR(vccd1), 
@@ -171024,9 +167635,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435708 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [4]),
 	.X(n_6482), 
 	.VPWR(vccd1), 
@@ -171034,9 +167645,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435709 (
-	.A1(FE_OFN981_n_5370),
+	.A1(FE_OFN1172_n_5370),
 	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1484_n_5654),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [7]),
 	.X(n_6481), 
 	.VPWR(vccd1), 
@@ -171044,9 +167655,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435710 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [6]),
 	.X(n_6480), 
 	.VPWR(vccd1), 
@@ -171054,9 +167665,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435711 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [4]),
 	.X(n_6479), 
 	.VPWR(vccd1), 
@@ -171064,9 +167675,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435712 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [1]),
 	.X(n_6478), 
 	.VPWR(vccd1), 
@@ -171074,9 +167685,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435713 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [5]),
 	.X(n_6477), 
 	.VPWR(vccd1), 
@@ -171084,9 +167695,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435714 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [4]),
 	.X(n_6476), 
 	.VPWR(vccd1), 
@@ -171094,9 +167705,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435715 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [3]),
 	.X(n_6475), 
 	.VPWR(vccd1), 
@@ -171104,9 +167715,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435716 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [1]),
 	.X(n_6474), 
 	.VPWR(vccd1), 
@@ -171114,9 +167725,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435717 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1720_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [7]),
 	.X(n_6473), 
 	.VPWR(vccd1), 
@@ -171124,9 +167735,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435718 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1720_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [7]),
 	.X(n_6472), 
 	.VPWR(vccd1), 
@@ -171134,9 +167745,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435719 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [5]),
 	.X(n_6471), 
 	.VPWR(vccd1), 
@@ -171144,9 +167755,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435720 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [3]),
 	.X(n_6470), 
 	.VPWR(vccd1), 
@@ -171154,9 +167765,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435721 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [1]),
 	.X(n_6469), 
 	.VPWR(vccd1), 
@@ -171164,9 +167775,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435722 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [4]),
 	.X(n_6468), 
 	.VPWR(vccd1), 
@@ -171174,9 +167785,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435723 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [8]),
 	.X(n_6467), 
 	.VPWR(vccd1), 
@@ -171184,9 +167795,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435724 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_PDN4060_u_soc_xbar_to_dccm_a_data_30),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [7]),
 	.X(n_6466), 
 	.VPWR(vccd1), 
@@ -171194,9 +167805,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435725 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [4]),
 	.X(n_6465), 
 	.VPWR(vccd1), 
@@ -171204,9 +167815,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435726 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [3]),
 	.X(n_6464), 
 	.VPWR(vccd1), 
@@ -171214,9 +167825,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435727 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [2]),
 	.X(n_6463), 
 	.VPWR(vccd1), 
@@ -171224,9 +167835,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435728 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [1]),
 	.X(n_6462), 
 	.VPWR(vccd1), 
@@ -171234,9 +167845,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435729 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [8]),
 	.X(n_6461), 
 	.VPWR(vccd1), 
@@ -171244,9 +167855,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435730 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1702_u_soc_xbar_to_dccm_a_data__22),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [7]),
 	.X(n_6460), 
 	.VPWR(vccd1), 
@@ -171254,9 +167865,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435731 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [5]),
 	.X(n_6459), 
 	.VPWR(vccd1), 
@@ -171264,9 +167875,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435732 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [4]),
 	.X(n_6458), 
 	.VPWR(vccd1), 
@@ -171274,9 +167885,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435733 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [3]),
 	.X(n_6457), 
 	.VPWR(vccd1), 
@@ -171284,9 +167895,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435734 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [1]),
 	.X(n_6456), 
 	.VPWR(vccd1), 
@@ -171294,9 +167905,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435735 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [8]),
 	.X(n_6455), 
 	.VPWR(vccd1), 
@@ -171304,9 +167915,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435736 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_PDN3701_u_soc_xbar_to_dccm_a_data_14),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [7]),
 	.X(n_6454), 
 	.VPWR(vccd1), 
@@ -171314,9 +167925,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435737 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [5]),
 	.X(n_6453), 
 	.VPWR(vccd1), 
@@ -171324,9 +167935,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435738 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [3]),
 	.X(n_6452), 
 	.VPWR(vccd1), 
@@ -171334,9 +167945,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435739 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [1]),
 	.X(n_6451), 
 	.VPWR(vccd1), 
@@ -171344,9 +167955,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435740 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1720_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [7]),
 	.X(n_6450), 
 	.VPWR(vccd1), 
@@ -171354,9 +167965,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435741 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [5]),
 	.X(n_6449), 
 	.VPWR(vccd1), 
@@ -171364,9 +167975,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435742 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [4]),
 	.X(n_6448), 
 	.VPWR(vccd1), 
@@ -171374,9 +167985,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435743 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [3]),
 	.X(n_6447), 
 	.VPWR(vccd1), 
@@ -171384,9 +167995,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435744 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [8]),
 	.X(n_6446), 
 	.VPWR(vccd1), 
@@ -171394,9 +168005,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435745 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1695_u_soc_xbar_to_dccm_a_data__29),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [6]),
 	.X(n_6445), 
 	.VPWR(vccd1), 
@@ -171404,9 +168015,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435746 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1695_u_soc_xbar_to_dccm_a_data__29),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [6]),
 	.X(n_6444), 
 	.VPWR(vccd1), 
@@ -171414,9 +168025,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435747 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [3]),
 	.X(n_6443), 
 	.VPWR(vccd1), 
@@ -171424,9 +168035,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435748 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [2]),
 	.X(n_6442), 
 	.VPWR(vccd1), 
@@ -171434,9 +168045,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435749 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [8]),
 	.X(n_6441), 
 	.VPWR(vccd1), 
@@ -171444,9 +168055,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435750 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [6]),
 	.X(n_6440), 
 	.VPWR(vccd1), 
@@ -171454,9 +168065,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435751 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [4]),
 	.X(n_6439), 
 	.VPWR(vccd1), 
@@ -171464,9 +168075,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435752 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [3]),
 	.X(n_6438), 
 	.VPWR(vccd1), 
@@ -171474,9 +168085,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435753 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [2]),
 	.X(n_6437), 
 	.VPWR(vccd1), 
@@ -171484,9 +168095,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435754 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [5]),
 	.X(n_6436), 
 	.VPWR(vccd1), 
@@ -171494,9 +168105,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435755 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [8]),
 	.X(n_6435), 
 	.VPWR(vccd1), 
@@ -171504,9 +168115,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435756 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_PDN3701_u_soc_xbar_to_dccm_a_data_14),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [7]),
 	.X(n_6434), 
 	.VPWR(vccd1), 
@@ -171514,9 +168125,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435757 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [6]),
 	.X(n_6433), 
 	.VPWR(vccd1), 
@@ -171524,9 +168135,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435758 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [5]),
 	.X(n_6432), 
 	.VPWR(vccd1), 
@@ -171534,9 +168145,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435759 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [4]),
 	.X(n_6431), 
 	.VPWR(vccd1), 
@@ -171544,9 +168155,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435760 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [3]),
 	.X(n_6430), 
 	.VPWR(vccd1), 
@@ -171554,9 +168165,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435761 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [2]),
 	.X(n_6429), 
 	.VPWR(vccd1), 
@@ -171564,9 +168175,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435762 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [8]),
 	.X(n_6428), 
 	.VPWR(vccd1), 
@@ -171574,9 +168185,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435763 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1720_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [7]),
 	.X(n_6427), 
 	.VPWR(vccd1), 
@@ -171584,9 +168195,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435764 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [6]),
 	.X(n_6426), 
 	.VPWR(vccd1), 
@@ -171594,9 +168205,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435765 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [4]),
 	.X(n_6425), 
 	.VPWR(vccd1), 
@@ -171604,9 +168215,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435766 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [3]),
 	.X(n_6424), 
 	.VPWR(vccd1), 
@@ -171614,9 +168225,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435767 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [2]),
 	.X(n_6423), 
 	.VPWR(vccd1), 
@@ -171624,9 +168235,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435768 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [1]),
 	.X(n_6422), 
 	.VPWR(vccd1), 
@@ -171634,9 +168245,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435769 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [4]),
 	.X(n_6421), 
 	.VPWR(vccd1), 
@@ -171741,7 +168352,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g435782 (
 	.A(n_5959),
-	.B(FE_OFN19289_u_soc_u_dccm_rdata2_24),
+	.B(FE_PDN3703_FE_OFN455_u_soc_u_dccm_rdata2_24),
 	.Y(n_6408), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -171749,7 +168360,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g435783 (
 	.A(n_5972),
-	.B(FE_OFN295_u_soc_u_dccm_rdata1_25),
+	.B(FE_PDN3878_FE_OFN402_u_soc_u_dccm_rdata1_25),
 	.Y(n_6407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -171757,7 +168368,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g435784 (
 	.A(n_5959),
-	.B(FE_OFN326_u_soc_u_dccm_rdata2_26),
+	.B(FE_OFN453_u_soc_u_dccm_rdata2_26),
 	.Y(n_6406), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -171765,7 +168376,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g435785 (
 	.A(n_5959),
-	.B(FE_OFN324_u_soc_u_dccm_rdata2_28),
+	.B(FE_OFN451_u_soc_u_dccm_rdata2_28),
 	.Y(n_6405), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -171773,7 +168384,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g435786 (
 	.A(n_5959),
-	.B(FE_OFN19307_u_soc_u_dccm_rdata2_29),
+	.B(FE_OFN450_u_soc_u_dccm_rdata2_29),
 	.Y(n_6404), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -171781,7 +168392,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g435787 (
 	.A(n_5972),
-	.B(FE_OFN290_u_soc_u_dccm_rdata1_30),
+	.B(FE_OFN392_u_soc_u_dccm_rdata1_30),
 	.Y(n_6403), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -171789,16 +168400,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g435788 (
 	.A(n_5972),
-	.B(FE_OFN289_u_soc_u_dccm_rdata1_31),
+	.B(FE_OFN390_u_soc_u_dccm_rdata1_31),
 	.Y(n_6402), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435790 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [5]),
 	.X(n_6400), 
 	.VPWR(vccd1), 
@@ -171814,9 +168425,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435792 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_PDN4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [1]),
 	.X(n_6398), 
 	.VPWR(vccd1), 
@@ -171824,9 +168435,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435793 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [8]),
 	.X(n_6397), 
 	.VPWR(vccd1), 
@@ -171834,9 +168445,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435794 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1694_u_soc_xbar_to_dccm_a_data__30),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [7]),
 	.X(n_6396), 
 	.VPWR(vccd1), 
@@ -171845,16 +168456,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g435795 (
 	.A(n_5542),
-	.B(n_1751),
+	.B(FE_OFN1447_n_1751),
 	.Y(n_6395), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435796 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_PDN3698_u_soc_xbar_to_dccm_a_data_29),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [6]),
 	.X(n_6394), 
 	.VPWR(vccd1), 
@@ -171870,9 +168481,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435798 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [3]),
 	.X(n_6392), 
 	.VPWR(vccd1), 
@@ -171880,9 +168491,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435799 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [2]),
 	.X(n_6391), 
 	.VPWR(vccd1), 
@@ -171890,9 +168501,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435800 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [8]),
 	.X(n_6390), 
 	.VPWR(vccd1), 
@@ -171908,9 +168519,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435802 (
-	.A1(FE_OFN978_n_5367),
+	.A1(FE_OFN1169_n_5367),
 	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(n_5659),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [7]),
 	.X(n_6388), 
 	.VPWR(vccd1), 
@@ -171918,9 +168529,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435803 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [6]),
 	.X(n_6387), 
 	.VPWR(vccd1), 
@@ -171928,9 +168539,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435804 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [5]),
 	.X(n_6386), 
 	.VPWR(vccd1), 
@@ -171938,9 +168549,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435805 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [3]),
 	.X(n_6385), 
 	.VPWR(vccd1), 
@@ -171948,9 +168559,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435806 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_PDN4085_FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[26] [2]),
 	.X(n_6384), 
 	.VPWR(vccd1), 
@@ -171958,9 +168569,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435807 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [6]),
 	.X(n_6383), 
 	.VPWR(vccd1), 
@@ -171968,9 +168579,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435808 (
-	.A1(FE_OFN978_n_5367),
-	.A2(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11),
-	.B1(n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [4]),
 	.X(n_6382), 
 	.VPWR(vccd1), 
@@ -171996,7 +168607,7 @@
    sky130_fd_sc_hd__nand4_1 g435811 (
 	.A(n_3071),
 	.B(n_5092),
-	.C(n_2136),
+	.C(FE_OFN1745_n_2136),
 	.D(n_2627),
 	.Y(n_6379), 
 	.VPWR(vccd1), 
@@ -172124,7 +168735,7 @@
    sky130_fd_sc_hd__nand4_1 g435824 (
 	.A(n_5096),
 	.B(n_5106),
-	.C(n_2136),
+	.C(FE_OFN1745_n_2136),
 	.D(n_1973),
 	.Y(n_6366), 
 	.VPWR(vccd1), 
@@ -172134,7 +168745,7 @@
    sky130_fd_sc_hd__nand4_1 g435825 (
 	.A(n_3050),
 	.B(n_5104),
-	.C(n_2136),
+	.C(FE_OFN1745_n_2136),
 	.D(n_2624),
 	.Y(n_6365), 
 	.VPWR(vccd1), 
@@ -172142,7 +168753,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21o_1 g435826 (
-	.A1(FE_OFN885_n_1518),
+	.A1(FE_OFN1090_n_1518),
 	.A2(u_soc_u_top_u_core_csr_mepc[7]),
 	.B1(n_5778),
 	.X(n_6364), 
@@ -172160,9 +168771,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435828 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [3]),
 	.X(n_6362), 
 	.VPWR(vccd1), 
@@ -172200,9 +168811,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435832 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [2]),
 	.X(n_6358), 
 	.VPWR(vccd1), 
@@ -172210,7 +168821,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g435833 (
-	.A1(FE_OFN1608_n_2244),
+	.A1(FE_OFN1747_n_2244),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
 	.B1(n_1884),
 	.B2(u_soc_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
@@ -172230,10 +168841,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435835 (
-	.A1(FE_OFN18279_n_16012),
+	.A1(FE_OFN1272_n_16012),
 	.A2(n_5374),
 	.B1(n_5376),
-	.B2(FE_OFN18449_n_15945),
+	.B2(FE_OFN18404_n_15945),
 	.C1(n_3076),
 	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [0]),
 	.Y(n_6355), 
@@ -172242,7 +168853,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g435836 (
-	.A1(FE_COEN4302_n_669),
+	.A1(FE_OFN18604_n),
 	.A2(n_5375),
 	.B1(n_5774),
 	.Y(n_6354), 
@@ -172251,9 +168862,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435837 (
-	.A1(FE_OFN18294_n_13073),
+	.A1(FE_OFN1247_n_13073),
 	.A2(n_5376),
-	.B1(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.B1(FE_OFN904_u_soc_xbar_to_dccm_a_address__4),
 	.B2(n_5374),
 	.C1(n_3076),
 	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [4]),
@@ -172267,7 +168878,7 @@
 	.A2(n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [6]),
-	.C1(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.C1(FE_OFN19028_u_soc_xbar_to_dccm_a_address__6),
 	.C2(n_5374),
 	.Y(n_6352), 
 	.VPWR(vccd1), 
@@ -172275,11 +168886,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435839 (
-	.A1(FE_PSN4109_FE_OFN1586_n_13424),
+	.A1(FE_OFN18245_n_13424),
 	.A2(n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [7]),
-	.C1(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
+	.C1(FE_OFN18390_u_soc_xbar_to_dccm_a_address__7),
 	.C2(n_5374),
 	.Y(n_6351), 
 	.VPWR(vccd1), 
@@ -172287,11 +168898,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435840 (
-	.A1(FE_PSN4424_FE_OFN18315_n_13425),
-	.A2(n_5376),
+	.A1(FE_OFN1878_n_13425),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [8]),
-	.C1(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
+	.C1(FE_OFN18534_n),
 	.C2(n_5374),
 	.Y(n_6350), 
 	.VPWR(vccd1), 
@@ -172300,11 +168911,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435841 (
 	.A1(n_13426),
-	.A2(FE_OFN1479_n_5376),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [9]),
-	.C1(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN19045_u_soc_xbar_to_dccm_a_address__9),
+	.C2(n_5374),
 	.Y(n_6349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -172312,21 +168923,21 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435842 (
 	.A1(n_13427),
-	.A2(FE_OFN1479_n_5376),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [10]),
-	.C1(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_PSN4013_u_soc_xbar_to_dccm_a_address_10),
+	.C2(n_5374),
 	.Y(n_6348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435843 (
-	.A1(FE_OFN1587_n_13428),
-	.A2(FE_OFN1479_n_5376),
-	.B1(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
-	.B2(FE_OFN1478_n_5374),
+	.A1(FE_OFN1656_n_13428),
+	.A2(FE_OFN1176_n_5376),
+	.B1(FE_OFN18210_u_soc_xbar_to_dccm_a_address__11),
+	.B2(n_5374),
 	.C1(n_3076),
 	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [11]),
 	.Y(n_6347), 
@@ -172337,7 +168948,7 @@
    sky130_fd_sc_hd__a221oi_1 g435844 (
 	.A1(n_1409),
 	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[5]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[5]),
 	.C1(n_5779),
 	.Y(n_6346), 
@@ -172346,10 +168957,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435845 (
-	.A1(n_13430),
-	.A2(FE_OFN1479_n_5376),
-	.B1(\u_soc_xbar_to_dccm[a_address] [13]),
-	.B2(FE_OFN1478_n_5374),
+	.A1(FE_OFN1261_n_13092),
+	.A2(FE_OFN1176_n_5376),
+	.B1(FE_OFN895_u_soc_xbar_to_dccm_a_address__13),
+	.B2(FE_OFN1175_n_5374),
 	.C1(n_3076),
 	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [13]),
 	.Y(n_6345), 
@@ -172358,34 +168969,34 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435846 (
-	.A1(FE_COEN4310_n_13431),
-	.A2(FE_OFN1479_n_5376),
+	.A1(n_13431),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [14]),
-	.C1(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN894_u_soc_lsu_to_xbar_a_address__14),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435847 (
-	.A1(FE_COEN4330_n_13432),
-	.A2(FE_OFN1479_n_5376),
+	.A1(n_13432),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [15]),
-	.C1(\u_soc_lsu_to_xbar[a_address] [15]),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN893_u_soc_lsu_to_xbar_a_address__15),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435848 (
-	.A1(FE_COEN4287_n_13433),
-	.A2(FE_OFN1479_n_5376),
-	.B1(\u_soc_lsu_to_xbar[a_address] [16]),
-	.B2(FE_OFN1478_n_5374),
+	.A1(n_13433),
+	.A2(FE_OFN1176_n_5376),
+	.B1(FE_OFN18195_u_soc_lsu_to_xbar_a_address__16),
+	.B2(FE_OFN1175_n_5374),
 	.C1(n_3076),
 	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [16]),
 	.Y(n_6342), 
@@ -172395,11 +169006,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435849 (
 	.A1(n_13434),
-	.A2(FE_OFN1479_n_5376),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [17]),
-	.C1(\u_soc_lsu_to_xbar[a_address] [17]),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN18408_u_soc_lsu_to_xbar_a_address__17),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -172407,35 +169018,35 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435850 (
 	.A1(n_13435),
-	.A2(FE_OFN1479_n_5376),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [18]),
-	.C1(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN890_u_soc_lsu_to_xbar_a_address__18),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435851 (
-	.A1(FE_COEN4573_n_13436),
-	.A2(FE_OFN1479_n_5376),
+	.A1(n_13436),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [19]),
-	.C1(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN889_u_soc_lsu_to_xbar_a_address__19),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435852 (
-	.A1(FE_COEN4285_n_13437),
-	.A2(FE_OFN1479_n_5376),
+	.A1(n_13437),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [20]),
-	.C1(FE_OFN18273_u_soc_lsu_to_xbar_a_address__20),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN888_u_soc_lsu_to_xbar_a_address__20),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -172443,11 +169054,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435853 (
 	.A1(n_13438),
-	.A2(FE_OFN1479_n_5376),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [21]),
-	.C1(FE_COEN4265_u_soc_lsu_to_xbar_a_address_21),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN887_u_soc_lsu_to_xbar_a_address__21),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -172455,23 +169066,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435854 (
 	.A1(n_13439),
-	.A2(FE_OFN1479_n_5376),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [22]),
-	.C1(FE_PSN4145_u_soc_lsu_to_xbar_a_address_22),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN886_u_soc_lsu_to_xbar_a_address__22),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435855 (
-	.A1(FE_OFN1094_n_13091),
-	.A2(FE_OFN1479_n_5376),
+	.A1(n_13440),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [23]),
-	.C1(FE_PSN4126_u_soc_lsu_to_xbar_a_address_23),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN885_u_soc_lsu_to_xbar_a_address__23),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -172479,9 +169090,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435856 (
 	.A1(n_13441),
-	.A2(FE_OFN1479_n_5376),
-	.B1(FE_OFN753_u_soc_lsu_to_xbar_a_address__24),
-	.B2(FE_OFN1478_n_5374),
+	.A2(FE_OFN1176_n_5376),
+	.B1(FE_OFN884_u_soc_lsu_to_xbar_a_address__24),
+	.B2(FE_OFN1175_n_5374),
 	.C1(n_3076),
 	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [24]),
 	.Y(n_6334), 
@@ -172491,11 +169102,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435857 (
 	.A1(n_13442),
-	.A2(FE_OFN1479_n_5376),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [25]),
-	.C1(FE_OFN752_u_soc_lsu_to_xbar_a_address__25),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN883_u_soc_lsu_to_xbar_a_address__25),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -172503,9 +169114,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435858 (
 	.A1(n_13443),
-	.A2(FE_OFN1479_n_5376),
-	.B1(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
-	.B2(FE_OFN1478_n_5374),
+	.A2(FE_OFN1176_n_5376),
+	.B1(FE_OFN882_u_soc_lsu_to_xbar_a_address__26),
+	.B2(FE_OFN1175_n_5374),
 	.C1(n_3076),
 	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [26]),
 	.Y(n_6332), 
@@ -172515,11 +169126,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435859 (
 	.A1(n_13444),
-	.A2(FE_OFN1479_n_5376),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [27]),
-	.C1(FE_PSN4141_u_soc_lsu_to_xbar_a_address_27),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN881_u_soc_lsu_to_xbar_a_address__27),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -172527,11 +169138,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435860 (
 	.A1(n_13445),
-	.A2(FE_OFN1479_n_5376),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [28]),
-	.C1(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN880_u_soc_lsu_to_xbar_a_address__28),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -172539,11 +169150,11 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435861 (
 	.A1(n_13446),
-	.A2(FE_OFN1479_n_5376),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [29]),
-	.C1(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN879_u_soc_lsu_to_xbar_a_address__29),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -172551,20 +169162,20 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435862 (
 	.A1(n_13447),
-	.A2(FE_OFN1479_n_5376),
+	.A2(FE_OFN1176_n_5376),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [30]),
-	.C1(FE_OFN18361_n),
-	.C2(FE_OFN1478_n_5374),
+	.C1(FE_OFN18378_u_soc_lsu_to_xbar_a_address__30),
+	.C2(FE_OFN1175_n_5374),
 	.Y(n_6328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g435863 (
-	.A1(n_13448),
+	.A1(FE_OFN1259_n_13089),
 	.A2(n_5376),
-	.B1(FE_PSN4860_u_soc_lsu_to_xbar_a_address_31),
+	.B1(FE_OFN18228_n),
 	.B2(n_5374),
 	.C1(n_3076),
 	.C2(\u_soc_u_top_u_core_imd_val_q_ex[1] [31]),
@@ -172576,7 +169187,7 @@
    sky130_fd_sc_hd__a221oi_1 g435864 (
 	.A1(n_5411),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
-	.B1(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.B1(FE_OFN18510_n),
 	.B2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [1]),
 	.C1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_branch_discard_q [1]),
 	.Y(n_6326), 
@@ -172685,7 +169296,7 @@
 	.A2(n_3078),
 	.B1(n_3142),
 	.B2(n_2414),
-	.C1(FE_OFN1646_n_3077),
+	.C1(FE_OFN1820_n_3077),
 	.C2(n_5450),
 	.Y(n_6317), 
 	.VPWR(vccd1), 
@@ -172695,7 +169306,7 @@
    sky130_fd_sc_hd__a222oi_1 g435874 (
 	.A1(n_5460),
 	.A2(n_3078),
-	.B1(FE_OFN1646_n_3077),
+	.B1(FE_OFN1820_n_3077),
 	.B2(n_5452),
 	.C1(n_5421),
 	.C2(n_2414),
@@ -172708,9 +169319,9 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [17]),
-	.C1(FE_OFN984_n_5382),
-	.C2(n_5687),
+	.B2(FE_OFN1023_u_soc_uart_to_xbar_d_data__17),
+	.C1(FE_OFN1177_n_5382),
+	.C2(FE_OFN18995_n_5687),
 	.Y(n_6315), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -172720,9 +169331,9 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
 	.A2(n_2009),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [18]),
-	.C1(FE_OFN984_n_5382),
-	.C2(n_5686),
+	.B2(FE_OFN1022_u_soc_uart_to_xbar_d_data__18),
+	.C1(FE_OFN1177_n_5382),
+	.C2(FE_OFN1567_n_5686),
 	.Y(n_6314), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -172732,9 +169343,9 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [27]),
-	.C1(FE_OFN984_n_5382),
-	.C2(n_5684),
+	.B2(FE_OFN1013_u_soc_uart_to_xbar_d_data__27),
+	.C1(FE_OFN1177_n_5382),
+	.C2(FE_OFN1566_n_5684),
 	.Y(n_6313), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -172753,7 +169364,7 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [23]),
+	.B2(FE_OFN1017_u_soc_uart_to_xbar_d_data__23),
 	.C1(n_5677),
 	.C2(n_2171),
 	.Y(n_6311), 
@@ -172803,7 +169414,7 @@
 	.A2(n_3078),
 	.B1(n_3144),
 	.B2(n_2414),
-	.C1(FE_OFN1646_n_3077),
+	.C1(FE_OFN1820_n_3077),
 	.C2(n_5449),
 	.Y(n_6306), 
 	.VPWR(vccd1), 
@@ -172815,7 +169426,7 @@
 	.A2(n_5427),
 	.B1(n_3078),
 	.B2(n_5459),
-	.C1(FE_OFN1646_n_3077),
+	.C1(FE_OFN1820_n_3077),
 	.C2(n_5451),
 	.Y(n_6305), 
 	.VPWR(vccd1), 
@@ -172823,8 +169434,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g435886 (
-	.A1(FE_OFN1480_n_5647),
-	.A2(FE_OFN18449_n_15945),
+	.A1(n_5647),
+	.A2(FE_OFN18404_n_15945),
 	.B1(n_2982),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
 	.C1(n_5585),
@@ -172858,7 +169469,7 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [22]),
+	.B2(FE_OFN1018_u_soc_uart_to_xbar_d_data__22),
 	.C1(n_5677),
 	.C2(n_2170),
 	.Y(n_6301), 
@@ -172880,7 +169491,7 @@
    sky130_fd_sc_hd__a221oi_1 g435891 (
 	.A1(n_3078),
 	.A2(n_5461),
-	.B1(FE_OFN1646_n_3077),
+	.B1(FE_OFN1820_n_3077),
 	.B2(n_5453),
 	.C1(n_5896),
 	.Y(n_6299), 
@@ -172891,7 +169502,7 @@
    sky130_fd_sc_hd__a222oi_1 g435892 (
 	.A1(n_5462),
 	.A2(n_3078),
-	.B1(FE_OFN1646_n_3077),
+	.B1(FE_OFN1820_n_3077),
 	.B2(n_5454),
 	.C1(n_5705),
 	.C2(n_2414),
@@ -172904,7 +169515,7 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [16]),
+	.B2(FE_OFN1024_u_soc_uart_to_xbar_d_data__16),
 	.C1(n_5677),
 	.C2(n_2172),
 	.Y(n_6297), 
@@ -172916,7 +169527,7 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
 	.A2(n_2009),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [9]),
+	.B2(FE_OFN18726_u_soc_uart_to_xbar_d_data__9),
 	.C1(n_5694),
 	.C2(n_2175),
 	.Y(n_6296), 
@@ -172928,7 +169539,7 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [19]),
+	.B2(FE_OFN1021_u_soc_uart_to_xbar_d_data__19),
 	.C1(n_5677),
 	.C2(n_2165),
 	.Y(n_6295), 
@@ -172952,7 +169563,7 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [20]),
+	.B2(FE_OFN1020_u_soc_uart_to_xbar_d_data__20),
 	.C1(n_5677),
 	.C2(n_2164),
 	.Y(n_6293), 
@@ -172964,7 +169575,7 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [21]),
+	.B2(FE_OFN1019_u_soc_uart_to_xbar_d_data__21),
 	.C1(n_5677),
 	.C2(n_2166),
 	.Y(n_6292), 
@@ -172976,7 +169587,7 @@
 	.A1(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
 	.A2(n_2008),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [14]),
+	.B2(FE_PDN3686_u_soc_uart_to_xbar_d_data_14),
 	.C1(n_5694),
 	.C2(n_2173),
 	.Y(n_6291), 
@@ -173083,7 +169694,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g435977 (
 	.A(n_5935),
-	.B(FE_OFN29_io_out_37),
+	.B(FE_OFN59_io_out_37),
 	.Y(n_6495), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -173116,7 +169727,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g435982 (
-	.A(FE_OFN973_n_5358),
+	.A(n_5358),
 	.B(n_15918),
 	.Y(n_6490), 
 	.VPWR(vccd1), 
@@ -173140,9 +169751,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435985 (
-	.A1(FE_OFN982_n_5371),
-	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [8]),
 	.X(n_6290), 
 	.VPWR(vccd1), 
@@ -173150,9 +169761,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435986 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1719_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [7]),
 	.X(n_6289), 
 	.VPWR(vccd1), 
@@ -173160,9 +169771,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435987 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [8]),
 	.X(n_6288), 
 	.VPWR(vccd1), 
@@ -173170,9 +169781,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435988 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [2]),
 	.X(n_6287), 
 	.VPWR(vccd1), 
@@ -173182,7 +169793,7 @@
    sky130_fd_sc_hd__nand4_1 g435989 (
 	.A(n_5099),
 	.B(n_1800),
-	.C(n_2136),
+	.C(FE_OFN1745_n_2136),
 	.D(n_1512),
 	.Y(n_6286), 
 	.VPWR(vccd1), 
@@ -173190,9 +169801,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435990 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [3]),
 	.X(n_6285), 
 	.VPWR(vccd1), 
@@ -173200,9 +169811,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435991 (
-	.A1(FE_OFN979_n_5368),
-	.A2(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [4]),
 	.X(n_6284), 
 	.VPWR(vccd1), 
@@ -173210,9 +169821,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435992 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
-	.B1(n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_PDN3656_FE_OFN1717_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [8]),
 	.X(n_6283), 
 	.VPWR(vccd1), 
@@ -173220,9 +169831,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435993 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1710_u_soc_xbar_to_dccm_a_data__14),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [7]),
 	.X(n_6282), 
 	.VPWR(vccd1), 
@@ -173230,9 +169841,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435994 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_PDN4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [1]),
 	.X(n_6281), 
 	.VPWR(vccd1), 
@@ -173240,9 +169851,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435995 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [3]),
 	.X(n_6280), 
 	.VPWR(vccd1), 
@@ -173250,9 +169861,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435996 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [4]),
 	.X(n_6279), 
 	.VPWR(vccd1), 
@@ -173260,9 +169871,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435997 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [5]),
 	.X(n_6278), 
 	.VPWR(vccd1), 
@@ -173270,9 +169881,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435998 (
-	.A1(FE_OFN979_n_5368),
+	.A1(FE_OFN1170_n_5368),
 	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1490_n_5660),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [7]),
 	.X(n_6277), 
 	.VPWR(vccd1), 
@@ -173280,9 +169891,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g435999 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [1]),
 	.X(n_6276), 
 	.VPWR(vccd1), 
@@ -173290,9 +169901,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436000 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [3]),
 	.X(n_6275), 
 	.VPWR(vccd1), 
@@ -173300,9 +169911,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436001 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [5]),
 	.X(n_6274), 
 	.VPWR(vccd1), 
@@ -173310,9 +169921,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436002 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1694_u_soc_xbar_to_dccm_a_data__30),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [7]),
 	.X(n_6273), 
 	.VPWR(vccd1), 
@@ -173320,9 +169931,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436003 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [2]),
 	.X(n_6272), 
 	.VPWR(vccd1), 
@@ -173330,9 +169941,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436004 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [5]),
 	.X(n_6271), 
 	.VPWR(vccd1), 
@@ -173340,9 +169951,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436005 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [5]),
 	.X(n_6270), 
 	.VPWR(vccd1), 
@@ -173350,9 +169961,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436006 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [6]),
 	.X(n_6269), 
 	.VPWR(vccd1), 
@@ -173360,9 +169971,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436007 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [4]),
 	.X(n_6268), 
 	.VPWR(vccd1), 
@@ -173370,9 +169981,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436008 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [8]),
 	.X(n_6267), 
 	.VPWR(vccd1), 
@@ -173380,9 +169991,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436009 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [5]),
 	.X(n_6266), 
 	.VPWR(vccd1), 
@@ -173390,9 +170001,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436010 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [8]),
 	.X(n_6265), 
 	.VPWR(vccd1), 
@@ -173400,9 +170011,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436011 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1710_u_soc_xbar_to_dccm_a_data__14),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [7]),
 	.X(n_6264), 
 	.VPWR(vccd1), 
@@ -173410,9 +170021,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436012 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_PDN3698_u_soc_xbar_to_dccm_a_data_29),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [6]),
 	.X(n_6263), 
 	.VPWR(vccd1), 
@@ -173420,9 +170031,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436013 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [2]),
 	.X(n_6262), 
 	.VPWR(vccd1), 
@@ -173440,9 +170051,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436015 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[25] [1]),
 	.X(n_6260), 
 	.VPWR(vccd1), 
@@ -173450,9 +170061,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436016 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[59] [4]),
 	.X(n_6259), 
 	.VPWR(vccd1), 
@@ -173460,9 +170071,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436017 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[42] [2]),
 	.X(n_6258), 
 	.VPWR(vccd1), 
@@ -173542,7 +170153,7 @@
    sky130_fd_sc_hd__a22oi_1 g436025 (
 	.A1(n_3087),
 	.A2(n_1525),
-	.B1(n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [8]),
 	.Y(n_6250), 
 	.VPWR(vccd1), 
@@ -173552,7 +170163,7 @@
    sky130_fd_sc_hd__a22oi_1 g436026 (
 	.A1(n_3086),
 	.A2(n_1422),
-	.B1(n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [9]),
 	.Y(n_6249), 
 	.VPWR(vccd1), 
@@ -173562,7 +170173,7 @@
    sky130_fd_sc_hd__a22o_1 g436027 (
 	.A1(n_3087),
 	.A2(n_1422),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [10]),
 	.X(n_6248), 
 	.VPWR(vccd1), 
@@ -173572,7 +170183,7 @@
    sky130_fd_sc_hd__a22oi_1 g436028 (
 	.A1(n_3086),
 	.A2(n_1423),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [11]),
 	.Y(n_6247), 
 	.VPWR(vccd1), 
@@ -173582,7 +170193,7 @@
    sky130_fd_sc_hd__a22oi_1 g436029 (
 	.A1(n_3087),
 	.A2(n_1423),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [12]),
 	.Y(n_6246), 
 	.VPWR(vccd1), 
@@ -173592,7 +170203,7 @@
    sky130_fd_sc_hd__a22oi_1 g436030 (
 	.A1(n_3086),
 	.A2(n_1524),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [13]),
 	.Y(n_6245), 
 	.VPWR(vccd1), 
@@ -173602,7 +170213,7 @@
    sky130_fd_sc_hd__a22oi_1 g436031 (
 	.A1(n_3087),
 	.A2(n_1524),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [14]),
 	.Y(n_6244), 
 	.VPWR(vccd1), 
@@ -173612,7 +170223,7 @@
    sky130_fd_sc_hd__a22oi_1 g436032 (
 	.A1(n_3094),
 	.A2(n_1522),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [15]),
 	.Y(n_6243), 
 	.VPWR(vccd1), 
@@ -173622,7 +170233,7 @@
    sky130_fd_sc_hd__a22oi_1 g436033 (
 	.A1(n_3091),
 	.A2(n_1522),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [16]),
 	.Y(n_6242), 
 	.VPWR(vccd1), 
@@ -173632,7 +170243,7 @@
    sky130_fd_sc_hd__a22oi_1 g436034 (
 	.A1(n_3094),
 	.A2(n_1417),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [17]),
 	.Y(n_6241), 
 	.VPWR(vccd1), 
@@ -173642,7 +170253,7 @@
    sky130_fd_sc_hd__a22oi_1 g436035 (
 	.A1(n_3091),
 	.A2(n_1417),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [18]),
 	.Y(n_6240), 
 	.VPWR(vccd1), 
@@ -173652,7 +170263,7 @@
    sky130_fd_sc_hd__a22oi_1 g436036 (
 	.A1(n_3094),
 	.A2(n_1421),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [19]),
 	.Y(n_6239), 
 	.VPWR(vccd1), 
@@ -173662,7 +170273,7 @@
    sky130_fd_sc_hd__a22oi_1 g436037 (
 	.A1(n_3091),
 	.A2(n_1421),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [20]),
 	.Y(n_6238), 
 	.VPWR(vccd1), 
@@ -173672,7 +170283,7 @@
    sky130_fd_sc_hd__a22oi_1 g436038 (
 	.A1(n_3094),
 	.A2(n_1523),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [21]),
 	.Y(n_6237), 
 	.VPWR(vccd1), 
@@ -173682,7 +170293,7 @@
    sky130_fd_sc_hd__a22oi_1 g436039 (
 	.A1(n_3091),
 	.A2(n_1523),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [22]),
 	.Y(n_6236), 
 	.VPWR(vccd1), 
@@ -173692,7 +170303,7 @@
    sky130_fd_sc_hd__a22oi_1 g436040 (
 	.A1(n_3086),
 	.A2(n_1522),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [23]),
 	.Y(n_6235), 
 	.VPWR(vccd1), 
@@ -173702,7 +170313,7 @@
    sky130_fd_sc_hd__a22oi_1 g436041 (
 	.A1(n_3087),
 	.A2(n_1522),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [24]),
 	.Y(n_6234), 
 	.VPWR(vccd1), 
@@ -173712,7 +170323,7 @@
    sky130_fd_sc_hd__a22oi_1 g436042 (
 	.A1(n_3086),
 	.A2(n_1417),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
 	.Y(n_6233), 
 	.VPWR(vccd1), 
@@ -173722,7 +170333,7 @@
    sky130_fd_sc_hd__a22oi_1 g436043 (
 	.A1(n_3087),
 	.A2(n_1417),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [26]),
 	.Y(n_6232), 
 	.VPWR(vccd1), 
@@ -173732,7 +170343,7 @@
    sky130_fd_sc_hd__a22oi_1 g436044 (
 	.A1(n_3086),
 	.A2(n_1421),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
 	.Y(n_6231), 
 	.VPWR(vccd1), 
@@ -173742,7 +170353,7 @@
    sky130_fd_sc_hd__a22oi_1 g436045 (
 	.A1(n_3087),
 	.A2(n_1421),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [28]),
 	.Y(n_6230), 
 	.VPWR(vccd1), 
@@ -173752,7 +170363,7 @@
    sky130_fd_sc_hd__a22oi_1 g436046 (
 	.A1(n_3086),
 	.A2(n_1523),
-	.B1(FE_OFN987_n_5663),
+	.B1(FE_OFN1182_n_5663),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[0] [29]),
 	.Y(n_6229), 
 	.VPWR(vccd1), 
@@ -173780,80 +170391,80 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436049 (
-	.A1(n_5664),
-	.A2(FE_OFN320_u_soc_u_dccm_rdata1_0),
+	.A1(FE_OFN1564_n_5664),
+	.A2(FE_OFN447_u_soc_u_dccm_rdata1_0),
 	.B1(n_5666),
-	.B2(FE_OFN19328_u_soc_u_dccm_rdata3_0),
+	.B2(u_soc_u_dccm_rdata3[0]),
 	.X(n_6226), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g436050 (
-	.A1(n_5664),
-	.A2(FE_OFN319_u_soc_u_dccm_rdata1_1),
-	.B1(n_5667),
-	.B2(FE_OFN19335_u_soc_u_dccm_rdata2_1),
+   sky130_fd_sc_hd__a22o_4 g436050 (
+	.A1(FE_PDN3663_FE_OFN1564_n_5664),
+	.A2(FE_OFN445_u_soc_u_dccm_rdata1_1),
+	.B1(FE_PDN3659_FE_OFN1565_n_5667),
+	.B2(u_soc_u_dccm_rdata2[1]),
 	.X(n_6225), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436051 (
-	.A1(n_5664),
-	.A2(FE_OFN318_u_soc_u_dccm_rdata1_2),
-	.B1(n_5667),
-	.B2(FE_OFN18822_u_soc_u_dccm_rdata2_2),
+	.A1(FE_PDN3663_FE_OFN1564_n_5664),
+	.A2(FE_PDN3759_FE_OFN444_u_soc_u_dccm_rdata1_2),
+	.B1(FE_PDN3659_FE_OFN1565_n_5667),
+	.B2(u_soc_u_dccm_rdata2[2]),
 	.X(n_6224), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g436052 (
-	.A1(n_5664),
-	.A2(FE_OFN317_u_soc_u_dccm_rdata1_3),
-	.B1(n_5667),
-	.B2(FE_OFN19361_u_soc_u_dccm_rdata2_3),
+   sky130_fd_sc_hd__a22o_4 g436052 (
+	.A1(FE_PDN3663_FE_OFN1564_n_5664),
+	.A2(FE_PDN3749_FE_OFN443_u_soc_u_dccm_rdata1_3),
+	.B1(FE_PDN3659_FE_OFN1565_n_5667),
+	.B2(u_soc_u_dccm_rdata2[3]),
 	.X(n_6223), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g436053 (
-	.A1(n_5664),
-	.A2(FE_OFN316_u_soc_u_dccm_rdata1_4),
-	.B1(n_5667),
-	.B2(FE_OFN19336_u_soc_u_dccm_rdata2_4),
+   sky130_fd_sc_hd__a22o_4 g436053 (
+	.A1(FE_PDN3663_FE_OFN1564_n_5664),
+	.A2(FE_OFN442_u_soc_u_dccm_rdata1_4),
+	.B1(FE_PSN3889_FE_PDN3659_FE_OFN1565_n_5667),
+	.B2(u_soc_u_dccm_rdata2[4]),
 	.X(n_6222), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g436054 (
-	.A1(n_5664),
-	.A2(FE_OFN315_u_soc_u_dccm_rdata1_5),
-	.B1(n_5667),
-	.B2(FE_OFN19304_u_soc_u_dccm_rdata2_5),
+   sky130_fd_sc_hd__a22o_2 g436054 (
+	.A1(FE_PDN3663_FE_OFN1564_n_5664),
+	.A2(FE_PDN3767_FE_OFN441_u_soc_u_dccm_rdata1_5),
+	.B1(FE_PDN3659_FE_OFN1565_n_5667),
+	.B2(u_soc_u_dccm_rdata2[5]),
 	.X(n_6221), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436055 (
-	.A1(n_5664),
-	.A2(FE_OFN314_u_soc_u_dccm_rdata1_6),
+	.A1(FE_OFN1564_n_5664),
+	.A2(FE_OFN440_u_soc_u_dccm_rdata1_6),
 	.B1(n_5666),
-	.B2(FE_OFN19395_u_soc_u_dccm_rdata3_6),
+	.B2(u_soc_u_dccm_rdata3[6]),
 	.X(n_6220), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436056 (
-	.A1(n_5664),
-	.A2(FE_OFN313_u_soc_u_dccm_rdata1_7),
+	.A1(FE_OFN1564_n_5664),
+	.A2(FE_OFN438_u_soc_u_dccm_rdata1_7),
 	.B1(n_5666),
-	.B2(FE_OFN18064_u_soc_u_dccm_rdata3_7),
+	.B2(u_soc_u_dccm_rdata3[7]),
 	.X(n_6219), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -173861,9 +170472,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436057 (
 	.A1(n_5685),
-	.A2(FE_OFN312_u_soc_u_dccm_rdata1_8),
+	.A2(FE_OFN19126_FE_OFN436_u_soc_u_dccm_rdata1_8),
 	.B1(n_5683),
-	.B2(FE_OFN19412_u_soc_u_dccm_rdata3_8),
+	.B2(u_soc_u_dccm_rdata3[8]),
 	.X(n_6218), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -173871,9 +170482,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436058 (
 	.A1(n_5685),
-	.A2(FE_OFN310_u_soc_u_dccm_rdata1_10),
+	.A2(FE_PDN3676_FE_OFN432_u_soc_u_dccm_rdata1_10),
 	.B1(n_5683),
-	.B2(FE_OFN18061_u_soc_u_dccm_rdata3_10),
+	.B2(u_soc_u_dccm_rdata3[10]),
 	.X(n_6217), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -173881,9 +170492,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436059 (
 	.A1(n_5685),
-	.A2(FE_OFN309_u_soc_u_dccm_rdata1_11),
+	.A2(FE_OFN19156_FE_OFN430_u_soc_u_dccm_rdata1_11),
 	.B1(n_5683),
-	.B2(FE_OFN18060_u_soc_u_dccm_rdata3_11),
+	.B2(u_soc_u_dccm_rdata3[11]),
 	.X(n_6216), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -173891,9 +170502,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436060 (
 	.A1(n_5685),
-	.A2(FE_OFN307_u_soc_u_dccm_rdata1_13),
+	.A2(FE_OFN18815_FE_OFN426_u_soc_u_dccm_rdata1_13),
 	.B1(n_5683),
-	.B2(FE_OFN19404_u_soc_u_dccm_rdata3_13),
+	.B2(u_soc_u_dccm_rdata3[13]),
 	.X(n_6215), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -173901,18 +170512,18 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436061 (
 	.A1(n_5685),
-	.A2(FE_OFN305_u_soc_u_dccm_rdata1_15),
+	.A2(FE_PDN3838_FE_OFN18751_FE_OFN422_u_soc_u_dccm_rdata1_15),
 	.B1(n_5683),
-	.B2(FE_OFN19370_u_soc_u_dccm_rdata3_15),
+	.B2(u_soc_u_dccm_rdata3[15]),
 	.X(n_6214), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436062 (
-	.A1(FE_OFN1480_n_5647),
-	.A2(FE_OFN18412_n),
-	.B1(FE_OFN1481_n_5649),
+	.A1(n_5647),
+	.A2(n_13419),
+	.B1(n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
 	.Y(n_6213), 
 	.VPWR(vccd1), 
@@ -173920,9 +170531,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436063 (
-	.A1(FE_OFN1482_n_5651),
-	.A2(FE_OFN18412_n),
-	.B1(FE_OFN1481_n_5649),
+	.A1(n_5651),
+	.A2(n_13419),
+	.B1(n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
 	.Y(n_6212), 
 	.VPWR(vccd1), 
@@ -173930,9 +170541,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436064 (
-	.A1(FE_OFN1480_n_5647),
-	.A2(FE_OFN18294_n_13073),
-	.B1(FE_OFN1481_n_5649),
+	.A1(n_5647),
+	.A2(FE_OFN1247_n_13073),
+	.B1(n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
 	.Y(n_6211), 
 	.VPWR(vccd1), 
@@ -173940,10 +170551,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436065 (
-	.A1(FE_OFN1482_n_5651),
-	.A2(FE_OFN18294_n_13073),
-	.B1(FE_OFN1480_n_5647),
-	.B2(FE_PSBN19892_n_13422),
+	.A1(n_5651),
+	.A2(FE_OFN1247_n_13073),
+	.B1(n_5647),
+	.B2(FE_OFN1654_n_13422),
 	.Y(n_6210), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -173952,27 +170563,27 @@
    sky130_fd_sc_hd__a22oi_1 g436066 (
 	.A1(n_2982),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
-	.B1(FE_OFN1482_n_5651),
-	.B2(FE_PSN4387_FE_OFN1585_n_13422),
+	.B1(n_5651),
+	.B2(FE_OFN1654_n_13422),
 	.Y(n_6209), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436067 (
-	.A1(FE_OFN1482_n_5651),
+	.A1(n_5651),
 	.A2(n_13423),
-	.B1(FE_OFN1480_n_5647),
-	.B2(FE_PSN4116_FE_OFN1586_n_13424),
+	.B1(n_5647),
+	.B2(FE_OFN18245_n_13424),
 	.Y(n_6208), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436068 (
-	.A1(FE_OFN1480_n_5647),
-	.A2(FE_OFN18315_n_13425),
-	.B1(FE_OFN1481_n_5649),
+	.A1(n_5647),
+	.A2(FE_OFN1878_n_13425),
+	.B1(n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
 	.Y(n_6207), 
 	.VPWR(vccd1), 
@@ -173982,7 +170593,7 @@
    sky130_fd_sc_hd__a22oi_1 g436069 (
 	.A1(n_2982),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
-	.B1(FE_OFN1482_n_5651),
+	.B1(FE_OFN1553_n_5651),
 	.B2(n_13426),
 	.Y(n_6206), 
 	.VPWR(vccd1), 
@@ -173990,9 +170601,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436070 (
-	.A1(FE_OFN1482_n_5651),
+	.A1(FE_OFN1553_n_5651),
 	.A2(n_13427),
-	.B1(FE_OFN1481_n_5649),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
 	.Y(n_6205), 
 	.VPWR(vccd1), 
@@ -174002,27 +170613,27 @@
    sky130_fd_sc_hd__a22oi_1 g436071 (
 	.A1(n_2982),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
-	.B1(FE_OFN1482_n_5651),
-	.B2(FE_OFN1587_n_13428),
+	.B1(FE_OFN1553_n_5651),
+	.B2(FE_OFN1656_n_13428),
 	.Y(n_6204), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436072 (
-	.A1(FE_OFN1482_n_5651),
-	.A2(FE_PSN4363_n_13429),
-	.B1(FE_OFN1480_n_5647),
-	.B2(n_13430),
+	.A1(FE_OFN1553_n_5651),
+	.A2(n_13429),
+	.B1(FE_OFN1551_n_5647),
+	.B2(FE_OFN1261_n_13092),
 	.Y(n_6203), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436073 (
-	.A1(FE_OFN1480_n_5647),
-	.A2(FE_COEN4310_n_13431),
-	.B1(FE_OFN1481_n_5649),
+	.A1(FE_OFN1551_n_5647),
+	.A2(n_13431),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
 	.Y(n_6202), 
 	.VPWR(vccd1), 
@@ -174030,19 +170641,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436074 (
-	.A1(FE_OFN1482_n_5651),
-	.A2(FE_COEN4310_n_13431),
-	.B1(FE_OFN1480_n_5647),
-	.B2(FE_COEN4330_n_13432),
+	.A1(FE_OFN1553_n_5651),
+	.A2(n_13431),
+	.B1(FE_OFN1551_n_5647),
+	.B2(n_13432),
 	.Y(n_6201), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436075 (
-	.A1(FE_OFN1480_n_5647),
-	.A2(FE_COEN4287_n_13433),
-	.B1(FE_OFN1481_n_5649),
+	.A1(FE_OFN1551_n_5647),
+	.A2(n_13433),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
 	.Y(n_6200), 
 	.VPWR(vccd1), 
@@ -174050,9 +170661,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436076 (
-	.A1(FE_OFN1482_n_5651),
-	.A2(FE_COEN4287_n_13433),
-	.B1(FE_OFN1481_n_5649),
+	.A1(FE_OFN1553_n_5651),
+	.A2(n_13433),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
 	.Y(n_6199), 
 	.VPWR(vccd1), 
@@ -174062,7 +170673,7 @@
    sky130_fd_sc_hd__a22oi_1 g436077 (
 	.A1(n_2982),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
-	.B1(FE_OFN1481_n_5649),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
 	.Y(n_6198), 
 	.VPWR(vccd1), 
@@ -174070,9 +170681,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436078 (
-	.A1(FE_OFN1482_n_5651),
+	.A1(FE_OFN1553_n_5651),
 	.A2(n_13435),
-	.B1(FE_OFN1481_n_5649),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
 	.Y(n_6197), 
 	.VPWR(vccd1), 
@@ -174080,9 +170691,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436079 (
-	.A1(FE_OFN1482_n_5651),
-	.A2(FE_COEN4573_n_13436),
-	.B1(FE_OFN1481_n_5649),
+	.A1(FE_OFN1553_n_5651),
+	.A2(n_13436),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
 	.Y(n_6196), 
 	.VPWR(vccd1), 
@@ -174090,9 +170701,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436080 (
-	.A1(FE_OFN1482_n_5651),
-	.A2(FE_COEN4285_n_13437),
-	.B1(FE_OFN1480_n_5647),
+	.A1(FE_OFN1553_n_5651),
+	.A2(n_13437),
+	.B1(FE_OFN1551_n_5647),
 	.B2(n_13438),
 	.Y(n_6195), 
 	.VPWR(vccd1), 
@@ -174100,9 +170711,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436081 (
-	.A1(FE_OFN1482_n_5651),
+	.A1(FE_OFN1553_n_5651),
 	.A2(n_13438),
-	.B1(FE_OFN1480_n_5647),
+	.B1(FE_OFN1551_n_5647),
 	.B2(n_13439),
 	.Y(n_6194), 
 	.VPWR(vccd1), 
@@ -174110,19 +170721,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436082 (
-	.A1(FE_OFN1482_n_5651),
+	.A1(FE_OFN1553_n_5651),
 	.A2(n_13439),
-	.B1(FE_OFN1480_n_5647),
-	.B2(FE_OFN1094_n_13091),
+	.B1(FE_OFN1551_n_5647),
+	.B2(n_13440),
 	.Y(n_6193), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436083 (
-	.A1(FE_OFN1480_n_5647),
+	.A1(FE_OFN1551_n_5647),
 	.A2(n_13441),
-	.B1(FE_OFN1481_n_5649),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
 	.Y(n_6192), 
 	.VPWR(vccd1), 
@@ -174130,9 +170741,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436084 (
-	.A1(FE_OFN1482_n_5651),
+	.A1(FE_OFN1553_n_5651),
 	.A2(n_13441),
-	.B1(FE_OFN1480_n_5647),
+	.B1(FE_OFN1551_n_5647),
 	.B2(n_13442),
 	.Y(n_6191), 
 	.VPWR(vccd1), 
@@ -174140,9 +170751,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436085 (
-	.A1(FE_OFN1480_n_5647),
+	.A1(FE_OFN1551_n_5647),
 	.A2(n_13443),
-	.B1(FE_OFN1481_n_5649),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
 	.Y(n_6190), 
 	.VPWR(vccd1), 
@@ -174152,7 +170763,7 @@
    sky130_fd_sc_hd__a22oi_1 g436086 (
 	.A1(n_2982),
 	.A2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
-	.B1(FE_OFN1482_n_5651),
+	.B1(FE_OFN1553_n_5651),
 	.B2(n_13443),
 	.Y(n_6189), 
 	.VPWR(vccd1), 
@@ -174160,9 +170771,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436087 (
-	.A1(FE_OFN1482_n_5651),
+	.A1(FE_OFN1553_n_5651),
 	.A2(n_13444),
-	.B1(FE_OFN1480_n_5647),
+	.B1(FE_OFN1551_n_5647),
 	.B2(n_13445),
 	.Y(n_6188), 
 	.VPWR(vccd1), 
@@ -174170,9 +170781,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436088 (
-	.A1(FE_OFN1482_n_5651),
+	.A1(FE_OFN1553_n_5651),
 	.A2(n_13445),
-	.B1(FE_OFN1480_n_5647),
+	.B1(FE_OFN1551_n_5647),
 	.B2(n_13446),
 	.Y(n_6187), 
 	.VPWR(vccd1), 
@@ -174180,9 +170791,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436089 (
-	.A1(FE_OFN1480_n_5647),
+	.A1(FE_OFN1551_n_5647),
 	.A2(n_13447),
-	.B1(FE_OFN1481_n_5649),
+	.B1(FE_OFN1552_n_5649),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
 	.Y(n_6186), 
 	.VPWR(vccd1), 
@@ -174190,10 +170801,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436090 (
-	.A1(FE_OFN1482_n_5651),
+	.A1(FE_OFN1553_n_5651),
 	.A2(n_13447),
-	.B1(FE_OFN1480_n_5647),
-	.B2(n_13448),
+	.B1(FE_OFN1551_n_5647),
+	.B2(FE_OFN1259_n_13089),
 	.Y(n_6185), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -174201,7 +170812,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436091 (
 	.A1_N(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
-	.A2_N(FE_OFN1481_n_5649),
+	.A2_N(n_5649),
 	.B1(n_1245),
 	.B2(n_5646),
 	.Y(n_6184), 
@@ -174241,7 +170852,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436095 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_1775),
 	.B2(n_5676),
 	.Y(n_6180), 
@@ -174251,7 +170862,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436096 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_1773),
 	.B2(n_5676),
 	.Y(n_6179), 
@@ -174261,7 +170872,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436097 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_1769),
 	.B2(n_5676),
 	.Y(n_6178), 
@@ -174271,7 +170882,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436098 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_1766),
 	.B2(n_5676),
 	.Y(n_6177), 
@@ -174280,9 +170891,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436099 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [8]),
 	.X(n_6176), 
 	.VPWR(vccd1), 
@@ -174290,9 +170901,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436100 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1702_u_soc_xbar_to_dccm_a_data__22),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [7]),
 	.X(n_6175), 
 	.VPWR(vccd1), 
@@ -174300,9 +170911,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436101 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[27] [5]),
 	.X(n_6174), 
 	.VPWR(vccd1), 
@@ -174311,7 +170922,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436102 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_1763),
 	.B2(n_5675),
 	.Y(n_6173), 
@@ -174321,7 +170932,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436103 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_1772),
 	.B2(n_5675),
 	.Y(n_6172), 
@@ -174330,9 +170941,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436104 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [6]),
 	.X(n_6171), 
 	.VPWR(vccd1), 
@@ -174340,9 +170951,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436105 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [6]),
 	.X(n_6170), 
 	.VPWR(vccd1), 
@@ -174351,7 +170962,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436106 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_1774),
 	.B2(n_5675),
 	.Y(n_6169), 
@@ -174361,7 +170972,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436107 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_1770),
 	.B2(n_5675),
 	.Y(n_6168), 
@@ -174371,7 +170982,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436108 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_1765),
 	.B2(n_5675),
 	.Y(n_6167), 
@@ -174381,7 +170992,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436109 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_1764),
 	.B2(n_5675),
 	.Y(n_6166), 
@@ -174390,9 +171001,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436110 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1710_u_soc_xbar_to_dccm_a_data__14),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [7]),
 	.X(n_6165), 
 	.VPWR(vccd1), 
@@ -174400,9 +171011,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436111 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [8]),
 	.X(n_6164), 
 	.VPWR(vccd1), 
@@ -174410,9 +171021,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436112 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_PDN4085_FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[58] [2]),
 	.X(n_6163), 
 	.VPWR(vccd1), 
@@ -174420,9 +171031,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436113 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [5]),
 	.X(n_6162), 
 	.VPWR(vccd1), 
@@ -174430,9 +171041,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436114 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [4]),
 	.X(n_6161), 
 	.VPWR(vccd1), 
@@ -174440,9 +171051,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436115 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [8]),
 	.X(n_6160), 
 	.VPWR(vccd1), 
@@ -174450,9 +171061,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436116 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [6]),
 	.X(n_6159), 
 	.VPWR(vccd1), 
@@ -174460,9 +171071,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436117 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[41] [2]),
 	.X(n_6158), 
 	.VPWR(vccd1), 
@@ -174470,9 +171081,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436118 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [5]),
 	.X(n_6157), 
 	.VPWR(vccd1), 
@@ -174481,7 +171092,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436119 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_1767),
 	.B2(n_5681),
 	.Y(n_6156), 
@@ -174491,7 +171102,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436120 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_1771),
 	.B2(n_5681),
 	.Y(n_6155), 
@@ -174501,7 +171112,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436121 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_1775),
 	.B2(n_5681),
 	.Y(n_6154), 
@@ -174511,7 +171122,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436122 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_1769),
 	.B2(n_5681),
 	.Y(n_6153), 
@@ -174521,7 +171132,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436123 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_1773),
 	.B2(n_5681),
 	.Y(n_6152), 
@@ -174531,7 +171142,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436124 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_1766),
 	.B2(n_5681),
 	.Y(n_6151), 
@@ -174540,9 +171151,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436125 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [4]),
 	.X(n_6150), 
 	.VPWR(vccd1), 
@@ -174550,9 +171161,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436126 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [2]),
 	.X(n_6149), 
 	.VPWR(vccd1), 
@@ -174560,9 +171171,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436127 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_PDN4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [1]),
 	.X(n_6148), 
 	.VPWR(vccd1), 
@@ -174570,9 +171181,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436128 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [8]),
 	.X(n_6147), 
 	.VPWR(vccd1), 
@@ -174580,9 +171191,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436129 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[57] [1]),
 	.X(n_6146), 
 	.VPWR(vccd1), 
@@ -174590,9 +171201,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436130 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [1]),
 	.X(n_6145), 
 	.VPWR(vccd1), 
@@ -174601,7 +171212,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436131 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_1763),
 	.B2(n_5672),
 	.Y(n_6144), 
@@ -174611,7 +171222,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436132 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_1772),
 	.B2(n_5672),
 	.Y(n_6143), 
@@ -174621,7 +171232,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436133 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_1774),
 	.B2(n_5672),
 	.Y(n_6142), 
@@ -174631,7 +171242,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436134 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_1770),
 	.B2(n_5672),
 	.Y(n_6141), 
@@ -174640,9 +171251,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436135 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [6]),
 	.X(n_6140), 
 	.VPWR(vccd1), 
@@ -174651,7 +171262,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436136 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_1765),
 	.B2(n_5672),
 	.Y(n_6139), 
@@ -174660,9 +171271,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436137 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [1]),
 	.X(n_6138), 
 	.VPWR(vccd1), 
@@ -174670,9 +171281,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436138 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [5]),
 	.X(n_6137), 
 	.VPWR(vccd1), 
@@ -174681,7 +171292,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436139 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_1764),
 	.B2(n_5672),
 	.Y(n_6136), 
@@ -174690,9 +171301,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436140 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [6]),
 	.X(n_6135), 
 	.VPWR(vccd1), 
@@ -174700,9 +171311,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436141 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [5]),
 	.X(n_6134), 
 	.VPWR(vccd1), 
@@ -174710,9 +171321,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436142 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [2]),
 	.X(n_6133), 
 	.VPWR(vccd1), 
@@ -174720,9 +171331,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436143 (
-	.A1(FE_OFN979_n_5368),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1490_n_5660),
+	.A1(FE_OFN1170_n_5368),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1561_n_5660),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [1]),
 	.X(n_6132), 
 	.VPWR(vccd1), 
@@ -174730,9 +171341,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436144 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [2]),
 	.X(n_6131), 
 	.VPWR(vccd1), 
@@ -174740,9 +171351,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436145 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_PDN4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[34] [1]),
 	.X(n_6130), 
 	.VPWR(vccd1), 
@@ -174750,9 +171361,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436146 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [4]),
 	.X(n_6129), 
 	.VPWR(vccd1), 
@@ -174760,9 +171371,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436147 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [1]),
 	.X(n_6128), 
 	.VPWR(vccd1), 
@@ -174770,9 +171381,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436148 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[33] [1]),
 	.X(n_6127), 
 	.VPWR(vccd1), 
@@ -174782,7 +171393,7 @@
    sky130_fd_sc_hd__a221o_1 g436149 (
 	.A1(n_2246),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
-	.B1(FE_OFN1605_n_1513),
+	.B1(FE_OFN1729_n_1513),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[11]),
 	.C1(n_5596),
 	.X(n_6126), 
@@ -174791,9 +171402,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436150 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [6]),
 	.X(n_6125), 
 	.VPWR(vccd1), 
@@ -174801,9 +171412,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436151 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [4]),
 	.X(n_6124), 
 	.VPWR(vccd1), 
@@ -174811,9 +171422,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436152 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1719_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [7]),
 	.X(n_6123), 
 	.VPWR(vccd1), 
@@ -174821,9 +171432,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436153 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [8]),
 	.X(n_6122), 
 	.VPWR(vccd1), 
@@ -174831,9 +171442,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436154 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [5]),
 	.X(n_6121), 
 	.VPWR(vccd1), 
@@ -174841,9 +171452,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436155 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [8]),
 	.X(n_6120), 
 	.VPWR(vccd1), 
@@ -174851,9 +171462,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436156 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_PDN4060_u_soc_xbar_to_dccm_a_data_30),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[35] [7]),
 	.X(n_6119), 
 	.VPWR(vccd1), 
@@ -174861,9 +171472,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436157 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [5]),
 	.X(n_6118), 
 	.VPWR(vccd1), 
@@ -174871,9 +171482,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436158 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_PDN3698_u_soc_xbar_to_dccm_a_data_29),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [6]),
 	.X(n_6117), 
 	.VPWR(vccd1), 
@@ -174881,9 +171492,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436159 (
-	.A1(FE_OFN982_n_5371),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1486_n_5656),
+	.A1(FE_OFN1173_n_5371),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1557_n_5656),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[43] [5]),
 	.X(n_6116), 
 	.VPWR(vccd1), 
@@ -174891,9 +171502,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436160 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [5]),
 	.X(n_6115), 
 	.VPWR(vccd1), 
@@ -174901,9 +171512,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436161 (
-	.A1(FE_OFN980_n_5369),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1488_n_5658),
+	.A1(FE_OFN1171_n_5369),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1559_n_5658),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [5]),
 	.X(n_6114), 
 	.VPWR(vccd1), 
@@ -174911,9 +171522,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436162 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [5]),
 	.X(n_6113), 
 	.VPWR(vccd1), 
@@ -174921,9 +171532,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436163 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [2]),
 	.X(n_6112), 
 	.VPWR(vccd1), 
@@ -174931,9 +171542,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436164 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [3]),
 	.X(n_6111), 
 	.VPWR(vccd1), 
@@ -174941,9 +171552,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436165 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[51] [1]),
 	.X(n_6110), 
 	.VPWR(vccd1), 
@@ -174951,9 +171562,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436166 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [1]),
 	.X(n_6109), 
 	.VPWR(vccd1), 
@@ -174961,9 +171572,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436167 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [20]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1704_u_soc_xbar_to_dccm_a_data__20),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [5]),
 	.X(n_6108), 
 	.VPWR(vccd1), 
@@ -174971,9 +171582,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436168 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_PDN4090_FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [3]),
 	.X(n_6107), 
 	.VPWR(vccd1), 
@@ -174981,9 +171592,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436169 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_PDN4085_FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [2]),
 	.X(n_6106), 
 	.VPWR(vccd1), 
@@ -174991,9 +171602,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436170 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [1]),
 	.X(n_6105), 
 	.VPWR(vccd1), 
@@ -175001,9 +171612,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436171 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [2]),
 	.X(n_6104), 
 	.VPWR(vccd1), 
@@ -175011,9 +171622,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436172 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [3]),
 	.X(n_6103), 
 	.VPWR(vccd1), 
@@ -175021,9 +171632,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436173 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [4]),
 	.X(n_6102), 
 	.VPWR(vccd1), 
@@ -175031,9 +171642,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436174 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1720_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [7]),
 	.X(n_6101), 
 	.VPWR(vccd1), 
@@ -175041,9 +171652,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436175 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [1]),
 	.X(n_6100), 
 	.VPWR(vccd1), 
@@ -175051,9 +171662,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436176 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [2]),
 	.X(n_6099), 
 	.VPWR(vccd1), 
@@ -175061,9 +171672,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436177 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [3]),
 	.X(n_6098), 
 	.VPWR(vccd1), 
@@ -175071,9 +171682,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436178 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [4]),
 	.X(n_6097), 
 	.VPWR(vccd1), 
@@ -175081,9 +171692,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436179 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [12]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1712_u_soc_xbar_to_dccm_a_data__12),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [5]),
 	.X(n_6096), 
 	.VPWR(vccd1), 
@@ -175091,9 +171702,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436180 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [6]),
 	.X(n_6095), 
 	.VPWR(vccd1), 
@@ -175101,9 +171712,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436181 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_PDN3701_u_soc_xbar_to_dccm_a_data_14),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [7]),
 	.X(n_6094), 
 	.VPWR(vccd1), 
@@ -175111,9 +171722,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436182 (
-	.A1(FE_OFN1483_n_5653),
+	.A1(FE_OFN1554_n_5653),
 	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1487_n_5657),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[1] [8]),
 	.X(n_6093), 
 	.VPWR(vccd1), 
@@ -175121,9 +171732,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436183 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [1]),
 	.X(n_6092), 
 	.VPWR(vccd1), 
@@ -175131,9 +171742,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436184 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [2]),
 	.X(n_6091), 
 	.VPWR(vccd1), 
@@ -175141,9 +171752,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436185 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [3]),
 	.X(n_6090), 
 	.VPWR(vccd1), 
@@ -175151,9 +171762,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436186 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_PDN4082_FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[50] [1]),
 	.X(n_6089), 
 	.VPWR(vccd1), 
@@ -175161,9 +171772,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436187 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_PDN4096_FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [6]),
 	.X(n_6088), 
 	.VPWR(vccd1), 
@@ -175171,9 +171782,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436188 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1702_u_soc_xbar_to_dccm_a_data__22),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [7]),
 	.X(n_6087), 
 	.VPWR(vccd1), 
@@ -175181,9 +171792,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436189 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[2] [8]),
 	.X(n_6086), 
 	.VPWR(vccd1), 
@@ -175191,9 +171802,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436190 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [2]),
 	.X(n_6085), 
 	.VPWR(vccd1), 
@@ -175201,9 +171812,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436191 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [3]),
 	.X(n_6084), 
 	.VPWR(vccd1), 
@@ -175211,9 +171822,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436192 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [4]),
 	.X(n_6083), 
 	.VPWR(vccd1), 
@@ -175221,9 +171832,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436193 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [5]),
 	.X(n_6082), 
 	.VPWR(vccd1), 
@@ -175231,9 +171842,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436194 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_OFN1695_u_soc_xbar_to_dccm_a_data__29),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [6]),
 	.X(n_6081), 
 	.VPWR(vccd1), 
@@ -175241,9 +171852,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436195 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_PDN4060_u_soc_xbar_to_dccm_a_data_30),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [7]),
 	.X(n_6080), 
 	.VPWR(vccd1), 
@@ -175251,9 +171862,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436196 (
-	.A1(FE_OFN1483_n_5653),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1487_n_5657),
+	.A1(FE_OFN1554_n_5653),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1558_n_5657),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[3] [8]),
 	.X(n_6079), 
 	.VPWR(vccd1), 
@@ -175261,9 +171872,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436197 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [8]),
 	.X(n_6078), 
 	.VPWR(vccd1), 
@@ -175271,9 +171882,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436198 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [1]),
 	.X(n_6077), 
 	.VPWR(vccd1), 
@@ -175281,9 +171892,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436199 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [2]),
 	.X(n_6076), 
 	.VPWR(vccd1), 
@@ -175291,9 +171902,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436200 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [3]),
 	.X(n_6075), 
 	.VPWR(vccd1), 
@@ -175301,9 +171912,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436201 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [4]),
 	.X(n_6074), 
 	.VPWR(vccd1), 
@@ -175311,9 +171922,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436202 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [5]),
 	.X(n_6073), 
 	.VPWR(vccd1), 
@@ -175321,9 +171932,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436203 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [6]),
 	.X(n_6072), 
 	.VPWR(vccd1), 
@@ -175331,9 +171942,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436204 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1694_u_soc_xbar_to_dccm_a_data__30),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [7]),
 	.X(n_6071), 
 	.VPWR(vccd1), 
@@ -175341,9 +171952,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436205 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[49] [6]),
 	.X(n_6070), 
 	.VPWR(vccd1), 
@@ -175351,9 +171962,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436206 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(FE_OFN1600_u_soc_xbar_to_dccm_a_data__7),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1718_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[8] [8]),
 	.X(n_6069), 
 	.VPWR(vccd1), 
@@ -175361,9 +171972,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436207 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [8]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1716_u_soc_xbar_to_dccm_a_data__8),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [1]),
 	.X(n_6068), 
 	.VPWR(vccd1), 
@@ -175371,9 +171982,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436208 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [2]),
 	.X(n_6067), 
 	.VPWR(vccd1), 
@@ -175381,9 +171992,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436209 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [3]),
 	.X(n_6066), 
 	.VPWR(vccd1), 
@@ -175391,9 +172002,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436210 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [11]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [4]),
 	.X(n_6065), 
 	.VPWR(vccd1), 
@@ -175401,9 +172012,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436211 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [6]),
 	.X(n_6064), 
 	.VPWR(vccd1), 
@@ -175411,9 +172022,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436212 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_PDN3701_u_soc_xbar_to_dccm_a_data_14),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[9] [7]),
 	.X(n_6063), 
 	.VPWR(vccd1), 
@@ -175421,9 +172032,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436213 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [2]),
 	.X(n_6062), 
 	.VPWR(vccd1), 
@@ -175431,9 +172042,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436214 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [3]),
 	.X(n_6061), 
 	.VPWR(vccd1), 
@@ -175441,9 +172052,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436215 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [4]),
 	.X(n_6060), 
 	.VPWR(vccd1), 
@@ -175451,9 +172062,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436216 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_PDN4096_FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [6]),
 	.X(n_6059), 
 	.VPWR(vccd1), 
@@ -175461,9 +172072,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436217 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1702_u_soc_xbar_to_dccm_a_data__22),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [7]),
 	.X(n_6058), 
 	.VPWR(vccd1), 
@@ -175471,9 +172082,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436218 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [8]),
 	.X(n_6057), 
 	.VPWR(vccd1), 
@@ -175481,9 +172092,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436219 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [1]),
 	.X(n_6056), 
 	.VPWR(vccd1), 
@@ -175491,9 +172102,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436220 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [2]),
 	.X(n_6055), 
 	.VPWR(vccd1), 
@@ -175501,9 +172112,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436221 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [3]),
 	.X(n_6054), 
 	.VPWR(vccd1), 
@@ -175511,9 +172122,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436222 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [4]),
 	.X(n_6053), 
 	.VPWR(vccd1), 
@@ -175521,9 +172132,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436223 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [28]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1696_u_soc_xbar_to_dccm_a_data__28),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [5]),
 	.X(n_6052), 
 	.VPWR(vccd1), 
@@ -175531,9 +172142,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436224 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1695_u_soc_xbar_to_dccm_a_data__29),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [6]),
 	.X(n_6051), 
 	.VPWR(vccd1), 
@@ -175541,9 +172152,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436225 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [30]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1694_u_soc_xbar_to_dccm_a_data__30),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [7]),
 	.X(n_6050), 
 	.VPWR(vccd1), 
@@ -175551,9 +172162,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436226 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[11] [8]),
 	.X(n_6049), 
 	.VPWR(vccd1), 
@@ -175561,9 +172172,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436227 (
-	.A1(FE_OFN1491_n_5661),
-	.A2(\u_soc_xbar_to_dccm[a_data] [16]),
-	.B1(FE_OFN1485_n_5655),
+	.A1(FE_OFN1562_n_5661),
+	.A2(FE_OFN1708_u_soc_xbar_to_dccm_a_data__16),
+	.B1(FE_OFN1556_n_5655),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[10] [1]),
 	.X(n_6048), 
 	.VPWR(vccd1), 
@@ -175571,9 +172182,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436228 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [1]),
 	.X(n_6047), 
 	.VPWR(vccd1), 
@@ -175581,9 +172192,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436229 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [2]),
 	.X(n_6046), 
 	.VPWR(vccd1), 
@@ -175591,9 +172202,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436230 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [3]),
 	.X(n_6045), 
 	.VPWR(vccd1), 
@@ -175601,9 +172212,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436231 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [4]),
 	.X(n_6044), 
 	.VPWR(vccd1), 
@@ -175611,9 +172222,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436232 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [5]),
 	.X(n_6043), 
 	.VPWR(vccd1), 
@@ -175621,9 +172232,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436233 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [6]),
 	.X(n_6042), 
 	.VPWR(vccd1), 
@@ -175631,9 +172242,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436234 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [6]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1719_u_soc_xbar_to_dccm_a_data__6),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [7]),
 	.X(n_6041), 
 	.VPWR(vccd1), 
@@ -175641,9 +172252,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436235 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [7]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_PDN3656_FE_OFN1717_u_soc_xbar_to_dccm_a_data__7),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [8]),
 	.X(n_6040), 
 	.VPWR(vccd1), 
@@ -175651,9 +172262,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436236 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [9]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1715_u_soc_xbar_to_dccm_a_data__9),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [2]),
 	.X(n_6039), 
 	.VPWR(vccd1), 
@@ -175661,9 +172272,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436237 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [10]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1714_u_soc_xbar_to_dccm_a_data__10),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [3]),
 	.X(n_6038), 
 	.VPWR(vccd1), 
@@ -175671,9 +172282,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436238 (
-	.A1(FE_OFN983_n_5372),
-	.A2(FE_OFN1599_u_soc_xbar_to_dccm_a_data__11),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1713_u_soc_xbar_to_dccm_a_data__11),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [4]),
 	.X(n_6037), 
 	.VPWR(vccd1), 
@@ -175681,9 +172292,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436239 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [13]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_PDN3800_FE_OFN1711_u_soc_xbar_to_dccm_a_data__13),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [6]),
 	.X(n_6036), 
 	.VPWR(vccd1), 
@@ -175691,9 +172302,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436240 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [14]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1710_u_soc_xbar_to_dccm_a_data__14),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [7]),
 	.X(n_6035), 
 	.VPWR(vccd1), 
@@ -175701,9 +172312,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436241 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [15]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1709_u_soc_xbar_to_dccm_a_data__15),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[17] [8]),
 	.X(n_6034), 
 	.VPWR(vccd1), 
@@ -175711,9 +172322,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436242 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [17]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_PDN4085_FE_OFN1707_u_soc_xbar_to_dccm_a_data__17),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [2]),
 	.X(n_6033), 
 	.VPWR(vccd1), 
@@ -175721,9 +172332,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436243 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [18]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1706_u_soc_xbar_to_dccm_a_data__18),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [3]),
 	.X(n_6032), 
 	.VPWR(vccd1), 
@@ -175731,9 +172342,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436244 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [19]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1705_u_soc_xbar_to_dccm_a_data__19),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [4]),
 	.X(n_6031), 
 	.VPWR(vccd1), 
@@ -175741,9 +172352,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436245 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [21]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1703_u_soc_xbar_to_dccm_a_data__21),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [6]),
 	.X(n_6030), 
 	.VPWR(vccd1), 
@@ -175751,9 +172362,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436246 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [22]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1702_u_soc_xbar_to_dccm_a_data__22),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [7]),
 	.X(n_6029), 
 	.VPWR(vccd1), 
@@ -175761,9 +172372,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436247 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [23]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN18165_u_soc_xbar_to_dccm_a_data__23),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[18] [8]),
 	.X(n_6028), 
 	.VPWR(vccd1), 
@@ -175771,9 +172382,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436248 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [24]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1700_u_soc_xbar_to_dccm_a_data__24),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [1]),
 	.X(n_6027), 
 	.VPWR(vccd1), 
@@ -175781,9 +172392,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436249 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [25]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_OFN1699_u_soc_xbar_to_dccm_a_data__25),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [2]),
 	.X(n_6026), 
 	.VPWR(vccd1), 
@@ -175791,9 +172402,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436250 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [26]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_PDN4056_FE_OFN1698_u_soc_xbar_to_dccm_a_data__26),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [3]),
 	.X(n_6025), 
 	.VPWR(vccd1), 
@@ -175801,9 +172412,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436251 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [27]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_PDN3680_FE_OFN1697_u_soc_xbar_to_dccm_a_data__27),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [4]),
 	.X(n_6024), 
 	.VPWR(vccd1), 
@@ -175811,9 +172422,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436252 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [29]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_PDN3698_u_soc_xbar_to_dccm_a_data_29),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [6]),
 	.X(n_6023), 
 	.VPWR(vccd1), 
@@ -175821,9 +172432,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436253 (
-	.A1(FE_OFN983_n_5372),
-	.A2(\u_soc_xbar_to_dccm[a_data] [31]),
-	.B1(FE_OFN1492_n_5662),
+	.A1(FE_OFN1174_n_5372),
+	.A2(FE_PDN3674_FE_OFN1693_u_soc_xbar_to_dccm_a_data__31),
+	.B1(FE_OFN1563_n_5662),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[19] [8]),
 	.X(n_6022), 
 	.VPWR(vccd1), 
@@ -175831,9 +172442,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436254 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [0]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN1726_u_soc_xbar_to_dccm_a_data__0),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [1]),
 	.X(n_6021), 
 	.VPWR(vccd1), 
@@ -175841,9 +172452,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436255 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [1]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN18159_u_soc_xbar_to_dccm_a_data__1),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [2]),
 	.X(n_6020), 
 	.VPWR(vccd1), 
@@ -175851,9 +172462,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436256 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [2]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN18155_u_soc_xbar_to_dccm_a_data__2),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [3]),
 	.X(n_6019), 
 	.VPWR(vccd1), 
@@ -175861,9 +172472,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436257 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [3]),
-	.B1(n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN18161_u_soc_xbar_to_dccm_a_data__3),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [4]),
 	.X(n_6018), 
 	.VPWR(vccd1), 
@@ -175871,9 +172482,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436258 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [4]),
-	.B1(FE_OFN1489_n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN18166_u_soc_xbar_to_dccm_a_data__4),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [5]),
 	.X(n_6017), 
 	.VPWR(vccd1), 
@@ -175881,9 +172492,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436259 (
-	.A1(FE_OFN978_n_5367),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(n_5659),
+	.A1(FE_OFN1169_n_5367),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1560_n_5659),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [6]),
 	.X(n_6016), 
 	.VPWR(vccd1), 
@@ -175891,9 +172502,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g436260 (
-	.A1(FE_OFN981_n_5370),
-	.A2(\u_soc_xbar_to_dccm[a_data] [5]),
-	.B1(FE_OFN1484_n_5654),
+	.A1(FE_OFN1172_n_5370),
+	.A2(FE_OFN18160_u_soc_xbar_to_dccm_a_data__5),
+	.B1(FE_OFN1555_n_5654),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [6]),
 	.X(n_6015), 
 	.VPWR(vccd1), 
@@ -175911,7 +172522,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436262 (
-	.A1(FE_OFN1646_n_3077),
+	.A1(FE_OFN1820_n_3077),
 	.A2(n_5433),
 	.B1(n_5385),
 	.B2(n_2401),
@@ -175935,7 +172546,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436264 (
 	.A1(n_5461),
-	.A2(FE_OFN1646_n_3077),
+	.A2(FE_OFN1820_n_3077),
 	.B1(n_5385),
 	.B2(n_2367),
 	.C1(n_5387),
@@ -175947,7 +172558,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436265 (
 	.A1(n_5462),
-	.A2(FE_OFN1646_n_3077),
+	.A2(FE_OFN1820_n_3077),
 	.B1(n_5385),
 	.B2(n_2365),
 	.C1(n_5387),
@@ -175993,7 +172604,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g436272 (
-	.A(FE_OFN992_n_5974),
+	.A(FE_OFN19136_n_5974),
 	.Y(n_5973), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -176028,14 +172639,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g436277 (
-	.A(FE_OFN19680_n_5953),
+	.A(FE_OFN1758_n_5953),
 	.Y(n_5952), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g436278 (
-	.A(FE_OFN989_n_5950),
+	.A(FE_OFN1184_n_5950),
 	.Y(n_5951), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -176090,7 +172701,7 @@
    sky130_fd_sc_hd__o21ai_0 g436285 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
 	.A2(n_5409),
-	.B1(FE_OFN35_io_out_37),
+	.B1(FE_OFN5_io_out_37),
 	.Y(n_5932), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -176127,9 +172738,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436290 (
 	.A1_N(n_1397),
-	.A2_N(FE_OFN18274_n_2418),
+	.A2_N(n_2418),
 	.B1(n_1195),
-	.B2(FE_OFN976_n_5364),
+	.B2(FE_OFN1167_n_5364),
 	.Y(n_5927), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -176137,9 +172748,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436291 (
 	.A1_N(n_1396),
-	.A2_N(FE_OFN18274_n_2418),
+	.A2_N(n_2418),
 	.B1(n_1202),
-	.B2(FE_OFN976_n_5364),
+	.B2(FE_OFN1167_n_5364),
 	.Y(n_5926), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -176147,9 +172758,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436292 (
 	.A1_N(n_1399),
-	.A2_N(FE_OFN18274_n_2418),
+	.A2_N(n_2418),
 	.B1(n_1180),
-	.B2(FE_OFN976_n_5364),
+	.B2(FE_OFN1167_n_5364),
 	.Y(n_5925), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -176167,9 +172778,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436294 (
 	.A1_N(n_1400),
-	.A2_N(FE_OFN18274_n_2418),
+	.A2_N(n_2418),
 	.B1(n_1170),
-	.B2(FE_OFN976_n_5364),
+	.B2(FE_OFN1167_n_5364),
 	.Y(n_5923), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -176195,8 +172806,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g436298 (
-	.A(FE_OFN1089_n_13086),
-	.B(FE_OFN1093_n_13090),
+	.A(FE_OFN1257_n_13086),
+	.B(FE_OFN1260_n_13090),
 	.C(n_13412),
 	.D(n_2947),
 	.X(n_5919), 
@@ -176206,17 +172817,17 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436299 (
 	.A1_N(n_1394),
-	.A2_N(FE_OFN18274_n_2418),
+	.A2_N(n_2418),
 	.B1(n_1203),
-	.B2(FE_OFN976_n_5364),
+	.B2(FE_OFN1167_n_5364),
 	.Y(n_5918), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g436300 (
-	.A(FE_OFN1482_n_5651),
-	.B(FE_OFN18449_n_15945),
+	.A(n_5651),
+	.B(FE_OFN18404_n_15945),
 	.Y(n_5917), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -176363,9 +172974,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a2bb2oi_1 g436318 (
 	.A1_N(n_1171),
-	.A2_N(FE_OFN976_n_5364),
+	.A2_N(FE_OFN1167_n_5364),
 	.B1(n_1389),
-	.B2(FE_OFN18274_n_2418),
+	.B2(n_2418),
 	.Y(n_5899), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -176373,7 +172984,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g436319 (
 	.A(n_5700),
-	.B(FE_OFN18279_n_16012),
+	.B(FE_OFN1272_n_16012),
 	.Y(n_5898), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -176436,7 +173047,7 @@
    sky130_fd_sc_hd__a21oi_1 g436326 (
 	.A1(n_5406),
 	.A2(n_1013),
-	.B1(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B1(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.Y(n_5891), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -176455,7 +173066,7 @@
    sky130_fd_sc_hd__o21ai_0 g436328 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
 	.A2(n_5418),
-	.B1(FE_OFN35_io_out_37),
+	.B1(FE_OFN5_io_out_37),
 	.Y(n_5889), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -176471,7 +173082,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g436330 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5632),
 	.Y(n_5887), 
 	.VPWR(vccd1), 
@@ -176479,7 +173090,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g436331 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5622),
 	.Y(n_5886), 
 	.VPWR(vccd1), 
@@ -176487,7 +173098,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g436332 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5629),
 	.Y(n_5885), 
 	.VPWR(vccd1), 
@@ -176495,7 +173106,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g436333 (
-	.A(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_5085),
 	.Y(n_5884), 
 	.VPWR(vccd1), 
@@ -176523,7 +173134,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g436336 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5624),
 	.Y(n_5881), 
 	.VPWR(vccd1), 
@@ -176539,7 +173150,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g436339 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5621),
 	.Y(n_5878), 
 	.VPWR(vccd1), 
@@ -176547,7 +173158,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g436340 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5623),
 	.Y(n_5877), 
 	.VPWR(vccd1), 
@@ -176565,7 +173176,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g436342 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5633),
 	.Y(n_5875), 
 	.VPWR(vccd1), 
@@ -176582,7 +173193,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g436346 (
-	.A1(FE_OFN1639_n_16011),
+	.A1(FE_OFN1807_n_16011),
 	.A2(n_5429),
 	.B1(n_2858),
 	.Y(n_6006), 
@@ -176607,7 +173218,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_1 g436352 (
+   sky130_fd_sc_hd__a221oi_2 g436352 (
 	.A1(n_1024),
 	.A2(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
 	.B1(n_1035),
@@ -176619,7 +173230,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g436355 (
-	.A1(FE_OFN1639_n_16011),
+	.A1(FE_OFN1807_n_16011),
 	.A2(n_15922),
 	.B1(n_2858),
 	.Y(n_6001), 
@@ -176749,7 +173360,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g436376 (
 	.A_N(n_5701),
-	.B(FE_OFN31_io_out_37),
+	.B(FE_OFN14_io_out_37),
 	.Y(n_5983), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -176813,8 +173424,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g436385 (
 	.A(n_5145),
-	.B(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
-	.C(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.B(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.C(FE_OFN18101_n_577),
 	.Y(n_5975), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -177000,7 +173611,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g436414 (
+   sky130_fd_sc_hd__a221oi_1 g436414 (
 	.A1(n_3006),
 	.A2(n_1029),
 	.B1(n_1030),
@@ -177013,29 +173624,29 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g436419 (
 	.A(n_5641),
-	.B(FE_OFN29_io_out_37),
+	.B(FE_OFN59_io_out_37),
 	.Y(n_5945), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g436420 (
-	.A(n_5645),
-	.B(FE_OFN29_io_out_37),
+	.A(FE_OFN1550_n_5645),
+	.B(FE_OFN59_io_out_37),
 	.Y(n_5943), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g436421 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(n_5643),
 	.Y(n_5941), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g436423 (
+   sky130_fd_sc_hd__nand2_1 g436423 (
 	.A(n_5713),
 	.B(n_5401),
 	.Y(n_5939), 
@@ -177045,7 +173656,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_2 g436424 (
 	.A(n_5699),
-	.B(FE_OFN18318_n_15946),
+	.B(FE_OFN18428_n),
 	.X(n_5938), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -177076,42 +173687,42 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g436429 (
-	.A(FE_OFN1620_n_5856),
+	.A(n_5856),
 	.Y(n_5855), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g436430 (
-	.A(FE_COEN4266_n_5854),
+	.A(n_5854),
 	.Y(n_5853), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g436431 (
-	.A(FE_OFN1619_n_5852),
+	.A(FE_OFN18695_n_5852),
 	.Y(n_5851), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g436432 (
-	.A(FE_OFN18969_n_5850),
+	.A(FE_PSN3893_n_5850),
 	.Y(n_5849), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g436433 (
-	.A(n_5848),
+	.A(FE_PSN3963_n_5848),
 	.Y(n_5847), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g436434 (
-	.A(FE_OFN1618_n_5846),
+	.A(FE_OFN18711_n_5846),
 	.Y(n_5845), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -177131,67 +173742,39 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g436437 (
-	.A(n_5840),
-	.Y(n_5839), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g436438 (
-	.A(FE_COEN4299_n_5838),
-	.Y(n_5837), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g436439 (
-	.A(FE_OFN1617_n_5836),
-	.Y(n_5835), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g436440 (
-	.A(FE_OFN1616_n_5834),
+	.A(FE_OFN1828_n_5834),
 	.Y(n_5833), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g436441 (
-	.A(n_5832),
-	.Y(n_5831), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g436442 (
-	.A(FE_OFN1615_n_5830),
+	.A(FE_OFN1827_n_5830),
 	.Y(n_5829), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g436443 (
-	.A(FE_COEN4877_n_5828),
+	.A(FE_OFN18725_n_5828),
 	.Y(n_5827), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g436444 (
-	.A(FE_OFN1614_n_5826),
+	.A(FE_OFN1826_n_5826),
 	.Y(n_5825), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436445 (
-	.A1(FE_OFN977_n_5366),
-	.A2(FE_OFN18487_n_13386),
-	.B1(FE_OFN973_n_5358),
-	.B2(FE_OFN19555_n_13387),
+	.A1(FE_OFN1168_n_5366),
+	.A2(FE_OFN19054_n_13386),
+	.B1(n_5358),
+	.B2(FE_OFN18450_n_13387),
 	.Y(n_5824), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -177215,8 +173798,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436448 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3133),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(n_3132),
 	.B2(n_5377),
 	.Y(n_5821), 
 	.VPWR(vccd1), 
@@ -177225,8 +173808,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436449 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3024),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1819_n_3024),
 	.B2(n_5377),
 	.Y(n_5820), 
 	.VPWR(vccd1), 
@@ -177235,8 +173818,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436450 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3135),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1824_n_3135),
 	.B2(n_5377),
 	.Y(n_5819), 
 	.VPWR(vccd1), 
@@ -177245,8 +173828,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436451 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3131),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1822_n_3131),
 	.B2(n_5377),
 	.Y(n_5818), 
 	.VPWR(vccd1), 
@@ -177255,8 +173838,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436452 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3028),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1752_n_3028),
 	.B2(n_5377),
 	.Y(n_5817), 
 	.VPWR(vccd1), 
@@ -177265,8 +173848,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436453 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3128),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1821_n_3128),
 	.B2(n_5377),
 	.Y(n_5816), 
 	.VPWR(vccd1), 
@@ -177275,8 +173858,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436454 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3140),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1757_n_3140),
 	.B2(n_5377),
 	.Y(n_5815), 
 	.VPWR(vccd1), 
@@ -177285,8 +173868,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436455 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3016),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1818_n_3016),
 	.B2(n_5377),
 	.Y(n_5814), 
 	.VPWR(vccd1), 
@@ -177295,7 +173878,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436456 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_13354),
 	.B2(n_5377),
 	.Y(n_5813), 
@@ -177305,7 +173888,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436457 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_13355),
 	.B2(n_5377),
 	.Y(n_5812), 
@@ -177315,8 +173898,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436458 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3018),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1748_n_3018),
 	.B2(n_5377),
 	.Y(n_5811), 
 	.VPWR(vccd1), 
@@ -177325,8 +173908,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436459 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3022),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1750_n_3022),
 	.B2(n_5377),
 	.Y(n_5810), 
 	.VPWR(vccd1), 
@@ -177335,8 +173918,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436460 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3030),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(n_3029),
 	.B2(n_5377),
 	.Y(n_5809), 
 	.VPWR(vccd1), 
@@ -177345,8 +173928,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436461 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3120),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1755_n_3120),
 	.B2(n_5377),
 	.Y(n_5808), 
 	.VPWR(vccd1), 
@@ -177355,8 +173938,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436462 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3032),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1754_n_3032),
 	.B2(n_5377),
 	.Y(n_5807), 
 	.VPWR(vccd1), 
@@ -177365,8 +173948,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436463 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3020),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1749_n_3020),
 	.B2(n_5377),
 	.Y(n_5806), 
 	.VPWR(vccd1), 
@@ -177375,8 +173958,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436464 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3026),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1751_n_3026),
 	.B2(n_5377),
 	.Y(n_5805), 
 	.VPWR(vccd1), 
@@ -177385,8 +173968,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436465 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
-	.A2_N(FE_OFN1477_n_2980),
-	.B1(n_3122),
+	.A2_N(FE_OFN1537_n_2980),
+	.B1(FE_OFN1756_n_3122),
 	.B2(n_5377),
 	.Y(n_5804), 
 	.VPWR(vccd1), 
@@ -177395,7 +173978,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436466 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_13356),
 	.B2(n_5377),
 	.Y(n_5803), 
@@ -177405,7 +173988,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436467 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
-	.A2_N(FE_OFN1477_n_2980),
+	.A2_N(FE_OFN1537_n_2980),
 	.B1(n_13357),
 	.B2(n_5377),
 	.Y(n_5802), 
@@ -177415,8 +173998,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436468 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
-	.A2_N(n_2981),
-	.B1(n_3133),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(n_3132),
 	.B2(n_5379),
 	.Y(n_5801), 
 	.VPWR(vccd1), 
@@ -177425,8 +174008,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436469 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
-	.A2_N(n_2981),
-	.B1(n_3024),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1819_n_3024),
 	.B2(n_5379),
 	.Y(n_5800), 
 	.VPWR(vccd1), 
@@ -177435,8 +174018,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436470 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
-	.A2_N(n_2981),
-	.B1(n_3135),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1824_n_3135),
 	.B2(n_5379),
 	.Y(n_5799), 
 	.VPWR(vccd1), 
@@ -177445,8 +174028,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436471 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
-	.A2_N(n_2981),
-	.B1(n_3131),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1822_n_3131),
 	.B2(n_5379),
 	.Y(n_5798), 
 	.VPWR(vccd1), 
@@ -177455,8 +174038,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436472 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
-	.A2_N(n_2981),
-	.B1(n_3028),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1752_n_3028),
 	.B2(n_5379),
 	.Y(n_5797), 
 	.VPWR(vccd1), 
@@ -177465,8 +174048,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436473 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
-	.A2_N(n_2981),
-	.B1(n_3128),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1821_n_3128),
 	.B2(n_5379),
 	.Y(n_5796), 
 	.VPWR(vccd1), 
@@ -177475,8 +174058,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436474 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
-	.A2_N(n_2981),
-	.B1(n_3140),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1757_n_3140),
 	.B2(n_5379),
 	.Y(n_5795), 
 	.VPWR(vccd1), 
@@ -177539,9 +174122,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g436482 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
-	.B1(FE_OFN920_n_2242),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
 	.C1(n_5549),
 	.Y(n_5787), 
@@ -177552,7 +174135,7 @@
    sky130_fd_sc_hd__a221oi_1 g436483 (
 	.A1(n_1409),
 	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[9]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[9]),
 	.C1(n_5553),
 	.Y(n_5786), 
@@ -177563,7 +174146,7 @@
    sky130_fd_sc_hd__a221oi_1 g436484 (
 	.A1(n_1409),
 	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[14]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[14]),
 	.C1(n_5571),
 	.Y(n_5785), 
@@ -177572,7 +174155,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g436485 (
-	.A1(FE_OFN927_n_2421),
+	.A1(FE_OFN1534_n_2421),
 	.A2(n_13559),
 	.B1(n_3085),
 	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[1]),
@@ -177583,7 +174166,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g436486 (
-	.A1(FE_OFN927_n_2421),
+	.A1(FE_OFN1534_n_2421),
 	.A2(n_13560),
 	.B1(n_3085),
 	.B2(u_soc_main_swith_host_lsu_num_req_outstanding[2]),
@@ -177596,7 +174179,7 @@
    sky130_fd_sc_hd__a221oi_1 g436487 (
 	.A1(n_1409),
 	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[0]),
-	.B1(n_1233),
+	.B1(FE_OFN1727_n_1233),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
 	.C1(n_5558),
 	.Y(n_5782), 
@@ -177605,9 +174188,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g436488 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
-	.B1(FE_OFN920_n_2242),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
 	.C1(n_5547),
 	.Y(n_5781), 
@@ -177618,7 +174201,7 @@
    sky130_fd_sc_hd__a221oi_1 g436489 (
 	.A1(n_1409),
 	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[10]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[10]),
 	.C1(n_5551),
 	.Y(n_5780), 
@@ -177664,7 +174247,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a2bb2oi_1 g436494 (
-	.A1_N(FE_COEN4302_n_669),
+	.A1_N(FE_OFN18604_n),
 	.A2_N(n_5401),
 	.B1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
 	.B2(n_1845),
@@ -177675,7 +174258,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436495 (
 	.A1(n_5374),
-	.A2(u_soc_u_top_u_core_alu_adder_result_ex[1]),
+	.A2(FE_OFN18362_u_soc_u_top_u_core_alu_adder_result_ex_1),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [1]),
 	.Y(n_5774), 
@@ -177685,7 +174268,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436496 (
 	.A1(n_5376),
-	.A2(FE_OFN18412_n),
+	.A2(n_13419),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [2]),
 	.Y(n_5773), 
@@ -177695,7 +174278,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436497 (
 	.A1(n_5376),
-	.A2(FE_PSN4668_FE_OFN18492_n_13420),
+	.A2(FE_OFN18426_n_13420),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [3]),
 	.Y(n_5772), 
@@ -177705,7 +174288,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436498 (
 	.A1(n_5376),
-	.A2(FE_PSN4354_FE_OFN1585_n_13422),
+	.A2(FE_OFN1654_n_13422),
 	.B1(n_3076),
 	.B2(\u_soc_u_top_u_core_imd_val_q_ex[1] [5]),
 	.Y(n_5771), 
@@ -177757,7 +174340,7 @@
 	.A1_N(n_2369),
 	.A2_N(n_5386),
 	.B1(n_5435),
-	.B2(FE_OFN1646_n_3077),
+	.B2(FE_OFN1820_n_3077),
 	.Y(n_5766), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -177777,7 +174360,7 @@
 	.A1_N(n_2370),
 	.A2_N(n_5386),
 	.B1(n_5437),
-	.B2(FE_OFN1646_n_3077),
+	.B2(FE_OFN1820_n_3077),
 	.Y(n_5764), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -177787,7 +174370,7 @@
 	.A1_N(n_2597),
 	.A2_N(n_5386),
 	.B1(n_5440),
-	.B2(FE_OFN1646_n_3077),
+	.B2(FE_OFN1820_n_3077),
 	.Y(n_5763), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -177795,8 +174378,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436507 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
-	.A2_N(n_2981),
-	.B1(n_3016),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1818_n_3016),
 	.B2(n_5379),
 	.Y(n_5762), 
 	.VPWR(vccd1), 
@@ -177807,7 +174390,7 @@
 	.A1_N(n_2577),
 	.A2_N(n_5386),
 	.B1(n_5448),
-	.B2(FE_OFN1646_n_3077),
+	.B2(FE_OFN1820_n_3077),
 	.Y(n_5761), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -177815,7 +174398,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436509 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_13355),
 	.B2(n_5379),
 	.Y(n_5760), 
@@ -177825,7 +174408,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436510 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_13354),
 	.B2(n_5379),
 	.Y(n_5759), 
@@ -177834,7 +174417,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436511 (
-	.A1(FE_OFN1646_n_3077),
+	.A1(FE_OFN1820_n_3077),
 	.A2(n_5459),
 	.B1(n_5385),
 	.B2(n_2565),
@@ -177844,7 +174427,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436512 (
-	.A1(FE_OFN1646_n_3077),
+	.A1(FE_OFN1820_n_3077),
 	.A2(n_5460),
 	.B1(n_5385),
 	.B2(n_2581),
@@ -177855,7 +174438,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436513 (
 	.A1_N(n_5463),
-	.A2_N(FE_OFN1646_n_3077),
+	.A2_N(FE_OFN1820_n_3077),
 	.B1(n_2399),
 	.B2(n_5386),
 	.Y(n_5756), 
@@ -177865,7 +174448,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436514 (
 	.A1_N(n_5464),
-	.A2_N(FE_OFN1646_n_3077),
+	.A2_N(FE_OFN1820_n_3077),
 	.B1(n_2402),
 	.B2(n_5386),
 	.Y(n_5755), 
@@ -177874,19 +174457,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436515 (
-	.A1(FE_OFN974_n_5360),
-	.A2(FE_OFN18279_n_16012),
-	.B1(FE_OFN973_n_5358),
-	.B2(FE_OFN18484_n_13416),
+	.A1(n_5360),
+	.A2(FE_OFN1272_n_16012),
+	.B1(n_5358),
+	.B2(FE_OFN18520_n),
 	.Y(n_5754), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436516 (
-	.A1(FE_OFN973_n_5358),
-	.A2(FE_OFN18487_n_13386),
-	.B1(FE_OFN975_n_5362),
+	.A1(n_5358),
+	.A2(FE_OFN19054_n_13386),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
 	.Y(n_5753), 
 	.VPWR(vccd1), 
@@ -177895,8 +174478,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436517 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
-	.A2_N(n_2981),
-	.B1(n_3030),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(n_3029),
 	.B2(n_5379),
 	.Y(n_5752), 
 	.VPWR(vccd1), 
@@ -177904,29 +174487,29 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436518 (
-	.A1(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN973_n_5358),
-	.B2(FE_OFN18594_n),
+	.A1(FE_OFN18212_u_soc_xbar_to_dccm_a_address__3),
+	.A2(n_5360),
+	.B1(n_5358),
+	.B2(FE_OFN18431_n_13388),
 	.Y(n_5751), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436519 (
-	.A1(FE_OFN977_n_5366),
-	.A2(FE_OFN18594_n),
-	.B1(FE_OFN973_n_5358),
-	.B2(FE_PSN4341_FE_OFN18495_n_13389),
+	.A1(FE_OFN1168_n_5366),
+	.A2(FE_OFN18431_n_13388),
+	.B1(n_5358),
+	.B2(FE_OFN18230_n),
 	.Y(n_5750), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436520 (
-	.A1(FE_OFN977_n_5366),
-	.A2(FE_PSN4341_FE_OFN18495_n_13389),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_OFN1168_n_5366),
+	.A2(FE_OFN18230_n),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
 	.Y(n_5749), 
 	.VPWR(vccd1), 
@@ -177934,9 +174517,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436521 (
-	.A1(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_OFN19028_u_soc_xbar_to_dccm_a_address__6),
+	.A2(n_5360),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
 	.Y(n_5748), 
 	.VPWR(vccd1), 
@@ -177944,9 +174527,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436522 (
-	.A1(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_OFN18390_u_soc_xbar_to_dccm_a_address__7),
+	.A2(n_5360),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
 	.Y(n_5747), 
 	.VPWR(vccd1), 
@@ -177954,19 +174537,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436523 (
-	.A1(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN973_n_5358),
-	.B2(FE_OFN18312_n_13393),
+	.A1(FE_OFN18534_n),
+	.A2(n_5360),
+	.B1(FE_OFN1164_n_5358),
+	.B2(FE_OFN18241_n_13393),
 	.Y(n_5746), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436524 (
-	.A1(FE_OFN977_n_5366),
-	.A2(FE_OFN18312_n_13393),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_OFN1168_n_5366),
+	.A2(FE_OFN18241_n_13393),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
 	.Y(n_5745), 
 	.VPWR(vccd1), 
@@ -177974,9 +174557,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436525 (
-	.A1(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_PSN4013_u_soc_xbar_to_dccm_a_address_10),
+	.A2(FE_OFN1165_n_5360),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
 	.Y(n_5744), 
 	.VPWR(vccd1), 
@@ -177984,9 +174567,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436526 (
-	.A1(FE_OFN973_n_5358),
-	.A2(FE_PSN4777_FE_OFN1082_n_13075),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_OFN1164_n_5358),
+	.A2(FE_OFN1249_n_13075),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
 	.Y(n_5743), 
 	.VPWR(vccd1), 
@@ -177994,9 +174577,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436527 (
-	.A1(FE_OFN977_n_5366),
-	.A2(FE_PSN4777_FE_OFN1082_n_13075),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_OFN1168_n_5366),
+	.A2(FE_OFN1249_n_13075),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
 	.Y(n_5742), 
 	.VPWR(vccd1), 
@@ -178004,19 +174587,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436528 (
-	.A1(\u_soc_xbar_to_dccm[a_address] [13]),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN973_n_5358),
-	.B2(FE_OFN1083_n_13076),
+	.A1(FE_OFN895_u_soc_xbar_to_dccm_a_address__13),
+	.A2(FE_OFN1165_n_5360),
+	.B1(FE_OFN1164_n_5358),
+	.B2(FE_OFN1250_n_13076),
 	.Y(n_5741), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436529 (
-	.A1(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN973_n_5358),
+	.A1(FE_OFN894_u_soc_lsu_to_xbar_a_address__14),
+	.A2(FE_OFN1165_n_5360),
+	.B1(FE_OFN1164_n_5358),
 	.B2(n_13399),
 	.Y(n_5740), 
 	.VPWR(vccd1), 
@@ -178024,9 +174607,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436530 (
-	.A1(\u_soc_lsu_to_xbar[a_address] [15]),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN977_n_5366),
+	.A1(FE_OFN893_u_soc_lsu_to_xbar_a_address__15),
+	.A2(FE_OFN1165_n_5360),
+	.B1(FE_OFN1168_n_5366),
 	.B2(n_13399),
 	.Y(n_5739), 
 	.VPWR(vccd1), 
@@ -178034,9 +174617,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436531 (
-	.A1(FE_OFN977_n_5366),
+	.A1(FE_OFN1168_n_5366),
 	.A2(n_13400),
-	.B1(FE_OFN975_n_5362),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
 	.Y(n_5738), 
 	.VPWR(vccd1), 
@@ -178044,9 +174627,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436532 (
-	.A1(FE_OFN977_n_5366),
-	.A2(FE_COEN4335_n_13401),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_OFN1168_n_5366),
+	.A2(n_13401),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
 	.Y(n_5737), 
 	.VPWR(vccd1), 
@@ -178054,9 +174637,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436533 (
-	.A1(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_OFN890_u_soc_lsu_to_xbar_a_address__18),
+	.A2(FE_OFN1165_n_5360),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
 	.Y(n_5736), 
 	.VPWR(vccd1), 
@@ -178064,9 +174647,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436534 (
-	.A1(FE_OFN977_n_5366),
-	.A2(FE_OFN1086_n_13082),
-	.B1(FE_OFN973_n_5358),
+	.A1(FE_OFN1168_n_5366),
+	.A2(FE_OFN1253_n_13082),
+	.B1(FE_OFN1164_n_5358),
 	.B2(n_13404),
 	.Y(n_5735), 
 	.VPWR(vccd1), 
@@ -178074,9 +174657,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436535 (
-	.A1(FE_OFN18273_u_soc_lsu_to_xbar_a_address__20),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN977_n_5366),
+	.A1(\u_soc_lsu_to_xbar[a_address] [20]),
+	.A2(FE_OFN1165_n_5360),
+	.B1(FE_OFN1168_n_5366),
 	.B2(n_13404),
 	.Y(n_5734), 
 	.VPWR(vccd1), 
@@ -178084,9 +174667,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436536 (
-	.A1(FE_COEN4265_u_soc_lsu_to_xbar_a_address_21),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN973_n_5358),
+	.A1(FE_OFN887_u_soc_lsu_to_xbar_a_address__21),
+	.A2(FE_OFN1165_n_5360),
+	.B1(FE_OFN1164_n_5358),
 	.B2(n_13406),
 	.Y(n_5733), 
 	.VPWR(vccd1), 
@@ -178094,9 +174677,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436537 (
-	.A1(FE_OFN973_n_5358),
-	.A2(FE_PSN4147_n_13407),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_OFN1164_n_5358),
+	.A2(n_13407),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
 	.Y(n_5732), 
 	.VPWR(vccd1), 
@@ -178104,9 +174687,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436538 (
-	.A1(FE_PSN4126_u_soc_lsu_to_xbar_a_address_23),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_OFN885_u_soc_lsu_to_xbar_a_address__23),
+	.A2(FE_OFN1165_n_5360),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
 	.Y(n_5731), 
 	.VPWR(vccd1), 
@@ -178114,9 +174697,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436539 (
-	.A1(FE_OFN753_u_soc_lsu_to_xbar_a_address__24),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_OFN884_u_soc_lsu_to_xbar_a_address__24),
+	.A2(FE_OFN1165_n_5360),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
 	.Y(n_5730), 
 	.VPWR(vccd1), 
@@ -178124,9 +174707,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436540 (
-	.A1(FE_OFN752_u_soc_lsu_to_xbar_a_address__25),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN973_n_5358),
+	.A1(FE_OFN883_u_soc_lsu_to_xbar_a_address__25),
+	.A2(FE_OFN1165_n_5360),
+	.B1(FE_OFN1164_n_5358),
 	.B2(n_13410),
 	.Y(n_5729), 
 	.VPWR(vccd1), 
@@ -178134,9 +174717,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436541 (
-	.A1(FE_OFN751_u_soc_lsu_to_xbar_a_address__26),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_OFN882_u_soc_lsu_to_xbar_a_address__26),
+	.A2(FE_OFN1165_n_5360),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
 	.Y(n_5728), 
 	.VPWR(vccd1), 
@@ -178144,9 +174727,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436542 (
-	.A1(FE_OFN977_n_5366),
+	.A1(FE_OFN1168_n_5366),
 	.A2(n_13411),
-	.B1(FE_OFN973_n_5358),
+	.B1(FE_OFN1164_n_5358),
 	.B2(n_13412),
 	.Y(n_5727), 
 	.VPWR(vccd1), 
@@ -178154,9 +174737,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436543 (
-	.A1(FE_OFN977_n_5366),
+	.A1(FE_OFN1168_n_5366),
 	.A2(n_13412),
-	.B1(FE_OFN975_n_5362),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
 	.Y(n_5726), 
 	.VPWR(vccd1), 
@@ -178164,19 +174747,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436544 (
-	.A1(FE_OFN977_n_5366),
-	.A2(FE_OFN1093_n_13090),
-	.B1(FE_OFN973_n_5358),
-	.B2(FE_OFN1089_n_13086),
+	.A1(FE_OFN1168_n_5366),
+	.A2(FE_OFN1260_n_13090),
+	.B1(n_5358),
+	.B2(FE_OFN1257_n_13086),
 	.Y(n_5725), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436545 (
-	.A1(FE_OFN18361_n),
-	.A2(FE_OFN974_n_5360),
-	.B1(FE_OFN975_n_5362),
+	.A1(FE_OFN18378_u_soc_lsu_to_xbar_a_address__30),
+	.A2(n_5360),
+	.B1(FE_OFN1166_n_5362),
 	.B2(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
 	.Y(n_5724), 
 	.VPWR(vccd1), 
@@ -178193,7 +174776,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436547 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_13357),
 	.B2(n_5379),
 	.Y(n_5722), 
@@ -178203,7 +174786,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436548 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
-	.A2_N(n_2981),
+	.A2_N(FE_OFN1122_n_2981),
 	.B1(n_13356),
 	.B2(n_5379),
 	.Y(n_5721), 
@@ -178213,8 +174796,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436549 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
-	.A2_N(n_2981),
-	.B1(n_3122),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1756_n_3122),
 	.B2(n_5379),
 	.Y(n_5720), 
 	.VPWR(vccd1), 
@@ -178223,8 +174806,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436550 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
-	.A2_N(n_2981),
-	.B1(n_3026),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1751_n_3026),
 	.B2(n_5379),
 	.Y(n_5719), 
 	.VPWR(vccd1), 
@@ -178233,8 +174816,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436551 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
-	.A2_N(n_2981),
-	.B1(n_3020),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1749_n_3020),
 	.B2(n_5379),
 	.Y(n_5718), 
 	.VPWR(vccd1), 
@@ -178243,8 +174826,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436552 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
-	.A2_N(n_2981),
-	.B1(n_3120),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1755_n_3120),
 	.B2(n_5379),
 	.Y(n_5717), 
 	.VPWR(vccd1), 
@@ -178253,8 +174836,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436553 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
-	.A2_N(n_2981),
-	.B1(n_3022),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1750_n_3022),
 	.B2(n_5379),
 	.Y(n_5716), 
 	.VPWR(vccd1), 
@@ -178263,8 +174846,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436554 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
-	.A2_N(n_2981),
-	.B1(n_3018),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1748_n_3018),
 	.B2(n_5379),
 	.Y(n_5715), 
 	.VPWR(vccd1), 
@@ -178273,8 +174856,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g436555 (
 	.A1_N(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
-	.A2_N(n_2981),
-	.B1(n_3032),
+	.A2_N(FE_OFN1122_n_2981),
+	.B1(FE_OFN1754_n_3032),
 	.B2(n_5379),
 	.Y(n_5714), 
 	.VPWR(vccd1), 
@@ -178282,7 +174865,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g436556 (
-	.A1(FE_OFN1639_n_16011),
+	.A1(FE_OFN1807_n_16011),
 	.A2(n_5426),
 	.B1(n_2858),
 	.Y(n_5874), 
@@ -178294,7 +174877,7 @@
 	.A1(n_5454),
 	.A2(n_2001),
 	.B1(n_5466),
-	.B2(FE_OFN1639_n_16011),
+	.B2(FE_OFN1807_n_16011),
 	.Y(n_5873), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -178324,7 +174907,7 @@
 	.A1(n_5456),
 	.A2(n_2001),
 	.B1(n_5446),
-	.B2(FE_OFN1639_n_16011),
+	.B2(FE_OFN1807_n_16011),
 	.X(n_5870), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -178334,7 +174917,7 @@
 	.A1(n_5455),
 	.A2(n_2001),
 	.B1(n_5443),
-	.B2(FE_OFN1639_n_16011),
+	.B2(FE_OFN1807_n_16011),
 	.X(n_5869), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -178344,7 +174927,7 @@
 	.A1(n_5453),
 	.A2(n_2001),
 	.B1(n_5438),
-	.B2(FE_OFN1639_n_16011),
+	.B2(FE_OFN1807_n_16011),
 	.Y(n_5868), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -178354,7 +174937,7 @@
 	.A1(n_5449),
 	.A2(n_2001),
 	.B1(n_2608),
-	.B2(FE_OFN1639_n_16011),
+	.B2(FE_OFN1807_n_16011),
 	.Y(n_5867), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -178364,7 +174947,7 @@
 	.A1(n_5447),
 	.A2(n_2001),
 	.B1(n_5425),
-	.B2(FE_OFN1639_n_16011),
+	.B2(FE_OFN1807_n_16011),
 	.Y(n_5865), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -178374,7 +174957,7 @@
 	.A1(n_5442),
 	.A2(n_2001),
 	.B1(n_5420),
-	.B2(FE_OFN1639_n_16011),
+	.B2(FE_OFN1807_n_16011),
 	.Y(n_5864), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -178384,7 +174967,7 @@
 	.A1(n_5439),
 	.A2(n_2001),
 	.B1(n_5423),
-	.B2(FE_OFN1639_n_16011),
+	.B2(FE_OFN1807_n_16011),
 	.Y(n_5863), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -178392,7 +174975,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436567 (
 	.A1(n_5465),
-	.A2(FE_OFN1639_n_16011),
+	.A2(FE_OFN1807_n_16011),
 	.B1(n_5436),
 	.B2(n_2001),
 	.Y(n_5862), 
@@ -178404,7 +174987,7 @@
 	.A1(n_5434),
 	.A2(n_2001),
 	.B1(n_5467),
-	.B2(FE_OFN1639_n_16011),
+	.B2(FE_OFN1807_n_16011),
 	.Y(n_5861), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -178412,7 +174995,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g436569 (
 	.A1(n_5432),
-	.A2(FE_OFN1639_n_16011),
+	.A2(FE_OFN1807_n_16011),
 	.B1(n_5431),
 	.B2(n_2001),
 	.Y(n_5860), 
@@ -178431,7 +175014,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g436571 (
-	.A1(FE_OFN1639_n_16011),
+	.A1(FE_OFN1807_n_16011),
 	.A2(n_2549),
 	.A3(n_1743),
 	.B1(n_5451),
@@ -178442,8 +175025,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor4_1 g436572 (
-	.A(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
-	.B(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.A(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.B(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2),
 	.C(n_2959),
 	.D(n_1222),
 	.Y(n_5857), 
@@ -178453,10 +175036,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436573 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
-	.B2(n_3132),
-	.C1(n_13493),
+	.B2(FE_OFN1823_n_3133),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
 	.Y(n_5856), 
 	.VPWR(vccd1), 
@@ -178465,10 +175048,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436574 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
 	.B2(n_3023),
-	.C1(n_13493),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
 	.Y(n_5854), 
 	.VPWR(vccd1), 
@@ -178477,10 +175060,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436575 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
 	.B2(n_3134),
-	.C1(n_13493),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
 	.Y(n_5852), 
 	.VPWR(vccd1), 
@@ -178489,10 +175072,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436576 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
 	.B2(n_3130),
-	.C1(n_13493),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
 	.Y(n_5850), 
 	.VPWR(vccd1), 
@@ -178501,10 +175084,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436577 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
 	.B2(n_3027),
-	.C1(n_13493),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
 	.Y(n_5848), 
 	.VPWR(vccd1), 
@@ -178513,10 +175096,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436578 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
 	.B2(n_3127),
-	.C1(n_13493),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
 	.Y(n_5846), 
 	.VPWR(vccd1), 
@@ -178525,10 +175108,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436579 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
 	.B2(n_3139),
-	.C1(n_13493),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
 	.Y(n_5844), 
 	.VPWR(vccd1), 
@@ -178537,10 +175120,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436580 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
-	.A2(n_13493),
+	.A2(FE_OFN1268_n_13493),
 	.B1(n_13492),
 	.B2(n_3015),
-	.C1(n_13494),
+	.C1(FE_OFN1269_n_13494),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
 	.Y(n_5841), 
 	.VPWR(vccd1), 
@@ -178549,10 +175132,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436581 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
-	.B2(n_3029),
-	.C1(n_13493),
+	.B2(FE_OFN1753_n_3030),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
 	.Y(n_5840), 
 	.VPWR(vccd1), 
@@ -178561,10 +175144,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436582 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
 	.B2(n_3017),
-	.C1(n_13493),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
 	.Y(n_5838), 
 	.VPWR(vccd1), 
@@ -178573,10 +175156,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436583 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
 	.B2(n_3021),
-	.C1(n_13493),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
 	.Y(n_5836), 
 	.VPWR(vccd1), 
@@ -178585,10 +175168,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436584 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
 	.B2(n_3119),
-	.C1(n_13493),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
 	.Y(n_5834), 
 	.VPWR(vccd1), 
@@ -178597,10 +175180,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436585 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
 	.B2(n_3031),
-	.C1(n_13493),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
 	.Y(n_5832), 
 	.VPWR(vccd1), 
@@ -178609,10 +175192,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436586 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
 	.B2(n_3019),
-	.C1(n_13493),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
 	.Y(n_5830), 
 	.VPWR(vccd1), 
@@ -178621,10 +175204,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436587 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
 	.B2(n_3025),
-	.C1(n_13493),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
 	.Y(n_5828), 
 	.VPWR(vccd1), 
@@ -178633,10 +175216,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g436588 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
-	.A2(n_13494),
+	.A2(FE_OFN1269_n_13494),
 	.B1(n_13492),
 	.B2(n_3121),
-	.C1(n_13493),
+	.C1(FE_OFN1268_n_13493),
 	.C2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
 	.Y(n_5826), 
 	.VPWR(vccd1), 
@@ -178665,28 +175248,28 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g437780 (
-	.A(FE_OFN1482_n_5651),
+	.A(n_5651),
 	.Y(n_5650), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g437781 (
-	.A(FE_OFN1481_n_5649),
+	.A(n_5649),
 	.Y(n_5648), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g437782 (
-	.A(FE_OFN1480_n_5647),
+	.A(n_5647),
 	.Y(n_5646), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g437783 (
-	.A(n_5645),
+	.A(FE_OFN1550_n_5645),
 	.Y(n_5644), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -178709,9 +175292,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g437786 (
 	.A1(n_1026),
-	.A2(n_3009),
+	.A2(FE_OFN1547_n_3009),
 	.B1(n_1038),
-	.B2(n_2997),
+	.B2(FE_OFN1542_n_2997),
 	.Y(n_5639), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -178719,9 +175302,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g437787 (
 	.A1(n_1026),
-	.A2(n_2999),
+	.A2(FE_OFN1543_n_2999),
 	.B1(n_1038),
-	.B2(n_3013),
+	.B2(FE_PSN3950_FE_OFN1549_n_3013),
 	.Y(n_5638), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -178738,7 +175321,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437789 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
@@ -178748,7 +175331,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437790 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
@@ -178758,7 +175341,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437791 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
@@ -178792,7 +175375,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g437795 (
-	.A(FE_OFN1646_n_3077),
+	.A(FE_OFN1820_n_3077),
 	.B(n_5445),
 	.Y(n_5630), 
 	.VPWR(vccd1), 
@@ -178808,7 +175391,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437797 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
@@ -178818,7 +175401,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437798 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
@@ -178828,7 +175411,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437799 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
@@ -178838,7 +175421,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437800 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
 	.B1(n_2008),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
@@ -178880,7 +175463,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437805 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
@@ -178890,7 +175473,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437806 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
@@ -178918,7 +175501,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437809 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
@@ -178936,7 +175519,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437811 (
-	.A1(FE_OFN929_n_2985),
+	.A1(FE_OFN1123_n_2985),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
@@ -178946,7 +175529,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437812 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
@@ -178956,7 +175539,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437813 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
@@ -178966,7 +175549,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437814 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
@@ -178985,7 +175568,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437816 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
 	.B1(n_2008),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
@@ -178995,7 +175578,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437817 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
@@ -179005,7 +175588,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437818 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
 	.B1(n_2008),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
@@ -179015,9 +175598,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437819 (
-	.A1(FE_OFN929_n_2985),
+	.A1(FE_OFN1123_n_2985),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
-	.B1(FE_OFN930_n_2987),
+	.B1(FE_OFN1124_n_2987),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
 	.Y(n_5606), 
 	.VPWR(vccd1), 
@@ -179025,7 +175608,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437820 (
-	.A1(FE_OFN930_n_2987),
+	.A1(FE_OFN1124_n_2987),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
@@ -179035,7 +175618,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437821 (
-	.A1(FE_OFN929_n_2985),
+	.A1(FE_OFN1123_n_2985),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
 	.B1(n_2009),
 	.B2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
@@ -179045,18 +175628,18 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g437822 (
-	.A1(n_2983),
+	.A1(n_2984),
 	.A2(n_13525),
 	.A3(u_soc_u_top_u_core_alu_operand_b_ex[30]),
 	.B1(n_1746),
-	.B2(FE_OFN18239_u_soc_lsu_to_xbar_a_address__29),
+	.B2(FE_OFN879_u_soc_lsu_to_xbar_a_address__29),
 	.Y(n_5603), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g437823 (
-	.A1(n_2983),
+	.A1(n_2984),
 	.A2(n_13524),
 	.A3(u_soc_u_top_u_core_alu_operand_b_ex[29]),
 	.B1(n_1745),
@@ -179067,7 +175650,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g437824 (
-	.A1(n_2983),
+	.A1(n_2984),
 	.A2(n_13523),
 	.A3(u_soc_u_top_u_core_alu_operand_b_ex[28]),
 	.B1(n_1745),
@@ -179078,18 +175661,18 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g437825 (
-	.A1(n_2983),
+	.A1(n_2984),
 	.A2(n_13522),
 	.A3(u_soc_u_top_u_core_alu_operand_b_ex[27]),
 	.B1(n_1746),
-	.B2(FE_PSN4369_u_soc_lsu_to_xbar_a_address_26),
+	.B2(FE_OFN882_u_soc_lsu_to_xbar_a_address__26),
 	.Y(n_5600), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g437826 (
-	.A1(n_2983),
+	.A1(n_2984),
 	.A2(n_13521),
 	.A3(u_soc_u_top_u_core_alu_operand_b_ex[26]),
 	.B1(n_1745),
@@ -179100,11 +175683,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g437827 (
-	.A1(n_2983),
+	.A1(n_2984),
 	.A2(n_13520),
 	.A3(u_soc_u_top_u_core_alu_operand_b_ex[25]),
 	.B1(n_1746),
-	.B2(FE_PSN4133_u_soc_lsu_to_xbar_a_address_24),
+	.B2(FE_OFN884_u_soc_lsu_to_xbar_a_address__24),
 	.Y(n_5598), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -179112,9 +175695,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437828 (
 	.A1(n_2986),
-	.A2(FE_PSN4133_u_soc_lsu_to_xbar_a_address_24),
+	.A2(FE_OFN884_u_soc_lsu_to_xbar_a_address__24),
 	.B1(n_1746),
-	.B2(FE_OFN754_u_soc_lsu_to_xbar_a_address__23),
+	.B2(FE_OFN885_u_soc_lsu_to_xbar_a_address__23),
 	.Y(n_5597), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -179129,66 +175712,66 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g437830 (
-	.A1(n_2983),
+	.A1(n_2984),
 	.A2(n_13516),
 	.A3(u_soc_u_top_u_core_alu_operand_b_ex[21]),
 	.B1(n_2986),
-	.B2(FE_OFN756_u_soc_lsu_to_xbar_a_address__21),
+	.B2(FE_OFN887_u_soc_lsu_to_xbar_a_address__21),
 	.Y(n_5595), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g437831 (
-	.A1(n_2983),
+	.A1(n_2984),
 	.A2(n_13515),
-	.A3(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
+	.A3(FE_OFN1387_u_soc_u_top_u_core_alu_operand_b_ex_20),
 	.B1(n_2986),
-	.B2(FE_OFN18273_u_soc_lsu_to_xbar_a_address__20),
+	.B2(FE_OFN888_u_soc_lsu_to_xbar_a_address__20),
 	.Y(n_5594), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g437832 (
-	.A1(n_2983),
+	.A1(n_2984),
 	.A2(n_13514),
 	.A3(u_soc_u_top_u_core_alu_operand_b_ex[19]),
 	.B1(n_2986),
-	.B2(FE_OFN758_u_soc_lsu_to_xbar_a_address__19),
+	.B2(FE_OFN889_u_soc_lsu_to_xbar_a_address__19),
 	.Y(n_5593), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g437833 (
-	.A1(n_2983),
-	.A2(FE_PSN4151_n_13513),
+	.A1(n_2984),
+	.A2(n_13513),
 	.A3(u_soc_u_top_u_core_alu_operand_b_ex[18]),
 	.B1(n_2986),
-	.B2(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.B2(FE_OFN890_u_soc_lsu_to_xbar_a_address__18),
 	.Y(n_5592), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g437834 (
-	.A1(n_2983),
+	.A1(n_2984),
 	.A2(n_13512),
 	.A3(u_soc_u_top_u_core_alu_operand_b_ex[17]),
 	.B1(n_2986),
-	.B2(\u_soc_lsu_to_xbar[a_address] [17]),
+	.B2(FE_OFN18408_u_soc_lsu_to_xbar_a_address__17),
 	.Y(n_5591), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32oi_1 g437835 (
-	.A1(FE_OFN18286_n_2984),
+	.A1(n_2984),
 	.A2(n_13511),
-	.A3(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16),
+	.A3(u_soc_u_top_u_core_alu_operand_b_ex[16]),
 	.B1(n_2986),
-	.B2(\u_soc_lsu_to_xbar[a_address] [16]),
+	.B2(FE_OFN18195_u_soc_lsu_to_xbar_a_address__16),
 	.Y(n_5590), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -179207,16 +175790,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437837 (
 	.A1(n_2986),
-	.A2(FE_OFN762_u_soc_lsu_to_xbar_a_address__15),
+	.A2(FE_OFN893_u_soc_lsu_to_xbar_a_address__15),
 	.B1(n_1746),
-	.B2(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.B2(FE_OFN894_u_soc_lsu_to_xbar_a_address__14),
 	.Y(n_5588), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g437838 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_5144),
 	.Y(n_5587), 
 	.VPWR(vccd1), 
@@ -179225,9 +175808,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437839 (
 	.A1(n_2986),
-	.A2(FE_OFN763_u_soc_lsu_to_xbar_a_address__14),
+	.A2(FE_OFN894_u_soc_lsu_to_xbar_a_address__14),
 	.B1(n_1746),
-	.B2(\u_soc_xbar_to_dccm[a_address] [13]),
+	.B2(FE_OFN895_u_soc_xbar_to_dccm_a_address__13),
 	.Y(n_5586), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -179253,8 +175836,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437842 (
 	.A1(n_2986),
-	.A2(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
-	.B1(n_475),
+	.A2(\u_soc_xbar_to_dccm[a_address] [3]),
+	.B1(FE_OFN18084_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[3]),
 	.Y(n_5583), 
 	.VPWR(vccd1), 
@@ -179263,7 +175846,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437843 (
 	.A1(n_2986),
-	.A2(FE_OFN18279_n_16012),
+	.A2(FE_OFN1272_n_16012),
 	.B1(n_1746),
 	.B2(n_13576),
 	.Y(n_5582), 
@@ -179283,8 +175866,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437845 (
 	.A1(n_13675),
-	.A2(n_3074),
-	.B1(FE_OFN18237_n_2678),
+	.A2(FE_OFN1880_n_3074),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
 	.Y(n_5580), 
 	.VPWR(vccd1), 
@@ -179293,8 +175876,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437846 (
 	.A1(n_13674),
-	.A2(n_3074),
-	.B1(FE_OFN18237_n_2678),
+	.A2(FE_OFN1880_n_3074),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
 	.Y(n_5579), 
 	.VPWR(vccd1), 
@@ -179303,8 +175886,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437847 (
 	.A1(n_13673),
-	.A2(n_3074),
-	.B1(FE_OFN18237_n_2678),
+	.A2(FE_OFN1880_n_3074),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
 	.Y(n_5578), 
 	.VPWR(vccd1), 
@@ -179313,8 +175896,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437848 (
 	.A1(n_13672),
-	.A2(FE_OFN18324_n_3074),
-	.B1(FE_OFN18237_n_2678),
+	.A2(FE_OFN1880_n_3074),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
 	.Y(n_5577), 
 	.VPWR(vccd1), 
@@ -179364,7 +175947,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g437853 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_2622),
 	.C(n_5468),
 	.Y(n_5572), 
@@ -179382,8 +175965,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g437855 (
-	.A1(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
-	.A2(FE_COEN4276_n_13501),
+	.A1(FE_OFN18276_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.A2(n_13501),
 	.B1(n_5363),
 	.Y(n_5570), 
 	.VPWR(vccd1), 
@@ -179400,8 +175983,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g437857 (
-	.A1(FE_PSN4822_n_13503),
-	.A2(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8),
+	.A1(n_13503),
+	.A2(FE_OFN18327_u_soc_u_top_u_core_alu_operand_b_ex_8),
 	.B1(n_5363),
 	.Y(n_5568), 
 	.VPWR(vccd1), 
@@ -179409,8 +175992,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g437858 (
-	.A1(FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9),
-	.A2(FE_PSN4132_n_13504),
+	.A1(FE_OFN18252_u_soc_u_top_u_core_alu_operand_b_ex_9),
+	.A2(n_13504),
 	.B1(n_5363),
 	.Y(n_5567), 
 	.VPWR(vccd1), 
@@ -179418,7 +176001,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g437859 (
-	.A1(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.A1(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10),
 	.A2(n_13505),
 	.B1(n_5363),
 	.Y(n_5566), 
@@ -179427,7 +176010,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g437860 (
-	.A1(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.A1(FE_OFN18472_u_soc_u_top_u_core_alu_operand_b_ex_11),
 	.A2(n_13506),
 	.B1(n_5363),
 	.Y(n_5565), 
@@ -179436,7 +176019,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g437861 (
-	.A1(FE_COEN4301_u_soc_u_top_u_core_alu_operand_b_ex_12),
+	.A1(u_soc_u_top_u_core_alu_operand_b_ex[12]),
 	.A2(n_13507),
 	.B1(n_5363),
 	.Y(n_5564), 
@@ -179445,7 +176028,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g437862 (
-	.A1(u_soc_u_top_u_core_alu_operand_b_ex[13]),
+	.A1(FE_PSN3965_u_soc_u_top_u_core_alu_operand_b_ex_13),
 	.A2(n_13508),
 	.B1(n_5363),
 	.Y(n_5563), 
@@ -179455,7 +176038,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g437863 (
 	.A(n_1172),
-	.B(FE_OFN976_n_5364),
+	.B(FE_OFN1167_n_5364),
 	.Y(n_5562), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -179647,8 +176230,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437885 (
 	.A1(n_13671),
-	.A2(FE_OFN18324_n_3074),
-	.B1(FE_OFN18237_n_2678),
+	.A2(FE_OFN1880_n_3074),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
 	.Y(n_5540), 
 	.VPWR(vccd1), 
@@ -179657,16 +176240,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g437886 (
 	.A1(n_30),
-	.A2(FE_OFN18442_n_112),
-	.B1(FE_OFN976_n_5364),
+	.A2(FE_OFN18370_n_112),
+	.B1(FE_OFN1167_n_5364),
 	.Y(n_5539), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g437887 (
-	.A1(FE_PSN4383_n_13496),
-	.A2(FE_PSBN19826_n_15943),
+	.A1(n_13496),
+	.A2(n_15943),
 	.B1(n_5363),
 	.Y(n_5538), 
 	.VPWR(vccd1), 
@@ -179685,8 +176268,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437889 (
 	.A1(n_13670),
-	.A2(FE_OFN18324_n_3074),
-	.B1(FE_OFN18237_n_2678),
+	.A2(FE_OFN18091_n_3074),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
 	.Y(n_5536), 
 	.VPWR(vccd1), 
@@ -179694,7 +176277,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g437890 (
-	.A1(FE_PSN4470_n_13497),
+	.A1(n_13497),
 	.A2(u_soc_u_top_u_core_alu_operand_b_ex[2]),
 	.B1(n_5363),
 	.Y(n_5535), 
@@ -179732,9 +176315,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437894 (
-	.A1(FE_OFN18324_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13669),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
 	.Y(n_5531), 
 	.VPWR(vccd1), 
@@ -179742,8 +176325,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_0 g437895 (
-	.A1(FE_OFN18326_n_13495),
-	.A2(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A1(n_13495),
+	.A2(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.B1(n_5363),
 	.Y(n_5530), 
 	.VPWR(vccd1), 
@@ -179753,16 +176336,16 @@
    sky130_fd_sc_hd__a21oi_1 g437896 (
 	.A1(n_2921),
 	.A2(n_1032),
-	.B1(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B1(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.Y(n_5529), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437897 (
-	.A1(FE_OFN18324_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13668),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
 	.Y(n_5528), 
 	.VPWR(vccd1), 
@@ -179780,9 +176363,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437899 (
-	.A1(FE_OFN18324_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13667),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
 	.Y(n_5526), 
 	.VPWR(vccd1), 
@@ -179809,9 +176392,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437902 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13709),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
 	.Y(n_5523), 
 	.VPWR(vccd1), 
@@ -179819,9 +176402,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437903 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13710),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
 	.Y(n_5522), 
 	.VPWR(vccd1), 
@@ -179829,9 +176412,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437904 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13711),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
 	.Y(n_5521), 
 	.VPWR(vccd1), 
@@ -179839,9 +176422,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437905 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13712),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
 	.Y(n_5520), 
 	.VPWR(vccd1), 
@@ -179849,9 +176432,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437906 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13713),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
 	.Y(n_5519), 
 	.VPWR(vccd1), 
@@ -179859,9 +176442,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437907 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13714),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
 	.Y(n_5518), 
 	.VPWR(vccd1), 
@@ -179869,9 +176452,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437908 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13715),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
 	.Y(n_5517), 
 	.VPWR(vccd1), 
@@ -179879,9 +176462,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437909 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13716),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
 	.Y(n_5516), 
 	.VPWR(vccd1), 
@@ -179889,9 +176472,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437910 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13717),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
 	.Y(n_5515), 
 	.VPWR(vccd1), 
@@ -179899,9 +176482,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437911 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13718),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
 	.Y(n_5514), 
 	.VPWR(vccd1), 
@@ -179909,9 +176492,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437912 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13719),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
 	.Y(n_5513), 
 	.VPWR(vccd1), 
@@ -179919,9 +176502,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437913 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13721),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
 	.Y(n_5512), 
 	.VPWR(vccd1), 
@@ -179929,9 +176512,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437914 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13720),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
 	.Y(n_5511), 
 	.VPWR(vccd1), 
@@ -179939,9 +176522,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437915 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13722),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
 	.Y(n_5510), 
 	.VPWR(vccd1), 
@@ -179949,9 +176532,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437916 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13723),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
 	.Y(n_5509), 
 	.VPWR(vccd1), 
@@ -179959,9 +176542,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437917 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13724),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
 	.Y(n_5508), 
 	.VPWR(vccd1), 
@@ -179969,9 +176552,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437918 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13725),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18190_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
 	.Y(n_5507), 
 	.VPWR(vccd1), 
@@ -179979,9 +176562,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437919 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13726),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18190_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
 	.Y(n_5506), 
 	.VPWR(vccd1), 
@@ -179989,9 +176572,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437920 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13727),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18190_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
 	.Y(n_5505), 
 	.VPWR(vccd1), 
@@ -179999,9 +176582,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437921 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13728),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18190_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
 	.Y(n_5504), 
 	.VPWR(vccd1), 
@@ -180009,9 +176592,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437922 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13729),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18190_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
 	.Y(n_5503), 
 	.VPWR(vccd1), 
@@ -180019,9 +176602,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437923 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13730),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18190_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
 	.Y(n_5502), 
 	.VPWR(vccd1), 
@@ -180029,9 +176612,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437924 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13731),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18190_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
 	.Y(n_5501), 
 	.VPWR(vccd1), 
@@ -180039,9 +176622,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437925 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13732),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18190_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
 	.Y(n_5500), 
 	.VPWR(vccd1), 
@@ -180049,9 +176632,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437926 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13733),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18190_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
 	.Y(n_5499), 
 	.VPWR(vccd1), 
@@ -180059,9 +176642,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437927 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13734),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18190_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
 	.Y(n_5498), 
 	.VPWR(vccd1), 
@@ -180069,9 +176652,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437928 (
-	.A1(n_3075),
+	.A1(FE_OFN1881_n_3075),
 	.A2(n_13735),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18190_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
 	.Y(n_5497), 
 	.VPWR(vccd1), 
@@ -180079,9 +176662,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437929 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13736),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
 	.Y(n_5496), 
 	.VPWR(vccd1), 
@@ -180089,9 +176672,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437930 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13737),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
 	.Y(n_5495), 
 	.VPWR(vccd1), 
@@ -180099,9 +176682,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437931 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13738),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
 	.Y(n_5494), 
 	.VPWR(vccd1), 
@@ -180109,9 +176692,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437932 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13739),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
 	.Y(n_5493), 
 	.VPWR(vccd1), 
@@ -180119,9 +176702,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437933 (
-	.A1(n_3075),
+	.A1(FE_OFN18092_n_3075),
 	.A2(n_13740),
-	.B1(FE_OFN18208_n_2676),
+	.B1(FE_OFN18189_n_2676),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
 	.Y(n_5492), 
 	.VPWR(vccd1), 
@@ -180129,9 +176712,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437934 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13644),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
 	.Y(n_5491), 
 	.VPWR(vccd1), 
@@ -180139,9 +176722,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437935 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13645),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
 	.Y(n_5490), 
 	.VPWR(vccd1), 
@@ -180149,9 +176732,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437936 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13646),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
 	.Y(n_5489), 
 	.VPWR(vccd1), 
@@ -180159,9 +176742,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437937 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13647),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
 	.Y(n_5488), 
 	.VPWR(vccd1), 
@@ -180169,9 +176752,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437938 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13648),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
 	.Y(n_5487), 
 	.VPWR(vccd1), 
@@ -180179,9 +176762,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437939 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13649),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
 	.Y(n_5486), 
 	.VPWR(vccd1), 
@@ -180189,9 +176772,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437940 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13650),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
 	.Y(n_5485), 
 	.VPWR(vccd1), 
@@ -180199,9 +176782,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437941 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13651),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
 	.Y(n_5484), 
 	.VPWR(vccd1), 
@@ -180209,9 +176792,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437942 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13652),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
 	.Y(n_5483), 
 	.VPWR(vccd1), 
@@ -180219,9 +176802,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437943 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13653),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
 	.Y(n_5482), 
 	.VPWR(vccd1), 
@@ -180229,9 +176812,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437944 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13654),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
 	.Y(n_5481), 
 	.VPWR(vccd1), 
@@ -180239,9 +176822,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437945 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13656),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
 	.Y(n_5480), 
 	.VPWR(vccd1), 
@@ -180249,9 +176832,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437946 (
-	.A1(FE_OFN18323_n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13655),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
 	.Y(n_5479), 
 	.VPWR(vccd1), 
@@ -180259,9 +176842,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437947 (
-	.A1(n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13657),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
 	.Y(n_5478), 
 	.VPWR(vccd1), 
@@ -180269,9 +176852,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437948 (
-	.A1(n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13658),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
 	.Y(n_5477), 
 	.VPWR(vccd1), 
@@ -180279,9 +176862,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437949 (
-	.A1(n_3074),
+	.A1(FE_OFN1880_n_3074),
 	.A2(n_13659),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18119_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
 	.Y(n_5476), 
 	.VPWR(vccd1), 
@@ -180289,9 +176872,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437950 (
-	.A1(n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13660),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
 	.Y(n_5475), 
 	.VPWR(vccd1), 
@@ -180299,9 +176882,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437951 (
-	.A1(FE_OFN18324_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13661),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
 	.Y(n_5474), 
 	.VPWR(vccd1), 
@@ -180309,9 +176892,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437952 (
-	.A1(FE_OFN18324_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13662),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
 	.Y(n_5473), 
 	.VPWR(vccd1), 
@@ -180319,9 +176902,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437953 (
-	.A1(FE_OFN18324_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13663),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
 	.Y(n_5472), 
 	.VPWR(vccd1), 
@@ -180329,9 +176912,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437954 (
-	.A1(FE_OFN18324_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13664),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
 	.Y(n_5471), 
 	.VPWR(vccd1), 
@@ -180339,9 +176922,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437955 (
-	.A1(FE_OFN18324_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13665),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
 	.Y(n_5470), 
 	.VPWR(vccd1), 
@@ -180349,9 +176932,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g437956 (
-	.A1(FE_OFN18324_n_3074),
+	.A1(FE_OFN18091_n_3074),
 	.A2(n_13666),
-	.B1(FE_OFN18237_n_2678),
+	.B1(FE_OFN18118_n_2678),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
 	.Y(n_5469), 
 	.VPWR(vccd1), 
@@ -180360,7 +176943,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g438739 (
 	.A(n_5412),
-	.B(FE_OFN18318_n_15946),
+	.B(FE_OFN18428_n),
 	.Y(n_5713), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180423,7 +177006,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g438808 (
-	.A(FE_OFN1639_n_16011),
+	.A(FE_OFN1807_n_16011),
 	.B_N(n_5466),
 	.Y(n_5705), 
 	.VPWR(vccd1), 
@@ -180440,7 +177023,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g438856 (
-	.A(FE_OFN18249_n_183),
+	.A(FE_OFN18549_u_soc_u_top_u_core_multdiv_operator_ex_1),
 	.B(n_16),
 	.C(n_3143),
 	.Y(n_5703), 
@@ -180449,8 +177032,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or3_1 g438857 (
-	.A(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
-	.B(FE_COEN4290_FE_OFN18250_n_183),
+	.A(FE_OFN18451_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.B(FE_OFN19026_u_soc_u_top_u_core_multdiv_operator_ex_1),
 	.C(n_3088),
 	.X(n_5702), 
 	.VPWR(vccd1), 
@@ -180470,7 +177053,7 @@
    sky130_fd_sc_hd__o22ai_1 g438916 (
 	.A1(n_1056),
 	.A2(n_3143),
-	.B1(FE_OFN18249_n_183),
+	.B1(FE_OFN18549_u_soc_u_top_u_core_multdiv_operator_ex_1),
 	.B2(n_2944),
 	.Y(n_5700), 
 	.VPWR(vccd1), 
@@ -180479,7 +177062,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g438920 (
 	.A(n_16),
-	.B(FE_OFN18249_n_183),
+	.B(FE_OFN18549_u_soc_u_top_u_core_multdiv_operator_ex_1),
 	.C(n_3147),
 	.Y(n_5699), 
 	.VPWR(vccd1), 
@@ -180503,15 +177086,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438923 (
-	.A(FE_OFN876_n_471),
-	.B(n_5394),
+	.A(FE_OFN1078_n_471),
+	.B(FE_OFN1178_n_5394),
 	.Y(n_5695), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438924 (
-	.A(n_5394),
+	.A(FE_OFN1178_n_5394),
 	.B(n_5381),
 	.Y(n_5694), 
 	.VPWR(vccd1), 
@@ -180536,14 +177119,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g438928 (
 	.A(n_5405),
-	.B(FE_OFN29_io_out_37),
+	.B(FE_OFN59_io_out_37),
 	.Y(n_5690), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g438929 (
-	.A(FE_OFN984_n_5382),
+	.A(FE_OFN1177_n_5382),
 	.B(n_5405),
 	.Y(n_5689), 
 	.VPWR(vccd1), 
@@ -180551,8 +177134,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438930 (
-	.A(n_1231),
-	.B(n_5394),
+	.A(FE_PSN3886_n_1231),
+	.B(FE_OFN1178_n_5394),
 	.Y(n_5688), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180561,7 +177144,7 @@
    sky130_fd_sc_hd__a21oi_1 g438931 (
 	.A1(n_1632),
 	.A2(n_1701),
-	.B1(FE_OFN986_n_5399),
+	.B1(FE_OFN1180_n_5399),
 	.Y(n_5687), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180570,7 +177153,7 @@
    sky130_fd_sc_hd__a21oi_1 g438932 (
 	.A1(n_1682),
 	.A2(n_1728),
-	.B1(FE_OFN986_n_5399),
+	.B1(FE_OFN1180_n_5399),
 	.Y(n_5686), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180578,7 +177161,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438933 (
 	.A(n_1226),
-	.B(n_5394),
+	.B(FE_OFN1178_n_5394),
 	.Y(n_5685), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180587,7 +177170,7 @@
    sky130_fd_sc_hd__a21oi_1 g438934 (
 	.A1(n_1684),
 	.A2(n_1698),
-	.B1(n_5404),
+	.B1(FE_OFN1181_n_5404),
 	.Y(n_5684), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180595,7 +177178,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438935 (
 	.A(n_1229),
-	.B(n_5394),
+	.B(FE_OFN1178_n_5394),
 	.Y(n_5683), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180603,7 +177186,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438936 (
 	.A(n_1225),
-	.B(n_5394),
+	.B(FE_OFN1178_n_5394),
 	.Y(n_5682), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180626,15 +177209,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438939 (
-	.A(FE_OFN876_n_471),
-	.B(FE_OFN986_n_5399),
+	.A(FE_OFN1078_n_471),
+	.B(FE_OFN1180_n_5399),
 	.Y(n_5678), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438940 (
-	.A(FE_OFN986_n_5399),
+	.A(FE_OFN1180_n_5399),
 	.B(n_5381),
 	.Y(n_5677), 
 	.VPWR(vccd1), 
@@ -180683,7 +177266,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21o_1 g438946 (
 	.A1(n_3107),
-	.A2(FE_OFN31_io_out_37),
+	.A2(FE_OFN14_io_out_37),
 	.B1(n_1076),
 	.X(n_5670), 
 	.VPWR(vccd1), 
@@ -180706,9 +177289,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g438949 (
-	.A(n_1231),
-	.B(n_5397),
+   sky130_fd_sc_hd__nor2_4 g438949 (
+	.A(FE_OFN1179_n_5397),
+	.B(n_1231),
 	.Y(n_5667), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180716,7 +177299,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438950 (
 	.A(n_1229),
-	.B(n_5397),
+	.B(FE_OFN1179_n_5397),
 	.Y(n_5666), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180724,15 +177307,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438951 (
 	.A(n_1225),
-	.B(n_5397),
+	.B(FE_OFN1179_n_5397),
 	.Y(n_5665), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g438952 (
+   sky130_fd_sc_hd__nor2_1 g438952 (
 	.A(n_1226),
-	.B(n_5397),
+	.B(FE_OFN1179_n_5397),
 	.Y(n_5664), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180747,7 +177330,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438956 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5415),
 	.Y(n_5662), 
 	.VPWR(vccd1), 
@@ -180755,7 +177338,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438957 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_15919),
 	.Y(n_5661), 
 	.VPWR(vccd1), 
@@ -180763,7 +177346,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438958 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5410),
 	.Y(n_5660), 
 	.VPWR(vccd1), 
@@ -180771,7 +177354,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438959 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5417),
 	.Y(n_5659), 
 	.VPWR(vccd1), 
@@ -180779,7 +177362,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438960 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5416),
 	.Y(n_5658), 
 	.VPWR(vccd1), 
@@ -180787,7 +177370,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g438961 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B_N(n_5406),
 	.Y(n_5657), 
 	.VPWR(vccd1), 
@@ -180795,7 +177378,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438962 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5414),
 	.Y(n_5656), 
 	.VPWR(vccd1), 
@@ -180803,7 +177386,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438963 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5403),
 	.Y(n_5655), 
 	.VPWR(vccd1), 
@@ -180811,7 +177394,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438964 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5413),
 	.Y(n_5654), 
 	.VPWR(vccd1), 
@@ -180819,7 +177402,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438965 (
-	.A(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.A(FE_OFN1293_u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.B(n_5406),
 	.Y(n_5653), 
 	.VPWR(vccd1), 
@@ -180827,7 +177410,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or2_2 g438966 (
-	.A(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.A(FE_OFN18451_u_soc_u_top_u_core_multdiv_operator_ex_0),
 	.B(n_5401),
 	.X(n_5652), 
 	.VPWR(vccd1), 
@@ -180835,7 +177418,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438967 (
-	.A(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.A(FE_OFN18451_u_soc_u_top_u_core_multdiv_operator_ex_0),
 	.B(n_5357),
 	.Y(n_5651), 
 	.VPWR(vccd1), 
@@ -180843,7 +177426,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g438968 (
-	.A(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.A(FE_OFN18451_u_soc_u_top_u_core_multdiv_operator_ex_0),
 	.B(n_5361),
 	.Y(n_5649), 
 	.VPWR(vccd1), 
@@ -180860,7 +177443,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g438970 (
 	.A1(n_3109),
-	.A2(FE_OFN29_io_out_37),
+	.A2(FE_OFN14_io_out_37),
 	.B1(n_1097),
 	.Y(n_5645), 
 	.VPWR(vccd1), 
@@ -180876,7 +177459,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g438972 (
+   sky130_fd_sc_hd__nand2_2 g438972 (
 	.A(n_5146),
 	.B(n_1057),
 	.Y(n_5642), 
@@ -180899,7 +177482,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g438975 (
-	.A(n_5404),
+	.A(FE_OFN1181_n_5404),
 	.Y(n_5405), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180948,7 +177531,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g438982 (
-	.A(FE_OFN984_n_5382),
+	.A(FE_OFN1177_n_5382),
 	.Y(n_5381), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180983,14 +177566,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g438989 (
-	.A(FE_OFN975_n_5362),
+	.A(FE_OFN1166_n_5362),
 	.Y(n_5361), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g438991 (
-	.A(FE_OFN973_n_5358),
+	.A(n_5358),
 	.Y(n_5357), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -180999,7 +177582,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g438992 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [3]),
 	.A2_N(n_2879),
-	.B1(n_2218),
+	.B1(FE_OFN1502_n_2218),
 	.B2(n_2052),
 	.Y(n_5325), 
 	.VPWR(vccd1), 
@@ -181009,8 +177592,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g438993 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [7]),
 	.A2_N(n_2828),
-	.B1(n_2081),
-	.B2(n_1994),
+	.B1(FE_OFN1471_n_2081),
+	.B2(FE_OFN1095_n_1994),
 	.Y(n_5324), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181019,8 +177602,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g438994 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [6]),
 	.A2_N(n_2828),
-	.B1(FE_OFN1406_n_2016),
-	.B2(n_1994),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1095_n_1994),
 	.Y(n_5323), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181029,8 +177612,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g438995 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [4]),
 	.A2_N(n_2828),
-	.B1(FE_OFN1438_n_2107),
-	.B2(n_1994),
+	.B1(FE_OFN1482_n_2107),
+	.B2(FE_OFN1095_n_1994),
 	.Y(n_5322), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181039,8 +177622,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g438996 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [3]),
 	.A2_N(n_2828),
-	.B1(n_2108),
-	.B2(n_1994),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1095_n_1994),
 	.Y(n_5321), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181049,8 +177632,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g438997 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [2]),
 	.A2_N(n_2828),
-	.B1(FE_OFN1440_n_2112),
-	.B2(n_1994),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1095_n_1994),
 	.Y(n_5320), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181059,8 +177642,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g438998 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [1]),
 	.A2_N(n_2828),
-	.B1(n_2106),
-	.B2(n_1994),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1095_n_1994),
 	.Y(n_5319), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181069,8 +177652,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g438999 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [8]),
 	.A2_N(n_2426),
-	.B1(n_2134),
-	.B2(FE_OFN900_n_2217),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_5318), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181079,8 +177662,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439000 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [7]),
 	.A2_N(n_2426),
-	.B1(n_2081),
-	.B2(FE_OFN900_n_2217),
+	.B1(FE_OFN1471_n_2081),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_5317), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181089,8 +177672,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439001 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [6]),
 	.A2_N(n_2426),
-	.B1(n_2016),
-	.B2(FE_OFN900_n_2217),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_5316), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181099,8 +177682,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439002 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [5]),
 	.A2_N(n_2426),
-	.B1(n_2102),
-	.B2(FE_OFN900_n_2217),
+	.B1(FE_OFN1479_n_2102),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_5315), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181109,8 +177692,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439003 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [1]),
 	.A2_N(n_2512),
-	.B1(FE_OFN905_n_2223),
-	.B2(n_2059),
+	.B1(FE_OFN1104_n_2223),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_5314), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181119,8 +177702,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439004 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [4]),
 	.A2_N(n_2426),
-	.B1(FE_OFN1438_n_2107),
-	.B2(FE_OFN900_n_2217),
+	.B1(FE_OFN1482_n_2107),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_5313), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181129,8 +177712,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439005 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [3]),
 	.A2_N(n_2426),
-	.B1(n_2108),
-	.B2(FE_OFN900_n_2217),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_5312), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181139,8 +177722,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439006 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [2]),
 	.A2_N(n_2426),
-	.B1(n_2112),
-	.B2(FE_OFN900_n_2217),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_5311), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181149,8 +177732,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439007 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[77] [1]),
 	.A2_N(n_2426),
-	.B1(n_2106),
-	.B2(FE_OFN900_n_2217),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_5310), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181160,7 +177743,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [7]),
 	.A2_N(n_2863),
 	.B1(n_2081),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_5309), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181169,8 +177752,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439009 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [6]),
 	.A2_N(n_2863),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN910_n_2230),
+	.B1(n_2016),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_5308), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181180,7 +177763,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [5]),
 	.A2_N(n_2863),
 	.B1(n_2102),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_5307), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181189,7 +177772,7 @@
    sky130_fd_sc_hd__a22oi_1 g439011 (
 	.A1(n_2009),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
-	.B1(n_2534),
+	.B1(FE_OFN18991_n_2534),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [4]),
 	.Y(n_5306), 
 	.VPWR(vccd1), 
@@ -181200,7 +177783,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [4]),
 	.A2_N(n_2863),
 	.B1(n_2107),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_5305), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181210,7 +177793,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [3]),
 	.A2_N(n_2863),
 	.B1(n_2108),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_5304), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181220,7 +177803,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [2]),
 	.A2_N(n_2863),
 	.B1(n_2112),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_5303), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181229,8 +177812,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439015 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [3]),
 	.A2_N(n_2814),
-	.B1(n_2215),
-	.B2(n_2073),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_5302), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181240,7 +177823,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [1]),
 	.A2_N(n_2863),
 	.B1(n_2106),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_5301), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181249,8 +177832,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439017 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [8]),
 	.A2_N(n_2449),
-	.B1(FE_OFN902_n_2219),
-	.B2(n_2091),
+	.B1(FE_OFN1101_n_2219),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_5300), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181259,8 +177842,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439018 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [7]),
 	.A2_N(n_2449),
-	.B1(FE_OFN902_n_2219),
-	.B2(FE_OFN1432_n_2095),
+	.B1(FE_OFN1101_n_2219),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_5299), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181269,8 +177852,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439019 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [6]),
 	.A2_N(n_2449),
-	.B1(FE_OFN902_n_2219),
-	.B2(n_2070),
+	.B1(FE_OFN1101_n_2219),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_5298), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181279,8 +177862,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439020 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [5]),
 	.A2_N(n_2449),
-	.B1(FE_OFN902_n_2219),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1101_n_2219),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_5297), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181289,8 +177872,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439021 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [4]),
 	.A2_N(n_2449),
-	.B1(FE_OFN902_n_2219),
-	.B2(n_2035),
+	.B1(FE_OFN1101_n_2219),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_5296), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181299,8 +177882,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439022 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [3]),
 	.A2_N(n_2449),
-	.B1(FE_OFN902_n_2219),
-	.B2(FE_OFN1407_n_2017),
+	.B1(FE_OFN1101_n_2219),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_5295), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181309,7 +177892,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439023 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [1]),
 	.A2_N(n_2449),
-	.B1(FE_OFN902_n_2219),
+	.B1(FE_OFN1101_n_2219),
 	.B2(n_2130),
 	.Y(n_5294), 
 	.VPWR(vccd1), 
@@ -181319,8 +177902,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439024 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [7]),
 	.A2_N(n_2699),
-	.B1(FE_OFN904_n_2222),
-	.B2(n_2075),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_5293), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181329,8 +177912,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439025 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [8]),
 	.A2_N(n_2827),
-	.B1(n_1994),
-	.B2(n_2091),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_5292), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181339,8 +177922,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439026 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [7]),
 	.A2_N(n_2827),
-	.B1(n_1994),
-	.B2(FE_OFN1432_n_2095),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_5291), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181349,8 +177932,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439027 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [6]),
 	.A2_N(n_2827),
-	.B1(n_1994),
-	.B2(FE_OFN1422_n_2070),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_5290), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181359,8 +177942,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439028 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [5]),
 	.A2_N(n_2827),
-	.B1(n_1994),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_5289), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181369,8 +177952,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439029 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [4]),
 	.A2_N(n_2827),
-	.B1(n_1994),
-	.B2(n_2035),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_5288), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181379,8 +177962,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439030 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [3]),
 	.A2_N(n_2827),
-	.B1(n_1994),
-	.B2(FE_OFN1407_n_2017),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_5287), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181389,8 +177972,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439031 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [2]),
 	.A2_N(n_2827),
-	.B1(n_1994),
-	.B2(FE_OFN1408_n_2037),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_5286), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181399,7 +177982,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439032 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[74] [1]),
 	.A2_N(n_2827),
-	.B1(n_1994),
+	.B1(FE_OFN1095_n_1994),
 	.B2(n_2130),
 	.Y(n_5285), 
 	.VPWR(vccd1), 
@@ -181409,7 +177992,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439033 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [8]),
 	.A2_N(n_2428),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2091),
 	.Y(n_5284), 
 	.VPWR(vccd1), 
@@ -181419,8 +178002,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439034 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [7]),
 	.A2_N(n_2428),
-	.B1(FE_OFN899_n_2217),
-	.B2(n_2095),
+	.B1(FE_OFN1501_n_2217),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_5283), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181429,7 +178012,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439035 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [6]),
 	.A2_N(n_2428),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2070),
 	.Y(n_5282), 
 	.VPWR(vccd1), 
@@ -181439,7 +178022,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439036 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [5]),
 	.A2_N(n_2428),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2071),
 	.Y(n_5281), 
 	.VPWR(vccd1), 
@@ -181449,7 +178032,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439037 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [4]),
 	.A2_N(n_2428),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2035),
 	.Y(n_5280), 
 	.VPWR(vccd1), 
@@ -181459,7 +178042,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439038 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [3]),
 	.A2_N(n_2428),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2017),
 	.Y(n_5279), 
 	.VPWR(vccd1), 
@@ -181469,8 +178052,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439039 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [2]),
 	.A2_N(n_2428),
-	.B1(FE_OFN899_n_2217),
-	.B2(FE_OFN1408_n_2037),
+	.B1(FE_OFN1501_n_2217),
+	.B2(n_2037),
 	.Y(n_5278), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181479,7 +178062,7 @@
    sky130_fd_sc_hd__a22oi_1 g439040 (
 	.A1(n_2009),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
-	.B1(n_2534),
+	.B1(FE_OFN18991_n_2534),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [3]),
 	.Y(n_5277), 
 	.VPWR(vccd1), 
@@ -181490,7 +178073,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [7]),
 	.A2_N(n_2849),
 	.B1(n_2024),
-	.B2(FE_OFN905_n_2223),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_5276), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181499,7 +178082,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439042 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[73] [1]),
 	.A2_N(n_2428),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2130),
 	.Y(n_5275), 
 	.VPWR(vccd1), 
@@ -181515,7 +178098,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439044 (
-	.A(FE_OFN930_n_2987),
+	.A(FE_OFN1124_n_2987),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
 	.Y(n_5273), 
 	.VPWR(vccd1), 
@@ -181523,7 +178106,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439045 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
 	.Y(n_5272), 
 	.VPWR(vccd1), 
@@ -181531,7 +178114,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439046 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
 	.Y(n_5271), 
 	.VPWR(vccd1), 
@@ -181539,7 +178122,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439047 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
 	.Y(n_5270), 
 	.VPWR(vccd1), 
@@ -181547,7 +178130,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439048 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
 	.Y(n_5269), 
 	.VPWR(vccd1), 
@@ -181555,7 +178138,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439049 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
 	.Y(n_5268), 
 	.VPWR(vccd1), 
@@ -181563,7 +178146,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439050 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
 	.Y(n_5267), 
 	.VPWR(vccd1), 
@@ -181571,7 +178154,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439051 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
 	.Y(n_5266), 
 	.VPWR(vccd1), 
@@ -181579,7 +178162,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439052 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
 	.Y(n_5265), 
 	.VPWR(vccd1), 
@@ -181587,7 +178170,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439053 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
 	.Y(n_5264), 
 	.VPWR(vccd1), 
@@ -181595,7 +178178,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439054 (
-	.A(FE_OFN930_n_2987),
+	.A(FE_OFN1124_n_2987),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
 	.Y(n_5263), 
 	.VPWR(vccd1), 
@@ -181603,7 +178186,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439055 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
 	.Y(n_5262), 
 	.VPWR(vccd1), 
@@ -181611,7 +178194,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439056 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
 	.Y(n_5261), 
 	.VPWR(vccd1), 
@@ -181627,7 +178210,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439058 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
 	.Y(n_5259), 
 	.VPWR(vccd1), 
@@ -181635,7 +178218,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439059 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
 	.Y(n_5258), 
 	.VPWR(vccd1), 
@@ -181667,7 +178250,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439063 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
 	.Y(n_5254), 
 	.VPWR(vccd1), 
@@ -181675,7 +178258,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439064 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
 	.Y(n_5253), 
 	.VPWR(vccd1), 
@@ -181683,7 +178266,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439065 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
 	.Y(n_5252), 
 	.VPWR(vccd1), 
@@ -181691,7 +178274,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439066 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
 	.Y(n_5251), 
 	.VPWR(vccd1), 
@@ -181699,7 +178282,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439067 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
 	.Y(n_5250), 
 	.VPWR(vccd1), 
@@ -181707,7 +178290,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439068 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
 	.Y(n_5249), 
 	.VPWR(vccd1), 
@@ -181715,7 +178298,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439069 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
 	.Y(n_5248), 
 	.VPWR(vccd1), 
@@ -181723,7 +178306,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439070 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
 	.Y(n_5247), 
 	.VPWR(vccd1), 
@@ -181731,7 +178314,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439071 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
 	.Y(n_5246), 
 	.VPWR(vccd1), 
@@ -181739,7 +178322,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439072 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
 	.Y(n_5245), 
 	.VPWR(vccd1), 
@@ -181749,8 +178332,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439073 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [8]),
 	.A2_N(n_2862),
-	.B1(n_2230),
-	.B2(n_2091),
+	.B1(FE_OFN1504_n_2230),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_5244), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181759,8 +178342,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439074 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [7]),
 	.A2_N(n_2862),
-	.B1(n_2230),
-	.B2(FE_OFN1432_n_2095),
+	.B1(FE_OFN1504_n_2230),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_5243), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181769,8 +178352,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439075 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [5]),
 	.A2_N(n_2862),
-	.B1(n_2230),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1504_n_2230),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_5242), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181795,7 +178378,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439078 (
-	.A(FE_OFN929_n_2985),
+	.A(FE_OFN1123_n_2985),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
 	.Y(n_5239), 
 	.VPWR(vccd1), 
@@ -181805,8 +178388,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439079 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [4]),
 	.A2_N(n_2862),
-	.B1(n_2230),
-	.B2(n_2035),
+	.B1(FE_OFN1504_n_2230),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_5238), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181815,8 +178398,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439080 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [3]),
 	.A2_N(n_2862),
-	.B1(n_2230),
-	.B2(FE_OFN1407_n_2017),
+	.B1(FE_OFN1504_n_2230),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_5237), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181825,7 +178408,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439081 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [1]),
 	.A2_N(n_2862),
-	.B1(n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2130),
 	.Y(n_5236), 
 	.VPWR(vccd1), 
@@ -181836,7 +178419,7 @@
 	.A1(n_2842),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [7]),
 	.B1(n_2228),
-	.B2(n_2025),
+	.B2(FE_OFN1734_n_2025),
 	.X(n_5235), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181845,8 +178428,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439083 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [7]),
 	.A2_N(n_2424),
-	.B1(n_2081),
-	.B2(n_2220),
+	.B1(FE_OFN1471_n_2081),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_5234), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181855,8 +178438,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439084 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [6]),
 	.A2_N(n_2424),
-	.B1(FE_OFN1406_n_2016),
-	.B2(n_2220),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_5233), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181865,8 +178448,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439085 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [5]),
 	.A2_N(n_2424),
-	.B1(FE_OFN1436_n_2102),
-	.B2(n_2220),
+	.B1(FE_OFN1479_n_2102),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_5232), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181875,8 +178458,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439086 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [4]),
 	.A2_N(n_2424),
-	.B1(FE_OFN1438_n_2107),
-	.B2(n_2220),
+	.B1(FE_OFN1482_n_2107),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_5231), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181885,8 +178468,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439087 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [3]),
 	.A2_N(n_2424),
-	.B1(n_2108),
-	.B2(n_2220),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_5230), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181895,8 +178478,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439088 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [2]),
 	.A2_N(n_2424),
-	.B1(FE_OFN1440_n_2112),
-	.B2(n_2220),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_5229), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181905,8 +178488,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439089 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [1]),
 	.A2_N(n_2424),
-	.B1(n_2106),
-	.B2(n_2220),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_5228), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181915,8 +178498,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439090 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [8]),
 	.A2_N(n_2746),
-	.B1(FE_OFN1446_n_2134),
-	.B2(n_2224),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_5227), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181925,8 +178508,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439091 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [6]),
 	.A2_N(n_2746),
-	.B1(FE_OFN1406_n_2016),
-	.B2(n_2224),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_5226), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181934,7 +178517,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439092 (
 	.A(n_2986),
-	.B(FE_OFN771_u_soc_xbar_to_dccm_a_address__6),
+	.B(FE_OFN902_u_soc_xbar_to_dccm_a_address__6),
 	.Y(n_5225), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181943,8 +178526,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439093 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [4]),
 	.A2_N(n_2746),
-	.B1(FE_OFN1438_n_2107),
-	.B2(n_2224),
+	.B1(FE_OFN1482_n_2107),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_5224), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181961,8 +178544,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439095 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [3]),
 	.A2_N(n_2746),
-	.B1(n_2108),
-	.B2(n_2224),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_5222), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181971,8 +178554,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439096 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [2]),
 	.A2_N(n_2746),
-	.B1(FE_OFN1440_n_2112),
-	.B2(n_2224),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_5221), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181981,8 +178564,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439097 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [1]),
 	.A2_N(n_2746),
-	.B1(n_2106),
-	.B2(n_2224),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_5220), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -181991,8 +178574,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439098 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [8]),
 	.A2_N(n_2878),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN898_n_2216),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_5219), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182001,8 +178584,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439099 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [7]),
 	.A2_N(n_2878),
-	.B1(n_2081),
-	.B2(FE_OFN898_n_2216),
+	.B1(FE_OFN1471_n_2081),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_5218), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182011,8 +178594,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439100 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [6]),
 	.A2_N(n_2878),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN898_n_2216),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_5217), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182021,8 +178604,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439101 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [5]),
 	.A2_N(n_2878),
-	.B1(FE_OFN1436_n_2102),
-	.B2(FE_OFN898_n_2216),
+	.B1(FE_OFN1479_n_2102),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_5216), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182031,8 +178614,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439102 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [4]),
 	.A2_N(n_2878),
-	.B1(FE_OFN1438_n_2107),
-	.B2(FE_OFN898_n_2216),
+	.B1(FE_OFN1482_n_2107),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_5215), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182041,8 +178624,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439103 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [3]),
 	.A2_N(n_2878),
-	.B1(n_2108),
-	.B2(FE_OFN898_n_2216),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_5214), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182051,8 +178634,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439104 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [2]),
 	.A2_N(n_2878),
-	.B1(FE_OFN1440_n_2112),
-	.B2(FE_OFN898_n_2216),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_5213), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182061,8 +178644,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439105 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[69] [1]),
 	.A2_N(n_2878),
-	.B1(n_2106),
-	.B2(FE_OFN898_n_2216),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_5212), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182072,7 +178655,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [7]),
 	.A2_N(n_2816),
 	.B1(n_2081),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_5211), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182081,8 +178664,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439107 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [6]),
 	.A2_N(n_2816),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN901_n_2218),
+	.B1(n_2016),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_5210), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182092,7 +178675,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [5]),
 	.A2_N(n_2816),
 	.B1(n_2102),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_5209), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182102,7 +178685,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [4]),
 	.A2_N(n_2816),
 	.B1(n_2107),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_5208), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182112,7 +178695,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [3]),
 	.A2_N(n_2816),
 	.B1(n_2108),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_5207), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182122,7 +178705,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [2]),
 	.A2_N(n_2816),
 	.B1(n_2112),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_5206), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182132,7 +178715,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [1]),
 	.A2_N(n_2816),
 	.B1(n_2106),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_5205), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182142,7 +178725,7 @@
 	.A1(n_2779),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [3]),
 	.B1(n_2072),
-	.B2(FE_OFN923_n_2252),
+	.B2(FE_OFN1116_n_2252),
 	.X(n_5204), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182151,8 +178734,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439114 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [8]),
 	.A2_N(n_2429),
-	.B1(FE_OFN903_n_2220),
-	.B2(n_2091),
+	.B1(FE_OFN1102_n_2220),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_5203), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182161,8 +178744,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439115 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [7]),
 	.A2_N(n_2429),
-	.B1(FE_OFN903_n_2220),
-	.B2(n_2095),
+	.B1(FE_OFN1102_n_2220),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_5202), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182171,8 +178754,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439116 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [6]),
 	.A2_N(n_2429),
-	.B1(FE_OFN903_n_2220),
-	.B2(n_2070),
+	.B1(FE_OFN1102_n_2220),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_5201), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182181,8 +178764,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439117 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [5]),
 	.A2_N(n_2429),
-	.B1(FE_OFN903_n_2220),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1102_n_2220),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_5200), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182191,8 +178774,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439118 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [4]),
 	.A2_N(n_2429),
-	.B1(FE_OFN903_n_2220),
-	.B2(n_2035),
+	.B1(FE_OFN1102_n_2220),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_5199), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182201,8 +178784,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439119 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [3]),
 	.A2_N(n_2429),
-	.B1(FE_OFN903_n_2220),
-	.B2(n_2017),
+	.B1(FE_OFN1102_n_2220),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_5198), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182210,7 +178793,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g439120 (
 	.A(n_2986),
-	.B(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.B(\u_soc_xbar_to_dccm[a_address] [2]),
 	.Y(n_5197), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182219,8 +178802,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439121 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [2]),
 	.A2_N(n_2429),
-	.B1(FE_OFN903_n_2220),
-	.B2(FE_OFN1408_n_2037),
+	.B1(FE_OFN1102_n_2220),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_5196), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182229,7 +178812,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439122 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[67] [1]),
 	.A2_N(n_2429),
-	.B1(FE_OFN903_n_2220),
+	.B1(FE_OFN1102_n_2220),
 	.B2(n_2130),
 	.Y(n_5195), 
 	.VPWR(vccd1), 
@@ -182239,8 +178822,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439123 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [8]),
 	.A2_N(n_2745),
-	.B1(n_2224),
-	.B2(n_2091),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_5194), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182257,8 +178840,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439125 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [1]),
 	.A2_N(n_2457),
-	.B1(FE_OFN900_n_2217),
-	.B2(n_2059),
+	.B1(n_2217),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_5192), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182267,8 +178850,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439126 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [6]),
 	.A2_N(n_2745),
-	.B1(n_2224),
-	.B2(FE_OFN1422_n_2070),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_5191), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182277,8 +178860,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439127 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [5]),
 	.A2_N(n_2745),
-	.B1(n_2224),
-	.B2(n_2071),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_5190), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182287,8 +178870,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439128 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [4]),
 	.A2_N(n_2745),
-	.B1(n_2224),
-	.B2(n_2035),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_5189), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182297,8 +178880,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439129 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [3]),
 	.A2_N(n_2745),
-	.B1(n_2224),
-	.B2(FE_OFN1407_n_2017),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_5188), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182307,8 +178890,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439130 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [8]),
 	.A2_N(n_2497),
-	.B1(FE_OFN911_n_2231),
-	.B2(FE_OFN1444_n_2128),
+	.B1(n_2231),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_5187), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182317,8 +178900,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439131 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [2]),
 	.A2_N(n_2745),
-	.B1(n_2224),
-	.B2(n_2037),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_5186), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182328,7 +178911,7 @@
 	.A1(n_2464),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [3]),
 	.B1(n_2096),
-	.B2(FE_OFN914_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_5185), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182337,7 +178920,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439133 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [1]),
 	.A2_N(n_2745),
-	.B1(n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2130),
 	.Y(n_5184), 
 	.VPWR(vccd1), 
@@ -182347,7 +178930,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439134 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [8]),
 	.A2_N(n_2883),
-	.B1(FE_OFN898_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2091),
 	.Y(n_5183), 
 	.VPWR(vccd1), 
@@ -182357,8 +178940,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439135 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [7]),
 	.A2_N(n_2883),
-	.B1(FE_OFN898_n_2216),
-	.B2(n_2095),
+	.B1(FE_OFN1500_n_2216),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_5182), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182367,7 +178950,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439136 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [6]),
 	.A2_N(n_2883),
-	.B1(FE_OFN898_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2070),
 	.Y(n_5181), 
 	.VPWR(vccd1), 
@@ -182377,8 +178960,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439137 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [5]),
 	.A2_N(n_2883),
-	.B1(FE_OFN898_n_2216),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1500_n_2216),
+	.B2(n_2071),
 	.Y(n_5180), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182387,7 +178970,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439138 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [4]),
 	.A2_N(n_2883),
-	.B1(FE_OFN898_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2035),
 	.Y(n_5179), 
 	.VPWR(vccd1), 
@@ -182397,7 +178980,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439139 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [3]),
 	.A2_N(n_2883),
-	.B1(FE_OFN898_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2017),
 	.Y(n_5178), 
 	.VPWR(vccd1), 
@@ -182407,8 +178990,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439140 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [2]),
 	.A2_N(n_2883),
-	.B1(FE_OFN898_n_2216),
-	.B2(FE_OFN1408_n_2037),
+	.B1(FE_OFN1500_n_2216),
+	.B2(n_2037),
 	.Y(n_5177), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182417,7 +179000,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439141 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[65] [1]),
 	.A2_N(n_2883),
-	.B1(FE_OFN898_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2130),
 	.Y(n_5176), 
 	.VPWR(vccd1), 
@@ -182427,8 +179010,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439142 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [8]),
 	.A2_N(n_2815),
-	.B1(n_2218),
-	.B2(n_2091),
+	.B1(FE_OFN1502_n_2218),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_5175), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182437,8 +179020,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439143 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [7]),
 	.A2_N(n_2815),
-	.B1(n_2218),
-	.B2(FE_OFN1432_n_2095),
+	.B1(FE_OFN1502_n_2218),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_5174), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182447,7 +179030,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439144 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [1]),
 	.A2_N(n_2749),
-	.B1(n_2218),
+	.B1(FE_OFN1502_n_2218),
 	.B2(n_2087),
 	.Y(n_5173), 
 	.VPWR(vccd1), 
@@ -182457,8 +179040,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439145 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [5]),
 	.A2_N(n_2815),
-	.B1(n_2218),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1502_n_2218),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_5172), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182467,16 +179050,16 @@
    sky130_fd_sc_hd__a21oi_1 g439146 (
 	.A1(n_2298),
 	.A2(n_2186),
-	.B1(n_1751),
+	.B1(FE_OFN1447_n_1751),
 	.Y(n_5171), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g439147 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
-	.B1(FE_OFN920_n_2242),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
 	.C1(n_2924),
 	.Y(n_5170), 
@@ -182487,8 +179070,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439148 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [4]),
 	.A2_N(n_2815),
-	.B1(n_2218),
-	.B2(n_2035),
+	.B1(FE_OFN1502_n_2218),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_5169), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182507,8 +179090,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439150 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [3]),
 	.A2_N(n_2815),
-	.B1(n_2218),
-	.B2(FE_OFN1407_n_2017),
+	.B1(FE_OFN1502_n_2218),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_5167), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182517,8 +179100,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439151 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [2]),
 	.A2_N(n_2815),
-	.B1(n_2218),
-	.B2(n_2037),
+	.B1(FE_OFN1502_n_2218),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_5166), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182527,7 +179110,7 @@
    sky130_fd_sc_hd__a221o_1 g439152 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[0]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [0]),
 	.C1(n_2936),
 	.X(n_5165), 
@@ -182539,7 +179122,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [8]),
 	.A2_N(n_2511),
 	.B1(n_2219),
-	.B2(FE_OFN1419_n_2065),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_5164), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -182548,7 +179131,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439154 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [1]),
 	.A2_N(n_2815),
-	.B1(n_2218),
+	.B1(FE_OFN1502_n_2218),
 	.B2(n_2130),
 	.Y(n_5163), 
 	.VPWR(vccd1), 
@@ -182712,7 +179295,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g439172 (
-	.A(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.A(FE_OFN18472_u_soc_u_top_u_core_alu_operand_b_ex_11),
 	.B(n_13473),
 	.C(n_2364),
 	.Y(n_5145), 
@@ -182733,7 +179316,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439174 (
 	.A(n_1259),
-	.B(FE_PSN4131_n_13610),
+	.B(n_13610),
 	.C(n_2372),
 	.Y(n_5143), 
 	.VPWR(vccd1), 
@@ -182743,7 +179326,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439175 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [8]),
 	.A2_N(n_2789),
-	.B1(FE_OFN904_n_2222),
+	.B1(n_2222),
 	.B2(n_2113),
 	.Y(n_5142), 
 	.VPWR(vccd1), 
@@ -182752,7 +179335,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 g439176 (
 	.A1(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
-	.A2(FE_OFN1476_n_2542),
+	.A2(FE_OFN1120_n_2542),
 	.B1(n_3137),
 	.X(n_5141), 
 	.VPWR(vccd1), 
@@ -182797,8 +179380,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439181 (
-	.A(n_2983),
-	.B(FE_PSBN19826_n_15943),
+	.A(n_2984),
+	.B(n_15943),
 	.C(n_13496),
 	.Y(n_5136), 
 	.VPWR(vccd1), 
@@ -182806,8 +179389,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439182 (
-	.A(n_2983),
-	.B(FE_OFN1400_n_577),
+	.A(n_2984),
+	.B(FE_OFN1441_n_577),
 	.C(n_13499),
 	.Y(n_5135), 
 	.VPWR(vccd1), 
@@ -182815,79 +179398,79 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439183 (
-	.A(n_2983),
-	.B(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
-	.C(FE_PSN4385_n_13500),
+	.A(n_2984),
+	.B(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.C(n_13500),
 	.Y(n_5134), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439184 (
-	.A(n_2983),
+	.A(n_2984),
 	.B(n_13501),
-	.C(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.C(FE_OFN18276_u_soc_u_top_u_core_alu_operand_b_ex_6),
 	.Y(n_5133), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439185 (
-	.A(FE_OFN18286_n_2984),
-	.B(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8),
-	.C(FE_PSN4822_n_13503),
+	.A(n_2984),
+	.B(FE_OFN18327_u_soc_u_top_u_core_alu_operand_b_ex_8),
+	.C(n_13503),
 	.Y(n_5132), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439186 (
-	.A(FE_OFN18286_n_2984),
-	.B(FE_PSN4132_n_13504),
-	.C(FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9),
+	.A(n_2984),
+	.B(n_13504),
+	.C(FE_OFN18252_u_soc_u_top_u_core_alu_operand_b_ex_9),
 	.Y(n_5131), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439187 (
-	.A(FE_OFN18286_n_2984),
+	.A(n_2984),
 	.B(n_13505),
-	.C(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.C(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10),
 	.Y(n_5130), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439188 (
-	.A(FE_OFN18286_n_2984),
+	.A(n_2984),
 	.B(n_13506),
-	.C(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.C(FE_OFN18472_u_soc_u_top_u_core_alu_operand_b_ex_11),
 	.Y(n_5129), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439189 (
-	.A(FE_OFN18286_n_2984),
+	.A(n_2984),
 	.B(n_13507),
-	.C(FE_COEN4301_u_soc_u_top_u_core_alu_operand_b_ex_12),
+	.C(u_soc_u_top_u_core_alu_operand_b_ex[12]),
 	.Y(n_5128), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439190 (
-	.A(FE_OFN18286_n_2984),
+	.A(n_2984),
 	.B(n_13508),
-	.C(u_soc_u_top_u_core_alu_operand_b_ex[13]),
+	.C(FE_PSN3965_u_soc_u_top_u_core_alu_operand_b_ex_13),
 	.Y(n_5127), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439191 (
-	.A(n_2983),
+	.A(n_2984),
 	.B(n_13509),
 	.C(u_soc_u_top_u_core_alu_operand_b_ex[14]),
 	.Y(n_5126), 
@@ -182896,7 +179479,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439192 (
-	.A(n_2983),
+	.A(n_2984),
 	.B(n_13510),
 	.C(u_soc_u_top_u_core_alu_operand_b_ex[15]),
 	.Y(n_5125), 
@@ -182905,7 +179488,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439193 (
-	.A(n_2983),
+	.A(n_2984),
 	.B(n_13517),
 	.C(u_soc_u_top_u_core_alu_operand_b_ex[22]),
 	.Y(n_5124), 
@@ -182914,7 +179497,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439194 (
-	.A(n_2983),
+	.A(n_2984),
 	.B(n_13518),
 	.C(u_soc_u_top_u_core_alu_operand_b_ex[23]),
 	.Y(n_5123), 
@@ -182923,7 +179506,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439195 (
-	.A(n_2983),
+	.A(n_2984),
 	.B(u_soc_u_top_u_core_alu_operand_b_ex[2]),
 	.C(n_13497),
 	.Y(n_5122), 
@@ -182932,16 +179515,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439196 (
-	.A(n_2983),
-	.B(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
-	.C(FE_PSN4365_n_13502),
+	.A(n_2984),
+	.B(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.C(n_13502),
 	.Y(n_5121), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g439197 (
-	.A(n_2983),
+	.A(n_2984),
 	.B(n_13526),
 	.C(u_soc_u_top_u_core_alu_operand_b_ex[31]),
 	.Y(n_5120), 
@@ -182952,9 +179535,9 @@
    sky130_fd_sc_hd__a222oi_1 g439198 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
 	.A2(n_2246),
-	.B1(FE_OFN921_n_2243),
+	.B1(FE_OFN1113_n_2243),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
-	.C1(FE_OFN1606_n_1516),
+	.C1(FE_OFN1730_n_1516),
 	.C2(u_soc_u_top_u_core_csr_depc[19]),
 	.Y(n_5119), 
 	.VPWR(vccd1), 
@@ -182964,7 +179547,7 @@
    sky130_fd_sc_hd__a222oi_1 g439199 (
 	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[14]),
 	.A2(n_1410),
-	.B1(FE_OFN1608_n_2244),
+	.B1(FE_OFN1747_n_2244),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
 	.C1(n_1749),
 	.C2(u_soc_u_top_u_core_csr_mtvec[14]),
@@ -182975,10 +179558,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g439200 (
 	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[20]),
-	.A2(FE_OFN1605_n_1513),
-	.B1(FE_OFN1608_n_2244),
+	.A2(FE_OFN1729_n_1513),
+	.B1(FE_OFN1747_n_2244),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
-	.C1(FE_OFN1606_n_1516),
+	.C1(FE_OFN1730_n_1516),
 	.C2(u_soc_u_top_u_core_csr_depc[20]),
 	.Y(n_5117), 
 	.VPWR(vccd1), 
@@ -182988,9 +179571,9 @@
    sky130_fd_sc_hd__a222oi_1 g439201 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
 	.A2(n_2246),
-	.B1(FE_OFN920_n_2242),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
 	.Y(n_5116), 
 	.VPWR(vccd1), 
@@ -183000,9 +179583,9 @@
    sky130_fd_sc_hd__a222oi_1 g439202 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
 	.A2(n_2246),
-	.B1(FE_OFN921_n_2243),
+	.B1(FE_OFN1113_n_2243),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
-	.C1(FE_OFN1606_n_1516),
+	.C1(FE_OFN1730_n_1516),
 	.C2(u_soc_u_top_u_core_csr_depc[22]),
 	.Y(n_5115), 
 	.VPWR(vccd1), 
@@ -183012,9 +179595,9 @@
    sky130_fd_sc_hd__a222oi_1 g439203 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
 	.A2(n_2246),
-	.B1(FE_OFN921_n_2243),
+	.B1(FE_OFN1113_n_2243),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
-	.C1(FE_OFN1606_n_1516),
+	.C1(FE_OFN1730_n_1516),
 	.C2(u_soc_u_top_u_core_csr_depc[23]),
 	.Y(n_5114), 
 	.VPWR(vccd1), 
@@ -183024,9 +179607,9 @@
    sky130_fd_sc_hd__a222oi_1 g439204 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
 	.A2(n_2246),
-	.B1(FE_OFN1606_n_1516),
+	.B1(FE_OFN1730_n_1516),
 	.B2(u_soc_u_top_u_core_csr_depc[24]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
 	.Y(n_5113), 
 	.VPWR(vccd1), 
@@ -183036,9 +179619,9 @@
    sky130_fd_sc_hd__a222oi_1 g439205 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
 	.A2(n_2246),
-	.B1(FE_OFN921_n_2243),
+	.B1(FE_OFN1113_n_2243),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
-	.C1(FE_OFN1606_n_1516),
+	.C1(FE_OFN1730_n_1516),
 	.C2(u_soc_u_top_u_core_csr_depc[25]),
 	.Y(n_5112), 
 	.VPWR(vccd1), 
@@ -183048,9 +179631,9 @@
    sky130_fd_sc_hd__a222oi_1 g439206 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
 	.A2(n_2246),
-	.B1(FE_OFN1606_n_1516),
+	.B1(FE_OFN1730_n_1516),
 	.B2(u_soc_u_top_u_core_csr_depc[26]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
 	.Y(n_5111), 
 	.VPWR(vccd1), 
@@ -183060,9 +179643,9 @@
    sky130_fd_sc_hd__a222oi_1 g439207 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
 	.A2(n_2246),
-	.B1(FE_OFN921_n_2243),
+	.B1(FE_OFN1113_n_2243),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
-	.C1(FE_OFN1606_n_1516),
+	.C1(FE_OFN1730_n_1516),
 	.C2(u_soc_u_top_u_core_csr_depc[27]),
 	.Y(n_5110), 
 	.VPWR(vccd1), 
@@ -183072,9 +179655,9 @@
    sky130_fd_sc_hd__a222oi_1 g439208 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
 	.A2(n_2246),
-	.B1(FE_OFN1606_n_1516),
+	.B1(FE_OFN1730_n_1516),
 	.B2(u_soc_u_top_u_core_csr_depc[28]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
 	.Y(n_5109), 
 	.VPWR(vccd1), 
@@ -183084,9 +179667,9 @@
    sky130_fd_sc_hd__a222oi_1 g439209 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
 	.A2(n_2246),
-	.B1(FE_OFN1606_n_1516),
+	.B1(FE_OFN1730_n_1516),
 	.B2(u_soc_u_top_u_core_csr_depc[29]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
 	.Y(n_5108), 
 	.VPWR(vccd1), 
@@ -183096,9 +179679,9 @@
    sky130_fd_sc_hd__a222oi_1 g439210 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
 	.A2(n_2246),
-	.B1(FE_OFN1605_n_1513),
+	.B1(FE_OFN1729_n_1513),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[31]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
 	.Y(n_5107), 
 	.VPWR(vccd1), 
@@ -183107,10 +179690,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g439211 (
 	.A1(u_soc_u_top_u_core_cs_registers_i_mscratch_q[15]),
-	.A2(FE_OFN882_n_1214),
-	.B1(FE_OFN1607_n_2241),
+	.A2(FE_OFN1085_n_1214),
+	.B1(FE_OFN1746_n_2241),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
-	.C1(FE_OFN1608_n_2244),
+	.C1(FE_OFN1747_n_2244),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
 	.Y(n_5106), 
 	.VPWR(vccd1), 
@@ -183119,10 +179702,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g439212 (
 	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[13]),
-	.A2(FE_OFN1605_n_1513),
-	.B1(FE_OFN921_n_2243),
+	.A2(FE_OFN1729_n_1513),
+	.B1(FE_OFN1113_n_2243),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
-	.C1(FE_OFN882_n_1214),
+	.C1(FE_OFN1085_n_1214),
 	.C2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[13]),
 	.Y(n_5105), 
 	.VPWR(vccd1), 
@@ -183132,9 +179715,9 @@
    sky130_fd_sc_hd__a222oi_1 g439213 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
 	.A2(n_2246),
-	.B1(FE_OFN921_n_2243),
+	.B1(FE_OFN1113_n_2243),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
-	.C1(FE_OFN1606_n_1516),
+	.C1(FE_OFN1730_n_1516),
 	.C2(u_soc_u_top_u_core_csr_depc[18]),
 	.Y(n_5104), 
 	.VPWR(vccd1), 
@@ -183144,8 +179727,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439214 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [7]),
 	.A2_N(n_2789),
-	.B1(FE_OFN904_n_2222),
-	.B2(FE_OFN1426_n_2077),
+	.B1(n_2222),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_5103), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183164,7 +179747,7 @@
 	.A1(n_2803),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [1]),
 	.B1(n_2225),
-	.B2(n_2019),
+	.B2(FE_OFN1731_n_2019),
 	.X(n_5101), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183183,9 +179766,9 @@
    sky130_fd_sc_hd__a222oi_1 g439218 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
 	.A2(n_2246),
-	.B1(FE_OFN920_n_2242),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
 	.Y(n_5099), 
 	.VPWR(vccd1), 
@@ -183195,7 +179778,7 @@
    sky130_fd_sc_hd__a222oi_1 g439219 (
 	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[10]),
 	.A2(n_1410),
-	.B1(FE_OFN1608_n_2244),
+	.B1(FE_OFN1747_n_2244),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
 	.C1(n_1749),
 	.C2(u_soc_u_top_u_core_csr_mtvec[10]),
@@ -183206,8 +179789,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g439220 (
 	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[9]),
-	.A2(FE_OFN1605_n_1513),
-	.B1(FE_OFN1608_n_2244),
+	.A2(FE_OFN1729_n_1513),
+	.B1(FE_OFN1747_n_2244),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
 	.C1(n_1749),
 	.C2(u_soc_u_top_u_core_csr_mtvec[9]),
@@ -183219,9 +179802,9 @@
    sky130_fd_sc_hd__a222oi_1 g439221 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
 	.A2(n_2246),
-	.B1(FE_OFN920_n_2242),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
 	.Y(n_5096), 
 	.VPWR(vccd1), 
@@ -183230,10 +179813,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g439222 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
-	.A2(n_1233),
-	.B1(FE_OFN920_n_2242),
+	.A2(FE_OFN1727_n_1233),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
-	.C1(FE_OFN882_n_1214),
+	.C1(FE_OFN1085_n_1214),
 	.C2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[8]),
 	.Y(n_5095), 
 	.VPWR(vccd1), 
@@ -183242,8 +179825,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g439223 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
-	.A2(n_1233),
-	.B1(FE_OFN1608_n_2244),
+	.A2(FE_OFN1727_n_1233),
+	.B1(FE_OFN1747_n_2244),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
 	.C1(n_1409),
 	.C2(u_soc_u_top_u_core_cs_registers_i_mtval_q[6]),
@@ -183255,9 +179838,9 @@
    sky130_fd_sc_hd__a222oi_1 g439225 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
 	.A2(n_2246),
-	.B1(FE_OFN1606_n_1516),
+	.B1(FE_OFN1730_n_1516),
 	.B2(u_soc_u_top_u_core_csr_depc[16]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
 	.Y(n_5092), 
 	.VPWR(vccd1), 
@@ -183266,10 +179849,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g439226 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
-	.A2(FE_OFN1607_n_2241),
-	.B1(FE_OFN920_n_2242),
+	.A2(FE_OFN1746_n_2241),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
-	.C1(FE_OFN1608_n_2244),
+	.C1(FE_OFN1747_n_2244),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
 	.Y(n_5091), 
 	.VPWR(vccd1), 
@@ -183279,9 +179862,9 @@
    sky130_fd_sc_hd__a222oi_1 g439227 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
 	.A2(n_2246),
-	.B1(FE_OFN1608_n_2244),
+	.B1(FE_OFN1747_n_2244),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
 	.Y(n_5090), 
 	.VPWR(vccd1), 
@@ -183290,10 +179873,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g439228 (
 	.A1(u_soc_u_top_u_core_cs_registers_i_mscratch_q[1]),
-	.A2(FE_OFN882_n_1214),
-	.B1(FE_OFN920_n_2242),
+	.A2(FE_OFN1085_n_1214),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
 	.Y(n_5089), 
 	.VPWR(vccd1), 
@@ -183302,10 +179885,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g439229 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
-	.A2(FE_OFN921_n_2243),
+	.A2(FE_OFN1113_n_2243),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[11]),
-	.C1(FE_OFN920_n_2242),
+	.C1(FE_OFN1112_n_2242),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
 	.Y(n_5088), 
 	.VPWR(vccd1), 
@@ -183315,9 +179898,9 @@
    sky130_fd_sc_hd__a222oi_1 g439230 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
 	.A2(n_2246),
-	.B1(FE_OFN920_n_2242),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
 	.Y(n_5087), 
 	.VPWR(vccd1), 
@@ -183327,9 +179910,9 @@
    sky130_fd_sc_hd__a222oi_1 g439231 (
 	.A1(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
 	.A2(n_2246),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[5]),
-	.C1(FE_OFN1608_n_2244),
+	.C1(FE_OFN1747_n_2244),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
 	.Y(n_5086), 
 	.VPWR(vccd1), 
@@ -183348,7 +179931,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439233 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [4]),
 	.A2_N(n_2789),
-	.B1(FE_OFN904_n_2222),
+	.B1(n_2222),
 	.B2(n_2125),
 	.Y(n_5084), 
 	.VPWR(vccd1), 
@@ -183359,7 +179942,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [3]),
 	.A2_N(n_2789),
 	.B1(n_2222),
-	.B2(FE_OFN1433_n_2097),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_5083), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183397,7 +179980,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439238 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [2]),
 	.A2_N(n_2789),
-	.B1(FE_OFN904_n_2222),
+	.B1(n_2222),
 	.B2(n_2117),
 	.Y(n_5079), 
 	.VPWR(vccd1), 
@@ -183408,7 +179991,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [1]),
 	.A2_N(n_2789),
 	.B1(n_2222),
-	.B2(n_2116),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_5077), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183507,7 +180090,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439250 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [8]),
 	.A2_N(n_2721),
-	.B1(FE_OFN905_n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2113),
 	.Y(n_5067), 
 	.VPWR(vccd1), 
@@ -183517,8 +180100,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439251 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [7]),
 	.A2_N(n_2721),
-	.B1(FE_OFN905_n_2223),
-	.B2(n_2077),
+	.B1(FE_OFN1104_n_2223),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_5066), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183527,8 +180110,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439252 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [6]),
 	.A2_N(n_2721),
-	.B1(FE_OFN905_n_2223),
-	.B2(FE_OFN1442_n_2122),
+	.B1(FE_OFN1104_n_2223),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_5065), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183537,7 +180120,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439253 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [5]),
 	.A2_N(n_2721),
-	.B1(FE_OFN905_n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2013),
 	.Y(n_5064), 
 	.VPWR(vccd1), 
@@ -183557,7 +180140,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439255 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [4]),
 	.A2_N(n_2721),
-	.B1(FE_OFN905_n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2125),
 	.Y(n_5062), 
 	.VPWR(vccd1), 
@@ -183567,8 +180150,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439256 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [3]),
 	.A2_N(n_2721),
-	.B1(FE_OFN905_n_2223),
-	.B2(FE_OFN1433_n_2097),
+	.B1(FE_OFN1104_n_2223),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_5061), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183577,7 +180160,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439257 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [2]),
 	.A2_N(n_2721),
-	.B1(FE_OFN905_n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2117),
 	.Y(n_5060), 
 	.VPWR(vccd1), 
@@ -183587,8 +180170,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439258 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[61] [1]),
 	.A2_N(n_2721),
-	.B1(FE_OFN905_n_2223),
-	.B2(n_2116),
+	.B1(FE_OFN1104_n_2223),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_5059), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183597,7 +180180,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439259 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [8]),
 	.A2_N(n_2847),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2113),
 	.Y(n_5058), 
 	.VPWR(vccd1), 
@@ -183607,7 +180190,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439260 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [7]),
 	.A2_N(n_2847),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2077),
 	.Y(n_5057), 
 	.VPWR(vccd1), 
@@ -183617,7 +180200,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439261 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [6]),
 	.A2_N(n_2847),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2122),
 	.Y(n_5056), 
 	.VPWR(vccd1), 
@@ -183627,7 +180210,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439262 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [5]),
 	.A2_N(n_2847),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2013),
 	.Y(n_5055), 
 	.VPWR(vccd1), 
@@ -183637,7 +180220,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439263 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [4]),
 	.A2_N(n_2847),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2125),
 	.Y(n_5054), 
 	.VPWR(vccd1), 
@@ -183647,7 +180230,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439264 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [3]),
 	.A2_N(n_2847),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2097),
 	.Y(n_5053), 
 	.VPWR(vccd1), 
@@ -183657,7 +180240,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439265 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [2]),
 	.A2_N(n_2847),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2117),
 	.Y(n_5052), 
 	.VPWR(vccd1), 
@@ -183667,7 +180250,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439266 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[60] [1]),
 	.A2_N(n_2847),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2116),
 	.Y(n_5051), 
 	.VPWR(vccd1), 
@@ -183677,8 +180260,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439267 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [8]),
 	.A2_N(n_2695),
-	.B1(FE_OFN904_n_2222),
-	.B2(n_2128),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_5050), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183687,8 +180270,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439268 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [7]),
 	.A2_N(n_2695),
-	.B1(FE_OFN904_n_2222),
-	.B2(n_2079),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_5049), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183697,8 +180280,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439269 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [4]),
 	.A2_N(n_2695),
-	.B1(FE_OFN904_n_2222),
-	.B2(n_2104),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_5048), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183707,8 +180290,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439270 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [3]),
 	.A2_N(n_2695),
-	.B1(FE_OFN904_n_2222),
-	.B2(n_2100),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_5047), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183717,7 +180300,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439271 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [2]),
 	.A2_N(n_2695),
-	.B1(FE_OFN904_n_2222),
+	.B1(FE_OFN1103_n_2222),
 	.B2(n_2083),
 	.Y(n_5046), 
 	.VPWR(vccd1), 
@@ -183727,8 +180310,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439272 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [1]),
 	.A2_N(n_2695),
-	.B1(FE_OFN904_n_2222),
-	.B2(n_2110),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_5045), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183738,7 +180321,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [8]),
 	.A2_N(n_2693),
 	.B1(n_1998),
-	.B2(n_2128),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_5044), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183747,8 +180330,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439274 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [7]),
 	.A2_N(n_2693),
-	.B1(n_1998),
-	.B2(FE_OFN1427_n_2079),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_5043), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183757,7 +180340,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439275 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [6]),
 	.A2_N(n_2693),
-	.B1(n_1998),
+	.B1(FE_OFN1097_n_1998),
 	.B2(n_2085),
 	.Y(n_5042), 
 	.VPWR(vccd1), 
@@ -183768,7 +180351,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [5]),
 	.A2_N(n_2693),
 	.B1(n_1998),
-	.B2(FE_OFN1434_n_2099),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_5041), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183778,7 +180361,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [4]),
 	.A2_N(n_2693),
 	.B1(n_1998),
-	.B2(FE_OFN1437_n_2104),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_5040), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183787,8 +180370,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439278 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [3]),
 	.A2_N(n_2693),
-	.B1(n_1998),
-	.B2(FE_OFN1435_n_2100),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_5039), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183797,8 +180380,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439279 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [2]),
 	.A2_N(n_2693),
-	.B1(n_1998),
-	.B2(FE_OFN1428_n_2083),
+	.B1(FE_OFN1097_n_1998),
+	.B2(n_2083),
 	.Y(n_5038), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183807,8 +180390,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439280 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [1]),
 	.A2_N(n_2448),
-	.B1(n_2106),
-	.B2(FE_OFN902_n_2219),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_5037), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183817,7 +180400,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439281 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [3]),
 	.A2_N(n_2733),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2054),
 	.Y(n_5036), 
 	.VPWR(vccd1), 
@@ -183827,8 +180410,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439282 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [7]),
 	.A2_N(n_2746),
-	.B1(n_2081),
-	.B2(n_2224),
+	.B1(FE_OFN1471_n_2081),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_5035), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183837,8 +180420,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439283 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [2]),
 	.A2_N(n_2470),
-	.B1(FE_OFN1440_n_2112),
-	.B2(FE_OFN896_n_2215),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1100_n_2215),
 	.Y(n_5034), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183847,8 +180430,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439284 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[70] [5]),
 	.A2_N(n_2746),
-	.B1(FE_OFN1436_n_2102),
-	.B2(n_2224),
+	.B1(FE_OFN1479_n_2102),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_5033), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183857,7 +180440,7 @@
    sky130_fd_sc_hd__a22o_1 g439285 (
 	.A1(n_2444),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [8]),
-	.B1(FE_OFN1409_n_2039),
+	.B1(n_2039),
 	.B2(n_2005),
 	.X(n_5032), 
 	.VPWR(vccd1), 
@@ -183867,8 +180450,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439286 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [3]),
 	.A2_N(n_2519),
-	.B1(n_2238),
-	.B2(FE_OFN1433_n_2097),
+	.B1(FE_OFN1506_n_2238),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_5031), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183877,7 +180460,7 @@
    sky130_fd_sc_hd__a22o_1 g439287 (
 	.A1(n_2444),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[139] [7]),
-	.B1(FE_OFN1412_n_2045),
+	.B1(n_2045),
 	.B2(n_2005),
 	.X(n_5030), 
 	.VPWR(vccd1), 
@@ -183888,7 +180471,7 @@
 	.A1(n_2526),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [7]),
 	.B1(n_2805),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_5029), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183897,8 +180480,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439289 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [2]),
 	.A2_N(n_2506),
-	.B1(FE_OFN899_n_2217),
-	.B2(n_2083),
+	.B1(n_2217),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_5028), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183908,7 +180491,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [8]),
 	.A2_N(n_2430),
 	.B1(n_2223),
-	.B2(n_2128),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_5027), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183917,7 +180500,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439291 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [6]),
 	.A2_N(n_2698),
-	.B1(FE_OFN906_n_2224),
+	.B1(n_2224),
 	.B2(n_2124),
 	.Y(n_5026), 
 	.VPWR(vccd1), 
@@ -183927,8 +180510,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439292 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [5]),
 	.A2_N(n_2761),
-	.B1(FE_OFN889_n_1994),
-	.B2(n_2120),
+	.B1(n_1994),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_5025), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183937,8 +180520,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439293 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[68] [8]),
 	.A2_N(n_2816),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN901_n_2218),
+	.B1(n_2134),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_5024), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183947,8 +180530,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439294 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [2]),
 	.A2_N(n_2477),
-	.B1(FE_OFN1416_n_2057),
-	.B2(FE_OFN904_n_2222),
+	.B1(FE_OFN1460_n_2057),
+	.B2(n_2222),
 	.Y(n_5023), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183958,7 +180541,7 @@
 	.A1(n_2526),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [4]),
 	.B1(n_2805),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_5022), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183968,7 +180551,7 @@
 	.A1(n_2526),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [2]),
 	.B1(n_2805),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_5021), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183988,7 +180571,7 @@
 	.A1(n_2526),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [1]),
 	.B1(n_2805),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_5019), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -183997,7 +180580,7 @@
    sky130_fd_sc_hd__a22oi_1 g439299 (
 	.A1(n_2009),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
-	.B1(n_2534),
+	.B1(FE_OFN18991_n_2534),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [2]),
 	.Y(n_5018), 
 	.VPWR(vccd1), 
@@ -184007,8 +180590,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439300 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [4]),
 	.A2_N(n_2761),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1431_n_2093),
+	.B1(n_1994),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_5017), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184028,7 +180611,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [1]),
 	.A2_N(n_2850),
 	.B1(n_2230),
-	.B2(FE_OFN1439_n_2110),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_5015), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184037,7 +180620,7 @@
    sky130_fd_sc_hd__a22o_1 g439303 (
 	.A1(n_2882),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [8]),
-	.B1(FE_OFN1409_n_2039),
+	.B1(n_2039),
 	.B2(n_1993),
 	.X(n_5014), 
 	.VPWR(vccd1), 
@@ -184048,7 +180631,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [7]),
 	.A2_N(n_2734),
 	.B1(n_2012),
-	.B2(n_2069),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_5013), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184058,7 +180641,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [6]),
 	.A2_N(n_2873),
 	.B1(n_2220),
-	.B2(FE_OFN1442_n_2122),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_5012), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184067,8 +180650,8 @@
    sky130_fd_sc_hd__a22o_1 g439306 (
 	.A1(n_2740),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [8]),
-	.B1(FE_OFN915_n_2235),
-	.B2(n_2021),
+	.B1(FE_OFN1110_n_2235),
+	.B2(FE_OFN1732_n_2021),
 	.X(n_5011), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184077,8 +180660,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439307 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [5]),
 	.A2_N(n_2447),
-	.B1(FE_OFN909_n_2229),
-	.B2(n_2071),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_5010), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184087,8 +180670,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439308 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [3]),
 	.A2_N(n_2447),
-	.B1(FE_OFN909_n_2229),
-	.B2(FE_OFN1407_n_2017),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_5009), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184097,8 +180680,8 @@
    sky130_fd_sc_hd__a22o_1 g439309 (
 	.A1(n_2740),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [6]),
-	.B1(FE_OFN915_n_2235),
-	.B2(n_2027),
+	.B1(FE_OFN1110_n_2235),
+	.B2(FE_OFN1735_n_2027),
 	.X(n_5008), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184107,8 +180690,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439310 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [2]),
 	.A2_N(n_2447),
-	.B1(FE_OFN909_n_2229),
-	.B2(n_2037),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_5007), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184117,8 +180700,8 @@
    sky130_fd_sc_hd__a22o_1 g439311 (
 	.A1(n_2740),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [4]),
-	.B1(FE_OFN915_n_2235),
-	.B2(n_2031),
+	.B1(FE_OFN1110_n_2235),
+	.B2(FE_OFN1737_n_2031),
 	.X(n_5006), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184129,7 +180712,7 @@
 	.A2(n_1432),
 	.A3(\u_soc_xbar_to_dccm[a_data] [0]),
 	.B1(n_2373),
-	.B2(FE_OFN1145_u_soc_u_uart_u_uart_core_tx_fifo_clear),
+	.B2(u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.X(n_5005), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184139,7 +180722,7 @@
 	.A1(n_2439),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [4]),
 	.B1(n_2503),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(u_soc_u_uart_u_uart_core_rx[3]),
 	.X(n_5004), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184149,7 +180732,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [3]),
 	.A2_N(n_2873),
 	.B1(n_2220),
-	.B2(FE_OFN1433_n_2097),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_5003), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184158,7 +180741,7 @@
    sky130_fd_sc_hd__a22o_1 g439315 (
 	.A1(n_2882),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [2]),
-	.B1(FE_OFN18842_n_2041),
+	.B1(n_2041),
 	.B2(n_1993),
 	.X(n_5002), 
 	.VPWR(vccd1), 
@@ -184168,8 +180751,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439316 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [8]),
 	.A2_N(n_2477),
-	.B1(FE_OFN1419_n_2065),
-	.B2(FE_OFN904_n_2222),
+	.B1(FE_OFN1464_n_2065),
+	.B2(n_2222),
 	.Y(n_5001), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184178,7 +180761,7 @@
    sky130_fd_sc_hd__a22o_1 g439317 (
 	.A1(n_2718),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [5]),
-	.B1(n_2049),
+	.B1(FE_OFN1740_n_2049),
 	.B2(n_2232),
 	.X(n_5000), 
 	.VPWR(vccd1), 
@@ -184189,7 +180772,7 @@
 	.A1(n_2726),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [5]),
 	.B1(n_2794),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN863_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_4999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184198,7 +180781,7 @@
    sky130_fd_sc_hd__a22o_1 g439319 (
 	.A1(n_2718),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [3]),
-	.B1(FE_OFN19413_n_2053),
+	.B1(n_2053),
 	.B2(n_2232),
 	.X(n_4998), 
 	.VPWR(vccd1), 
@@ -184208,8 +180791,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439320 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [6]),
 	.A2_N(n_2477),
-	.B1(FE_OFN1418_n_2063),
-	.B2(FE_OFN904_n_2222),
+	.B1(FE_OFN1463_n_2063),
+	.B2(n_2222),
 	.Y(n_4997), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184218,7 +180801,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439321 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [8]),
 	.A2_N(n_2521),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2038),
 	.Y(n_4996), 
 	.VPWR(vccd1), 
@@ -184228,7 +180811,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439322 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [6]),
 	.A2_N(n_2808),
-	.B1(FE_OFN912_n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2046),
 	.Y(n_4995), 
 	.VPWR(vccd1), 
@@ -184238,8 +180821,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439323 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [7]),
 	.A2_N(n_2427),
-	.B1(FE_OFN912_n_2231),
-	.B2(n_2095),
+	.B1(FE_OFN1108_n_2231),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_4994), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184249,7 +180832,7 @@
 	.A1(n_2758),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [8]),
 	.B1(n_2127),
-	.B2(FE_OFN918_n_2240),
+	.B2(n_2240),
 	.X(n_4993), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184258,7 +180841,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439325 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [6]),
 	.A2_N(n_2521),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2046),
 	.Y(n_4992), 
 	.VPWR(vccd1), 
@@ -184269,7 +180852,7 @@
 	.A1(n_2799),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [7]),
 	.B1(n_2768),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
 	.X(n_4991), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184279,7 +180862,7 @@
 	.A1(n_2492),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [5]),
 	.B1(n_2529),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN863_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_4990), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184288,7 +180871,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439328 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [2]),
 	.A2_N(n_2733),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2057),
 	.Y(n_4989), 
 	.VPWR(vccd1), 
@@ -184298,7 +180881,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439329 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [4]),
 	.A2_N(n_2788),
-	.B1(FE_OFN906_n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2125),
 	.Y(n_4988), 
 	.VPWR(vccd1), 
@@ -184308,7 +180891,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439330 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [4]),
 	.A2_N(n_2856),
-	.B1(n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2125),
 	.Y(n_4987), 
 	.VPWR(vccd1), 
@@ -184318,7 +180901,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439331 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [5]),
 	.A2_N(n_2519),
-	.B1(n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2013),
 	.Y(n_4986), 
 	.VPWR(vccd1), 
@@ -184328,7 +180911,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439332 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [5]),
 	.A2_N(n_2771),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2013),
 	.Y(n_4985), 
 	.VPWR(vccd1), 
@@ -184338,8 +180921,8 @@
    sky130_fd_sc_hd__a22o_1 g439333 (
 	.A1(n_2741),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [8]),
-	.B1(FE_OFN1409_n_2039),
-	.B2(FE_OFN915_n_2235),
+	.B1(n_2039),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_4984), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184348,8 +180931,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439334 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[66] [7]),
 	.A2_N(n_2745),
-	.B1(n_2224),
-	.B2(FE_OFN1432_n_2095),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_4983), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184358,8 +180941,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439335 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [7]),
 	.A2_N(n_2785),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1427_n_2079),
+	.B1(n_1994),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_4982), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184368,8 +180951,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439336 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[75] [2]),
 	.A2_N(n_2449),
-	.B1(FE_OFN902_n_2219),
-	.B2(FE_OFN1408_n_2037),
+	.B1(FE_OFN1101_n_2219),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_4981), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184379,7 +180962,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [8]),
 	.A2_N(n_2801),
 	.B1(n_1996),
-	.B2(n_2091),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_4980), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184388,7 +180971,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439338 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [3]),
 	.A2_N(n_2771),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2097),
 	.Y(n_4979), 
 	.VPWR(vccd1), 
@@ -184399,7 +180982,7 @@
 	.A1(n_2796),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [8]),
 	.B1(n_2791),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_4978), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184408,7 +180991,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439340 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [3]),
 	.A2_N(n_2835),
-	.B1(FE_OFN916_n_2237),
+	.B1(n_2237),
 	.B2(n_2100),
 	.Y(n_4977), 
 	.VPWR(vccd1), 
@@ -184428,8 +181011,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439342 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [2]),
 	.A2_N(n_2835),
-	.B1(FE_OFN916_n_2237),
-	.B2(FE_OFN1428_n_2083),
+	.B1(n_2237),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_4975), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184438,8 +181021,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439343 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [4]),
 	.A2_N(n_2497),
-	.B1(FE_OFN911_n_2231),
-	.B2(FE_OFN1437_n_2104),
+	.B1(n_2231),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4974), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184478,8 +181061,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439347 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [1]),
 	.A2_N(n_2788),
-	.B1(FE_OFN906_n_2224),
-	.B2(n_2116),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_4970), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184489,7 +181072,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [1]),
 	.A2_N(n_2524),
 	.B1(n_2018),
-	.B2(n_2007),
+	.B2(FE_OFN1455_n_2007),
 	.Y(n_4969), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184498,7 +181081,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439349 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [8]),
 	.A2_N(n_2736),
-	.B1(FE_OFN897_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2113),
 	.Y(n_4968), 
 	.VPWR(vccd1), 
@@ -184509,7 +181092,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [7]),
 	.A2_N(n_2864),
 	.B1(n_2024),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4967), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184528,7 +181111,7 @@
    sky130_fd_sc_hd__a22o_1 g439352 (
 	.A1(n_2843),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [1]),
-	.B1(FE_OFN19728_n_2043),
+	.B1(n_2043),
 	.B2(n_2228),
 	.X(n_4965), 
 	.VPWR(vccd1), 
@@ -184538,7 +181121,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439353 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [6]),
 	.A2_N(n_2872),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2085),
 	.Y(n_4964), 
 	.VPWR(vccd1), 
@@ -184548,7 +181131,7 @@
    sky130_fd_sc_hd__a22o_1 g439354 (
 	.A1(n_2807),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [8]),
-	.B1(FE_OFN1409_n_2039),
+	.B1(n_2039),
 	.B2(n_2214),
 	.X(n_4963), 
 	.VPWR(vccd1), 
@@ -184558,7 +181141,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439355 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [8]),
 	.A2_N(n_2808),
-	.B1(FE_OFN912_n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2038),
 	.Y(n_4962), 
 	.VPWR(vccd1), 
@@ -184568,8 +181151,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439356 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[71] [8]),
 	.A2_N(n_2424),
-	.B1(FE_OFN1446_n_2134),
-	.B2(n_2220),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_4961), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184579,7 +181162,7 @@
 	.A1(n_2492),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [3]),
 	.B1(n_2529),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN869_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_4960), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184588,8 +181171,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439358 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [6]),
 	.A2_N(n_2490),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1098_n_2004),
 	.Y(n_4959), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184598,7 +181181,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439359 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [1]),
 	.A2_N(n_2875),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2116),
 	.Y(n_4958), 
 	.VPWR(vccd1), 
@@ -184609,7 +181192,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [7]),
 	.A2_N(n_2515),
 	.B1(n_2219),
-	.B2(n_2079),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_4957), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184618,8 +181201,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439361 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [1]),
 	.A2_N(n_2709),
-	.B1(n_2006),
-	.B2(n_2110),
+	.B1(FE_OFN1099_n_2006),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4956), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184629,7 +181212,7 @@
 	.A1(n_2716),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [4]),
 	.B1(n_2485),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_4955), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184639,7 +181222,7 @@
 	.A1(n_2472),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [8]),
 	.B1(n_2792),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_4954), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184649,7 +181232,7 @@
 	.A1(n_2759),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [7]),
 	.B1(n_2094),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_4953), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184659,7 +181242,7 @@
 	.A1(n_2759),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [1]),
 	.B1(n_2129),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_4952), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184669,7 +181252,7 @@
 	.A1(n_2456),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [5]),
 	.B1(n_2435),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_4951), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184678,7 +181261,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439367 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [1]),
 	.A2_N(n_2442),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2059),
 	.Y(n_4950), 
 	.VPWR(vccd1), 
@@ -184689,7 +181272,7 @@
 	.A1(n_2472),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [6]),
 	.B1(n_2792),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_4949), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184699,7 +181282,7 @@
 	.A1(n_2468),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [2]),
 	.B1(n_2502),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN872_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_4948), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184708,7 +181291,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439370 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [7]),
 	.A2_N(n_2442),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2069),
 	.Y(n_4947), 
 	.VPWR(vccd1), 
@@ -184719,7 +181302,7 @@
 	.A1(n_2760),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [4]),
 	.B1(n_2126),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_4946), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184729,7 +181312,7 @@
 	.A1(n_2715),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [2]),
 	.B1(n_2445),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_4945), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184739,7 +181322,7 @@
 	.A1(n_2522),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [2]),
 	.B1(n_2841),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_4944), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184749,7 +181332,7 @@
 	.A1(n_2443),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[143] [2]),
 	.B1(n_2005),
-	.B2(n_2033),
+	.B2(FE_OFN1738_n_2033),
 	.X(n_4943), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184759,7 +181342,7 @@
 	.A1(n_2742),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [6]),
 	.B1(n_2484),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_4942), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184768,8 +181351,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439376 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [2]),
 	.A2_N(n_2755),
-	.B1(FE_OFN907_n_2226),
-	.B2(n_2037),
+	.B1(FE_OFN1503_n_2226),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_4941), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184778,7 +181361,7 @@
    sky130_fd_sc_hd__a22o_1 g439377 (
 	.A1(n_2461),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [1]),
-	.B1(FE_OFN915_n_2235),
+	.B1(FE_OFN1110_n_2235),
 	.B2(n_2105),
 	.X(n_4940), 
 	.VPWR(vccd1), 
@@ -184788,8 +181371,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439378 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [4]),
 	.A2_N(n_2766),
-	.B1(FE_OFN893_n_2007),
-	.B2(FE_OFN1437_n_2104),
+	.B1(n_2007),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4939), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184799,7 +181382,7 @@
 	.A1(n_2492),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [8]),
 	.B1(n_2529),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_4938), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184809,7 +181392,7 @@
 	.A1(n_2464),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [5]),
 	.B1(n_2014),
-	.B2(FE_OFN914_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_4937), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184819,7 +181402,7 @@
 	.A1(n_2735),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [1]),
 	.B1(n_2532),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_4936), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184829,7 +181412,7 @@
 	.A1(n_2744),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [4]),
 	.B1(n_2824),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN866_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_4935), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184839,7 +181422,7 @@
 	.A1(n_2744),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [6]),
 	.B1(n_2824),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_4934), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184849,7 +181432,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [4]),
 	.A2_N(n_2784),
 	.B1(n_2226),
-	.B2(FE_OFN1431_n_2093),
+	.B2(n_2093),
 	.Y(n_4933), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184859,7 +181442,7 @@
 	.A1(n_2777),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [4]),
 	.B1(n_2772),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_4932), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184869,7 +181452,7 @@
 	.A1(n_2735),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [2]),
 	.B1(n_2532),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_4931), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184879,7 +181462,7 @@
 	.A1(n_2455),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [3]),
 	.B1(n_2838),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN869_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_4930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184889,7 +181472,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [6]),
 	.A2_N(n_2474),
 	.B1(n_2007),
-	.B2(FE_OFN1442_n_2122),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_4929), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184899,7 +181482,7 @@
 	.A1(n_2796),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [6]),
 	.B1(n_2791),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_4928), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184909,7 +181492,7 @@
 	.A1(n_2777),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [2]),
 	.B1(n_2772),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_4927), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184919,7 +181502,7 @@
 	.A1(n_2742),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [5]),
 	.B1(n_2484),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_4926), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184929,7 +181512,7 @@
 	.A1(n_2441),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [7]),
 	.B1(n_2531),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
 	.X(n_4925), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184949,7 +181532,7 @@
 	.A1(n_2472),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [7]),
 	.B1(n_2792),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_4923), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184959,7 +181542,7 @@
 	.A1(n_2476),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [2]),
 	.B1(n_2423),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_4922), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184979,7 +181562,7 @@
 	.A1(n_2799),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [3]),
 	.B1(n_2768),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_4920), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184989,7 +181572,7 @@
 	.A1(n_2441),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [8]),
 	.B1(n_2531),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_4919), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -184999,7 +181582,7 @@
 	.A1(n_2758),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [1]),
 	.B1(n_2109),
-	.B2(FE_OFN918_n_2240),
+	.B2(n_2240),
 	.X(n_4918), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185009,7 +181592,7 @@
 	.A1(n_2441),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [6]),
 	.B1(n_2531),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_4917), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185019,7 +181602,7 @@
 	.A1(n_2496),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [3]),
 	.B1(n_2520),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_4916), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185029,7 +181612,7 @@
 	.A1(n_2441),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [5]),
 	.B1(n_2531),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(u_soc_u_uart_u_uart_core_rx[4]),
 	.X(n_4915), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185049,7 +181632,7 @@
 	.A1(n_2441),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [4]),
 	.B1(n_2531),
-	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(u_soc_u_uart_u_uart_core_rx[3]),
 	.X(n_4913), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185058,8 +181641,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439405 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [2]),
 	.A2_N(n_2490),
-	.B1(FE_OFN1440_n_2112),
-	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1098_n_2004),
 	.Y(n_4912), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185068,8 +181651,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439406 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [3]),
 	.A2_N(n_2490),
-	.B1(n_2108),
-	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1098_n_2004),
 	.Y(n_4911), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185089,7 +181672,7 @@
 	.A1(n_2441),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [2]),
 	.B1(n_2531),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
 	.X(n_4909), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185099,7 +181682,7 @@
 	.A1(n_2742),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [8]),
 	.B1(n_2484),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_4908), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185109,7 +181692,7 @@
 	.A1(n_2777),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [6]),
 	.B1(n_2772),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_4907), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185119,7 +181702,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [1]),
 	.A2_N(n_2474),
 	.B1(n_2007),
-	.B2(n_2116),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_4906), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185129,7 +181712,7 @@
 	.A1(n_2826),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [8]),
 	.B1(n_2509),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_4905), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185148,8 +181731,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439414 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [4]),
 	.A2_N(n_2709),
-	.B1(n_2006),
-	.B2(n_2104),
+	.B1(FE_OFN1099_n_2006),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4903), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185158,7 +181741,7 @@
    sky130_fd_sc_hd__a22o_1 g439415 (
 	.A1(n_2461),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [6]),
-	.B1(FE_OFN915_n_2235),
+	.B1(FE_OFN1110_n_2235),
 	.B2(n_2015),
 	.X(n_4902), 
 	.VPWR(vccd1), 
@@ -185179,7 +181762,7 @@
 	.A1(n_2826),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [4]),
 	.B1(n_2509),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_4900), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185209,7 +181792,7 @@
 	.A1(n_2725),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [1]),
 	.B1(n_2823),
-	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_4897), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185219,7 +181802,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [1]),
 	.A2_N(n_2849),
 	.B1(n_2018),
-	.B2(FE_OFN905_n_2223),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4896), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185228,7 +181811,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439422 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [7]),
 	.A2_N(n_2848),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2044),
 	.Y(n_4895), 
 	.VPWR(vccd1), 
@@ -185238,8 +181821,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439423 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [6]),
 	.A2_N(n_2470),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN896_n_2215),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1100_n_2215),
 	.Y(n_4894), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185248,7 +181831,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439424 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [5]),
 	.A2_N(n_2848),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2048),
 	.Y(n_4893), 
 	.VPWR(vccd1), 
@@ -185258,7 +181841,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439425 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [5]),
 	.A2_N(n_2834),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2066),
 	.Y(n_4892), 
 	.VPWR(vccd1), 
@@ -185269,7 +181852,7 @@
 	.A1(n_2866),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [2]),
 	.B1(n_1997),
-	.B2(n_2033),
+	.B2(FE_OFN1738_n_2033),
 	.X(n_4891), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185288,8 +181871,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439428 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [8]),
 	.A2_N(n_2834),
-	.B1(FE_OFN910_n_2230),
-	.B2(n_2065),
+	.B1(FE_OFN1504_n_2230),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_4889), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185298,7 +181881,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439429 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [1]),
 	.A2_N(n_2848),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2042),
 	.Y(n_4888), 
 	.VPWR(vccd1), 
@@ -185308,8 +181891,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439430 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[76] [8]),
 	.A2_N(n_2863),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN910_n_2230),
+	.B1(n_2134),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_4887), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185318,7 +181901,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439431 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [7]),
 	.A2_N(n_2834),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2069),
 	.Y(n_4886), 
 	.VPWR(vccd1), 
@@ -185329,7 +181912,7 @@
 	.A1(n_2464),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [6]),
 	.B1(n_2121),
-	.B2(FE_OFN914_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_4885), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185349,7 +181932,7 @@
 	.A1(n_2518),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [7]),
 	.B1(n_2459),
-	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
 	.X(n_4883), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185358,7 +181941,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439435 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [1]),
 	.A2_N(n_2784),
-	.B1(FE_OFN907_n_2226),
+	.B1(FE_OFN1503_n_2226),
 	.B2(n_2087),
 	.Y(n_4882), 
 	.VPWR(vccd1), 
@@ -185368,8 +181951,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439436 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [2]),
 	.A2_N(n_2872),
-	.B1(FE_OFN908_n_2227),
-	.B2(FE_OFN1428_n_2083),
+	.B1(n_2227),
+	.B2(n_2083),
 	.Y(n_4881), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185378,8 +181961,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439437 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [3]),
 	.A2_N(n_2872),
-	.B1(FE_OFN908_n_2227),
-	.B2(FE_OFN1435_n_2100),
+	.B1(n_2227),
+	.B2(n_2100),
 	.Y(n_4880), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185388,7 +181971,7 @@
    sky130_fd_sc_hd__a22o_1 g439438 (
 	.A1(n_2807),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [2]),
-	.B1(FE_OFN18842_n_2041),
+	.B1(n_2041),
 	.B2(n_2214),
 	.X(n_4879), 
 	.VPWR(vccd1), 
@@ -185399,7 +181982,7 @@
 	.A1(n_2829),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [8]),
 	.B1(n_2787),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_4878), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185408,8 +181991,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439440 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [1]),
 	.A2_N(n_2766),
-	.B1(FE_OFN893_n_2007),
-	.B2(n_2110),
+	.B1(n_2007),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4877), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185419,7 +182002,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [7]),
 	.A2_N(n_2491),
 	.B1(n_2006),
-	.B2(FE_OFN1432_n_2095),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_4876), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185428,8 +182011,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439442 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [3]),
 	.A2_N(n_2859),
-	.B1(FE_OFN894_n_2011),
-	.B2(n_2073),
+	.B1(n_2011),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_4875), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185438,8 +182021,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439443 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [2]),
 	.A2_N(n_2505),
-	.B1(FE_OFN893_n_2007),
-	.B2(FE_OFN1408_n_2037),
+	.B1(FE_OFN1455_n_2007),
+	.B2(n_2037),
 	.Y(n_4874), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185449,7 +182032,7 @@
 	.A1(n_2468),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [7]),
 	.B1(n_2502),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_4873), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185459,7 +182042,7 @@
 	.A1(n_2472),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [5]),
 	.B1(n_2792),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN863_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_4872), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185469,7 +182052,7 @@
 	.A1(n_2743),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [8]),
 	.B1(n_2790),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_4871), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185489,7 +182072,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [4]),
 	.A2_N(n_2775),
 	.B1(n_2137),
-	.B2(FE_OFN1431_n_2093),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_4869), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185499,7 +182082,7 @@
 	.A1(n_2708),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [1]),
 	.B1(n_2109),
-	.B2(FE_OFN914_n_2235),
+	.B2(n_2235),
 	.X(n_4868), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185509,7 +182092,7 @@
 	.A1(n_2504),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [6]),
 	.B1(n_2450),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_4867), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185519,7 +182102,7 @@
 	.A1(n_2496),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [8]),
 	.B1(n_2520),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_4866), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185529,7 +182112,7 @@
 	.A1(n_2685),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [6]),
 	.B1(n_2493),
-	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_4865), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185539,7 +182122,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [1]),
 	.A2_N(n_2753),
 	.B1(n_2106),
-	.B2(FE_OFN907_n_2226),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_4864), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185559,7 +182142,7 @@
 	.A1(n_2504),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [2]),
 	.B1(n_2450),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_4862), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185568,8 +182151,8 @@
    sky130_fd_sc_hd__a22o_1 g439456 (
 	.A1(n_2818),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [6]),
-	.B1(FE_OFN919_n_2240),
-	.B2(n_2027),
+	.B1(FE_OFN1111_n_2240),
+	.B2(FE_OFN1735_n_2027),
 	.X(n_4861), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185578,7 +182161,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439457 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [8]),
 	.A2_N(n_2519),
-	.B1(n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2113),
 	.Y(n_4860), 
 	.VPWR(vccd1), 
@@ -185588,7 +182171,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439458 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [8]),
 	.A2_N(n_2483),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2038),
 	.Y(n_4859), 
 	.VPWR(vccd1), 
@@ -185598,8 +182181,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439459 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [1]),
 	.A2_N(n_2737),
-	.B1(FE_OFN892_n_2004),
-	.B2(n_2110),
+	.B1(n_2004),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4858), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185609,7 +182192,7 @@
 	.A1(n_2729),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [6]),
 	.B1(n_2460),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_4857), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185619,7 +182202,7 @@
 	.A1(n_2492),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [7]),
 	.B1(n_2529),
-	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_4856), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185629,7 +182212,7 @@
 	.A1(n_2488),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [7]),
 	.B1(n_2458),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
 	.X(n_4855), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185639,7 +182222,7 @@
 	.A1(n_2684),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [4]),
 	.B1(n_2479),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_4854), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185649,7 +182232,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [8]),
 	.A2_N(n_2832),
 	.B1(n_2230),
-	.B2(FE_OFN1445_n_2132),
+	.B2(n_2132),
 	.Y(n_4853), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185659,7 +182242,7 @@
 	.A1(n_2840),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [7]),
 	.B1(n_2770),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_4852), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185668,8 +182251,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439466 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [6]),
 	.A2_N(n_2774),
-	.B1(FE_OFN913_n_2233),
-	.B2(FE_OFN1443_n_2124),
+	.B1(FE_OFN1109_n_2233),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4851), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185679,7 +182262,7 @@
 	.A1(n_2729),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [4]),
 	.B1(n_2460),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_4850), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185709,7 +182292,7 @@
 	.A1(n_2840),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [5]),
 	.B1(n_2770),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_4847), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185718,8 +182301,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439471 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [5]),
 	.A2_N(n_2832),
-	.B1(FE_OFN910_n_2230),
-	.B2(FE_OFN1441_n_2120),
+	.B1(n_2230),
+	.B2(n_2120),
 	.Y(n_4846), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185728,7 +182311,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439472 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [4]),
 	.A2_N(n_2752),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2061),
 	.Y(n_4845), 
 	.VPWR(vccd1), 
@@ -185739,7 +182322,7 @@
 	.A1(n_2727),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [4]),
 	.B1(n_2034),
-	.B2(FE_OFN923_n_2252),
+	.B2(FE_OFN1116_n_2252),
 	.X(n_4844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185749,7 +182332,7 @@
 	.A1(n_2796),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [2]),
 	.B1(n_2791),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
 	.X(n_4843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185759,7 +182342,7 @@
 	.A1(n_2760),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [2]),
 	.B1(n_2118),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_4842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185768,8 +182351,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439476 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [2]),
 	.A2_N(n_2507),
-	.B1(FE_OFN1440_n_2112),
-	.B2(FE_OFN917_n_2238),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1506_n_2238),
 	.Y(n_4841), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185778,8 +182361,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439477 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [7]),
 	.A2_N(n_2507),
-	.B1(n_2081),
-	.B2(FE_OFN917_n_2238),
+	.B1(FE_OFN1471_n_2081),
+	.B2(FE_OFN1506_n_2238),
 	.Y(n_4840), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185789,7 +182372,7 @@
 	.A1(n_2729),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [2]),
 	.B1(n_2460),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_4839), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185799,7 +182382,7 @@
 	.A1(n_2684),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [2]),
 	.B1(n_2479),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_4838), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185809,7 +182392,7 @@
 	.A1(n_2826),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [1]),
 	.B1(n_2509),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_4837), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185818,7 +182401,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439481 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [8]),
 	.A2_N(n_2699),
-	.B1(FE_OFN904_n_2222),
+	.B1(FE_OFN1103_n_2222),
 	.B2(n_2132),
 	.Y(n_4836), 
 	.VPWR(vccd1), 
@@ -185828,8 +182411,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439482 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [8]),
 	.A2_N(n_2490),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1098_n_2004),
 	.Y(n_4835), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185839,7 +182422,7 @@
 	.A1(n_2710),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [8]),
 	.B1(n_2691),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_4834), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185849,7 +182432,7 @@
 	.A1(n_2760),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [3]),
 	.B1(n_2096),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_4833), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185859,7 +182442,7 @@
 	.A1(n_2469),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [7]),
 	.B1(n_2822),
-	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_4832), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185868,8 +182451,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439486 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [3]),
 	.A2_N(n_2489),
-	.B1(FE_OFN892_n_2004),
-	.B2(n_2017),
+	.B1(FE_OFN1098_n_2004),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_4831), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185878,7 +182461,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439487 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [6]),
 	.A2_N(n_2750),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2122),
 	.Y(n_4830), 
 	.VPWR(vccd1), 
@@ -185889,7 +182472,7 @@
 	.A1(n_2472),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [3]),
 	.B1(n_2792),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN869_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_4829), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185899,7 +182482,7 @@
 	.A1(n_2472),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [4]),
 	.B1(n_2792),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN866_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_4828), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185919,7 +182502,7 @@
 	.A1(n_2758),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [7]),
 	.B1(n_2078),
-	.B2(FE_OFN918_n_2240),
+	.B2(n_2240),
 	.X(n_4826), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185928,8 +182511,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439492 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [8]),
 	.A2_N(n_2755),
-	.B1(FE_OFN907_n_2226),
-	.B2(n_2091),
+	.B1(FE_OFN1503_n_2226),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_4825), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185939,7 +182522,7 @@
 	.A1(n_2829),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [1]),
 	.B1(n_2787),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(u_soc_u_uart_u_uart_core_rx[0]),
 	.X(n_4824), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185948,7 +182531,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439494 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [5]),
 	.A2_N(n_2874),
-	.B1(FE_OFN900_n_2217),
+	.B1(n_2217),
 	.B2(n_2013),
 	.Y(n_4823), 
 	.VPWR(vccd1), 
@@ -185959,7 +182542,7 @@
 	.A1(n_2716),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [3]),
 	.B1(n_2485),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_4822), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185969,7 +182552,7 @@
 	.A1(n_2710),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [5]),
 	.B1(n_2691),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_4821), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185979,7 +182562,7 @@
 	.A1(n_2777),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [7]),
 	.B1(n_2772),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
 	.X(n_4820), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185989,7 +182572,7 @@
 	.A1(n_2728),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [2]),
 	.B1(n_2433),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
 	.X(n_4819), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -185999,7 +182582,7 @@
 	.A1(n_2727),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [8]),
 	.B1(n_2090),
-	.B2(FE_OFN923_n_2252),
+	.B2(FE_OFN1116_n_2252),
 	.X(n_4818), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186019,7 +182602,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [3]),
 	.A2_N(n_2491),
 	.B1(n_2006),
-	.B2(FE_OFN1407_n_2017),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_4816), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186029,7 +182612,7 @@
 	.A1(n_2452),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [3]),
 	.B1(n_2846),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN869_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_4815), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186039,7 +182622,7 @@
 	.A1(n_2498),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [5]),
 	.B1(n_2527),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_4814), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186049,7 +182632,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [7]),
 	.A2_N(n_2430),
 	.B1(n_2223),
-	.B2(n_2079),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_4813), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186068,7 +182651,7 @@
    sky130_fd_sc_hd__a22o_1 g439506 (
 	.A1(n_2871),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [7]),
-	.B1(FE_OFN1412_n_2045),
+	.B1(n_2045),
 	.B2(n_2221),
 	.X(n_4811), 
 	.VPWR(vccd1), 
@@ -186078,8 +182661,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439507 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [7]),
 	.A2_N(n_2798),
-	.B1(FE_OFN894_n_2011),
-	.B2(FE_OFN1421_n_2069),
+	.B1(n_2011),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_4810), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186089,7 +182672,7 @@
 	.A1(n_2727),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [2]),
 	.B1(n_2036),
-	.B2(FE_OFN923_n_2252),
+	.B2(FE_OFN1116_n_2252),
 	.X(n_4809), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186098,7 +182681,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439509 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [4]),
 	.A2_N(n_2808),
-	.B1(FE_OFN912_n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2050),
 	.Y(n_4808), 
 	.VPWR(vccd1), 
@@ -186108,7 +182691,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439510 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [3]),
 	.A2_N(n_2808),
-	.B1(FE_OFN912_n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2052),
 	.Y(n_4807), 
 	.VPWR(vccd1), 
@@ -186119,7 +182702,7 @@
 	.A1(n_2728),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [4]),
 	.B1(n_2433),
-	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(u_soc_u_uart_u_uart_core_rx[3]),
 	.X(n_4806), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186129,7 +182712,7 @@
 	.A1(n_2732),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [8]),
 	.B1(n_2434),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_4805), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186139,7 +182722,7 @@
 	.A1(n_2743),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [1]),
 	.B1(n_2790),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_4804), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186148,7 +182731,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439514 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [8]),
 	.A2_N(n_2750),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2113),
 	.Y(n_4803), 
 	.VPWR(vccd1), 
@@ -186159,7 +182742,7 @@
 	.A1(n_2518),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [3]),
 	.B1(n_2459),
-	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_4802), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186169,7 +182752,7 @@
 	.A1(n_2726),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [2]),
 	.B1(n_2794),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN872_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_4801), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186179,7 +182762,7 @@
 	.A1(n_2716),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [7]),
 	.B1(n_2485),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_4800), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186189,7 +182772,7 @@
 	.A1(n_2710),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [2]),
 	.B1(n_2691),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_4799), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186199,7 +182782,7 @@
 	.A1(n_2710),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [3]),
 	.B1(n_2691),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_4798), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186209,7 +182792,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [8]),
 	.A2_N(n_2690),
 	.B1(n_2137),
-	.B2(n_2065),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_4797), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186219,7 +182802,7 @@
 	.A1(n_2504),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [8]),
 	.B1(n_2450),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_4796), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186229,7 +182812,7 @@
 	.A1(n_2726),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [3]),
 	.B1(n_2794),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN869_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_4795), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186248,8 +182831,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439524 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [4]),
 	.A2_N(n_2737),
-	.B1(FE_OFN892_n_2004),
-	.B2(FE_OFN1437_n_2104),
+	.B1(n_2004),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4793), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186259,7 +182842,7 @@
 	.A1(n_2778),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [8]),
 	.B1(n_2769),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_4792), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186268,7 +182851,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439526 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [4]),
 	.A2_N(n_2521),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2050),
 	.Y(n_4791), 
 	.VPWR(vccd1), 
@@ -186278,7 +182861,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439527 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [2]),
 	.A2_N(n_2808),
-	.B1(FE_OFN912_n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2040),
 	.Y(n_4790), 
 	.VPWR(vccd1), 
@@ -186299,7 +182882,7 @@
 	.A1(n_2764),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [3]),
 	.B1(n_2486),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_4788), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186309,7 +182892,7 @@
 	.A1(n_2728),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [8]),
 	.B1(n_2433),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_4787), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186319,7 +182902,7 @@
 	.A1(n_2779),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [1]),
 	.B1(n_2086),
-	.B2(FE_OFN923_n_2252),
+	.B2(FE_OFN1116_n_2252),
 	.X(n_4786), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186328,8 +182911,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439532 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [8]),
 	.A2_N(n_2489),
-	.B1(FE_OFN892_n_2004),
-	.B2(n_2091),
+	.B1(FE_OFN1098_n_2004),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_4785), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186338,8 +182921,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439533 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [7]),
 	.A2_N(n_2766),
-	.B1(FE_OFN893_n_2007),
-	.B2(n_2079),
+	.B1(n_2007),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_4784), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186349,7 +182932,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [2]),
 	.A2_N(n_2515),
 	.B1(n_2219),
-	.B2(n_2083),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_4783), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186358,7 +182941,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439535 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [8]),
 	.A2_N(n_2874),
-	.B1(FE_OFN900_n_2217),
+	.B1(n_2217),
 	.B2(n_2113),
 	.Y(n_4782), 
 	.VPWR(vccd1), 
@@ -186369,7 +182952,7 @@
 	.A1(n_2496),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [6]),
 	.B1(n_2520),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_4781), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186379,7 +182962,7 @@
 	.A1(n_2779),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [8]),
 	.B1(n_2131),
-	.B2(FE_OFN923_n_2252),
+	.B2(FE_OFN1116_n_2252),
 	.X(n_4780), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186399,7 +182982,7 @@
 	.A1(n_2710),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [1]),
 	.B1(n_2691),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(u_soc_u_uart_u_uart_core_rx[0]),
 	.X(n_4778), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186408,7 +182991,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439540 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [2]),
 	.A2_N(n_2736),
-	.B1(FE_OFN897_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2117),
 	.Y(n_4777), 
 	.VPWR(vccd1), 
@@ -186419,7 +183002,7 @@
 	.A1(n_2778),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [6]),
 	.B1(n_2769),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_4776), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186428,8 +183011,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439542 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [3]),
 	.A2_N(n_2856),
-	.B1(n_2231),
-	.B2(FE_OFN1433_n_2097),
+	.B1(FE_OFN1108_n_2231),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_4775), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186439,7 +183022,7 @@
 	.A1(n_2716),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [5]),
 	.B1(n_2485),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_4774), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186449,7 +183032,7 @@
 	.A1(n_2685),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [8]),
 	.B1(n_2493),
-	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_4773), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186459,7 +183042,7 @@
 	.A1(n_2478),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [1]),
 	.B1(n_2533),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_4772), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186469,7 +183052,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [5]),
 	.A2_N(n_2491),
 	.B1(n_2006),
-	.B2(FE_OFN1423_n_2071),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_4771), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186479,7 +183062,7 @@
 	.A1(n_2452),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [5]),
 	.B1(n_2846),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN863_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_4770), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186489,7 +183072,7 @@
 	.A1(n_2452),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [7]),
 	.B1(n_2846),
-	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_4769), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186508,7 +183091,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439550 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [8]),
 	.A2_N(n_2854),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2113),
 	.Y(n_4767), 
 	.VPWR(vccd1), 
@@ -186519,7 +183102,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [3]),
 	.A2_N(n_2775),
 	.B1(n_2137),
-	.B2(FE_OFN1424_n_2073),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_4766), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186529,7 +183112,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [2]),
 	.A2_N(n_2730),
 	.B1(n_1996),
-	.B2(FE_OFN1430_n_2089),
+	.B2(n_2089),
 	.Y(n_4765), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186538,7 +183121,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439553 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [1]),
 	.A2_N(n_2834),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2059),
 	.Y(n_4764), 
 	.VPWR(vccd1), 
@@ -186549,7 +183132,7 @@
 	.A1(n_2716),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [8]),
 	.B1(n_2485),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_4763), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186558,8 +183141,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439555 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [1]),
 	.A2_N(n_2856),
-	.B1(n_2231),
-	.B2(n_2116),
+	.B1(FE_OFN1108_n_2231),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_4762), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186568,7 +183151,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439556 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [1]),
 	.A2_N(n_2724),
-	.B1(FE_OFN903_n_2220),
+	.B1(n_2220),
 	.B2(n_2087),
 	.Y(n_4761), 
 	.VPWR(vccd1), 
@@ -186589,7 +183172,7 @@
 	.A1(n_2758),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [4]),
 	.B1(n_2103),
-	.B2(FE_OFN918_n_2240),
+	.B2(n_2240),
 	.X(n_4759), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186599,7 +183182,7 @@
 	.A1(n_2685),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [4]),
 	.B1(n_2493),
-	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_4758), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186609,7 +183192,7 @@
 	.A1(n_2728),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [6]),
 	.B1(n_2433),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_4757), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186619,7 +183202,7 @@
 	.A1(n_2732),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [2]),
 	.B1(n_2434),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
 	.X(n_4756), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186628,8 +183211,8 @@
    sky130_fd_sc_hd__a22o_1 g439562 (
 	.A1(n_2741),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [5]),
-	.B1(FE_OFN1413_n_2049),
-	.B2(FE_OFN915_n_2235),
+	.B1(n_2049),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_4755), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186639,7 +183222,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [7]),
 	.A2_N(n_2705),
 	.B1(n_2219),
-	.B2(FE_OFN1426_n_2077),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_4754), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186648,8 +183231,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439564 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [8]),
 	.A2_N(n_2798),
-	.B1(FE_OFN894_n_2011),
-	.B2(FE_OFN1419_n_2065),
+	.B1(n_2011),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_4753), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186678,8 +183261,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439567 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [5]),
 	.A2_N(n_2835),
-	.B1(FE_OFN916_n_2237),
-	.B2(FE_OFN1434_n_2099),
+	.B1(FE_OFN1505_n_2237),
+	.B2(n_2099),
 	.Y(n_4750), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186689,7 +183272,7 @@
 	.A1(n_2764),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [5]),
 	.B1(n_2486),
-	.B2(u_soc_u_uart_u_uart_core_rx[4]),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_4749), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186708,8 +183291,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439570 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [1]),
 	.A2_N(n_2704),
-	.B1(n_2229),
-	.B2(n_2116),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_4747), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186729,7 +183312,7 @@
 	.A1(n_2778),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [4]),
 	.B1(n_2769),
-	.B2(u_soc_u_uart_u_uart_core_rx[3]),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_4745), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186739,7 +183322,7 @@
 	.A1(n_2475),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [1]),
 	.B1(n_2422),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_4744), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186749,7 +183332,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [6]),
 	.A2_N(n_2775),
 	.B1(n_2137),
-	.B2(n_2124),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4743), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186759,7 +183342,7 @@
 	.A1(n_2522),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [4]),
 	.B1(n_2841),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_4742), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186768,7 +183351,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439576 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [1]),
 	.A2_N(n_2879),
-	.B1(n_2218),
+	.B1(FE_OFN1502_n_2218),
 	.B2(n_2042),
 	.Y(n_4741), 
 	.VPWR(vccd1), 
@@ -186778,7 +183361,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439577 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [2]),
 	.A2_N(n_2879),
-	.B1(n_2218),
+	.B1(FE_OFN1502_n_2218),
 	.B2(n_2040),
 	.Y(n_4740), 
 	.VPWR(vccd1), 
@@ -186789,7 +183372,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [6]),
 	.A2_N(n_2430),
 	.B1(n_2223),
-	.B2(n_2085),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_4739), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -186798,7 +183381,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439579 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [4]),
 	.A2_N(n_2879),
-	.B1(n_2218),
+	.B1(FE_OFN1502_n_2218),
 	.B2(n_2050),
 	.Y(n_4738), 
 	.VPWR(vccd1), 
@@ -186808,7 +183391,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439580 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [5]),
 	.A2_N(n_2879),
-	.B1(n_2218),
+	.B1(FE_OFN1502_n_2218),
 	.B2(n_2048),
 	.Y(n_4737), 
 	.VPWR(vccd1), 
@@ -186818,7 +183401,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439581 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [6]),
 	.A2_N(n_2879),
-	.B1(n_2218),
+	.B1(FE_OFN1502_n_2218),
 	.B2(n_2046),
 	.Y(n_4736), 
 	.VPWR(vccd1), 
@@ -186828,7 +183411,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439582 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [7]),
 	.A2_N(n_2879),
-	.B1(n_2218),
+	.B1(FE_OFN1502_n_2218),
 	.B2(n_2044),
 	.Y(n_4735), 
 	.VPWR(vccd1), 
@@ -186838,7 +183421,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439583 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[0] [8]),
 	.A2_N(n_2879),
-	.B1(n_2218),
+	.B1(FE_OFN1502_n_2218),
 	.B2(n_2038),
 	.Y(n_4734), 
 	.VPWR(vccd1), 
@@ -186848,7 +183431,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439584 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [1]),
 	.A2_N(n_2852),
-	.B1(FE_OFN898_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2042),
 	.Y(n_4733), 
 	.VPWR(vccd1), 
@@ -186858,7 +183441,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439585 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [2]),
 	.A2_N(n_2852),
-	.B1(FE_OFN898_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2040),
 	.Y(n_4732), 
 	.VPWR(vccd1), 
@@ -186868,7 +183451,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439586 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [3]),
 	.A2_N(n_2852),
-	.B1(FE_OFN898_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2052),
 	.Y(n_4731), 
 	.VPWR(vccd1), 
@@ -186878,7 +183461,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439587 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [4]),
 	.A2_N(n_2852),
-	.B1(FE_OFN898_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2050),
 	.Y(n_4730), 
 	.VPWR(vccd1), 
@@ -186888,7 +183471,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439588 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [5]),
 	.A2_N(n_2852),
-	.B1(FE_OFN898_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2048),
 	.Y(n_4729), 
 	.VPWR(vccd1), 
@@ -186898,7 +183481,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439589 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [6]),
 	.A2_N(n_2852),
-	.B1(FE_OFN898_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2046),
 	.Y(n_4728), 
 	.VPWR(vccd1), 
@@ -186908,7 +183491,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439590 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [7]),
 	.A2_N(n_2852),
-	.B1(FE_OFN898_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2044),
 	.Y(n_4727), 
 	.VPWR(vccd1), 
@@ -186918,7 +183501,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439591 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[1] [8]),
 	.A2_N(n_2852),
-	.B1(FE_OFN898_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2038),
 	.Y(n_4726), 
 	.VPWR(vccd1), 
@@ -186928,7 +183511,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439592 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [1]),
 	.A2_N(n_2869),
-	.B1(n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2042),
 	.Y(n_4725), 
 	.VPWR(vccd1), 
@@ -186938,7 +183521,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439593 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [2]),
 	.A2_N(n_2869),
-	.B1(n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2040),
 	.Y(n_4724), 
 	.VPWR(vccd1), 
@@ -186948,7 +183531,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439594 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [3]),
 	.A2_N(n_2869),
-	.B1(n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2052),
 	.Y(n_4723), 
 	.VPWR(vccd1), 
@@ -186958,7 +183541,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439595 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [4]),
 	.A2_N(n_2869),
-	.B1(n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2050),
 	.Y(n_4722), 
 	.VPWR(vccd1), 
@@ -186968,7 +183551,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439596 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [5]),
 	.A2_N(n_2869),
-	.B1(n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2048),
 	.Y(n_4721), 
 	.VPWR(vccd1), 
@@ -186978,7 +183561,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439597 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [6]),
 	.A2_N(n_2869),
-	.B1(n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2046),
 	.Y(n_4720), 
 	.VPWR(vccd1), 
@@ -186988,7 +183571,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439598 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [7]),
 	.A2_N(n_2869),
-	.B1(n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2044),
 	.Y(n_4719), 
 	.VPWR(vccd1), 
@@ -186998,7 +183581,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439599 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[2] [8]),
 	.A2_N(n_2869),
-	.B1(n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2038),
 	.Y(n_4718), 
 	.VPWR(vccd1), 
@@ -187008,7 +183591,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439600 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [1]),
 	.A2_N(n_2810),
-	.B1(FE_OFN903_n_2220),
+	.B1(FE_OFN1102_n_2220),
 	.B2(n_2042),
 	.Y(n_4717), 
 	.VPWR(vccd1), 
@@ -187018,7 +183601,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439601 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [2]),
 	.A2_N(n_2810),
-	.B1(FE_OFN903_n_2220),
+	.B1(FE_OFN1102_n_2220),
 	.B2(n_2040),
 	.Y(n_4716), 
 	.VPWR(vccd1), 
@@ -187028,7 +183611,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439602 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [3]),
 	.A2_N(n_2810),
-	.B1(FE_OFN903_n_2220),
+	.B1(FE_OFN1102_n_2220),
 	.B2(n_2052),
 	.Y(n_4715), 
 	.VPWR(vccd1), 
@@ -187038,7 +183621,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439603 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [4]),
 	.A2_N(n_2810),
-	.B1(FE_OFN903_n_2220),
+	.B1(FE_OFN1102_n_2220),
 	.B2(n_2050),
 	.Y(n_4714), 
 	.VPWR(vccd1), 
@@ -187048,7 +183631,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439604 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [5]),
 	.A2_N(n_2810),
-	.B1(FE_OFN903_n_2220),
+	.B1(FE_OFN1102_n_2220),
 	.B2(n_2048),
 	.Y(n_4713), 
 	.VPWR(vccd1), 
@@ -187058,7 +183641,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439605 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [6]),
 	.A2_N(n_2810),
-	.B1(FE_OFN903_n_2220),
+	.B1(FE_OFN1102_n_2220),
 	.B2(n_2046),
 	.Y(n_4712), 
 	.VPWR(vccd1), 
@@ -187068,7 +183651,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439606 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [7]),
 	.A2_N(n_2810),
-	.B1(FE_OFN903_n_2220),
+	.B1(FE_OFN1102_n_2220),
 	.B2(n_2044),
 	.Y(n_4711), 
 	.VPWR(vccd1), 
@@ -187078,7 +183661,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439607 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[3] [8]),
 	.A2_N(n_2810),
-	.B1(FE_OFN903_n_2220),
+	.B1(FE_OFN1102_n_2220),
 	.B2(n_2038),
 	.Y(n_4710), 
 	.VPWR(vccd1), 
@@ -187089,7 +183672,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [1]),
 	.A2_N(n_2880),
 	.B1(n_2018),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_4709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187099,7 +183682,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [2]),
 	.A2_N(n_2880),
 	.B1(n_2032),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_4708), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187109,7 +183692,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [6]),
 	.A2_N(n_2705),
 	.B1(n_2219),
-	.B2(FE_OFN1442_n_2122),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_4707), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187119,7 +183702,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [3]),
 	.A2_N(n_2880),
 	.B1(n_2022),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_4706), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187129,7 +183712,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [4]),
 	.A2_N(n_2880),
 	.B1(n_2030),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_4705), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187139,7 +183722,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [5]),
 	.A2_N(n_2880),
 	.B1(n_2028),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_4704), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187149,7 +183732,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [6]),
 	.A2_N(n_2880),
 	.B1(n_2026),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_4703), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187159,7 +183742,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [7]),
 	.A2_N(n_2880),
 	.B1(n_2024),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_4702), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187168,8 +183751,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439616 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [5]),
 	.A2_N(n_2828),
-	.B1(FE_OFN1436_n_2102),
-	.B2(n_1994),
+	.B1(FE_OFN1479_n_2102),
+	.B2(FE_OFN1095_n_1994),
 	.Y(n_4701), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187179,7 +183762,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[4] [8]),
 	.A2_N(n_2880),
 	.B1(n_2020),
-	.B2(FE_OFN901_n_2218),
+	.B2(FE_OFN1502_n_2218),
 	.Y(n_4700), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187189,7 +183772,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [1]),
 	.A2_N(n_2851),
 	.B1(n_2018),
-	.B2(FE_OFN898_n_2216),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_4699), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187199,7 +183782,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [2]),
 	.A2_N(n_2851),
 	.B1(n_2032),
-	.B2(FE_OFN898_n_2216),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_4698), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187209,7 +183792,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [3]),
 	.A2_N(n_2851),
 	.B1(n_2022),
-	.B2(FE_OFN898_n_2216),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_4697), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187219,7 +183802,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [4]),
 	.A2_N(n_2851),
 	.B1(n_2030),
-	.B2(FE_OFN898_n_2216),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_4696), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187229,7 +183812,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [5]),
 	.A2_N(n_2851),
 	.B1(n_2028),
-	.B2(FE_OFN898_n_2216),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_4695), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187249,7 +183832,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [6]),
 	.A2_N(n_2851),
 	.B1(n_2026),
-	.B2(FE_OFN898_n_2216),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_4693), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187259,7 +183842,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [7]),
 	.A2_N(n_2851),
 	.B1(n_2024),
-	.B2(FE_OFN898_n_2216),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_4692), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187269,7 +183852,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[5] [8]),
 	.A2_N(n_2851),
 	.B1(n_2020),
-	.B2(FE_OFN898_n_2216),
+	.B2(FE_OFN1500_n_2216),
 	.Y(n_4691), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187279,7 +183862,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [1]),
 	.A2_N(n_2868),
 	.B1(n_2018),
-	.B2(n_2224),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_4690), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187289,7 +183872,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [2]),
 	.A2_N(n_2868),
 	.B1(n_2032),
-	.B2(n_2224),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_4689), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187299,7 +183882,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [3]),
 	.A2_N(n_2868),
 	.B1(n_2022),
-	.B2(n_2224),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_4688), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187309,7 +183892,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [4]),
 	.A2_N(n_2868),
 	.B1(n_2030),
-	.B2(n_2224),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_4687), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187319,7 +183902,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [5]),
 	.A2_N(n_2868),
 	.B1(n_2028),
-	.B2(n_2224),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_4686), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187329,7 +183912,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [6]),
 	.A2_N(n_2868),
 	.B1(n_2026),
-	.B2(n_2224),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_4685), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187339,7 +183922,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [7]),
 	.A2_N(n_2868),
 	.B1(n_2024),
-	.B2(n_2224),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_4684), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187349,7 +183932,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[6] [8]),
 	.A2_N(n_2868),
 	.B1(n_2020),
-	.B2(n_2224),
+	.B2(FE_OFN1105_n_2224),
 	.Y(n_4683), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187359,7 +183942,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [1]),
 	.A2_N(n_2811),
 	.B1(n_2018),
-	.B2(n_2220),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_4682), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187369,7 +183952,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [2]),
 	.A2_N(n_2811),
 	.B1(n_2032),
-	.B2(n_2220),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_4681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187379,7 +183962,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [3]),
 	.A2_N(n_2811),
 	.B1(n_2022),
-	.B2(n_2220),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_4680), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187389,7 +183972,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [4]),
 	.A2_N(n_2811),
 	.B1(n_2030),
-	.B2(n_2220),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_4679), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187399,7 +183982,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [5]),
 	.A2_N(n_2811),
 	.B1(n_2028),
-	.B2(n_2220),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_4678), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187409,7 +183992,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [6]),
 	.A2_N(n_2811),
 	.B1(n_2026),
-	.B2(n_2220),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_4677), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187419,7 +184002,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [7]),
 	.A2_N(n_2811),
 	.B1(n_2024),
-	.B2(n_2220),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_4676), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187429,7 +184012,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[7] [8]),
 	.A2_N(n_2811),
 	.B1(n_2020),
-	.B2(n_2220),
+	.B2(FE_OFN1102_n_2220),
 	.Y(n_4675), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187438,7 +184021,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439643 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [1]),
 	.A2_N(n_2884),
-	.B1(n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2042),
 	.Y(n_4674), 
 	.VPWR(vccd1), 
@@ -187448,7 +184031,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439644 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [2]),
 	.A2_N(n_2884),
-	.B1(n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2040),
 	.Y(n_4673), 
 	.VPWR(vccd1), 
@@ -187458,7 +184041,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439645 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [3]),
 	.A2_N(n_2884),
-	.B1(n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2052),
 	.Y(n_4672), 
 	.VPWR(vccd1), 
@@ -187468,7 +184051,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439646 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [4]),
 	.A2_N(n_2884),
-	.B1(n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2050),
 	.Y(n_4671), 
 	.VPWR(vccd1), 
@@ -187478,7 +184061,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439647 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [5]),
 	.A2_N(n_2884),
-	.B1(n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2048),
 	.Y(n_4670), 
 	.VPWR(vccd1), 
@@ -187488,7 +184071,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439648 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [6]),
 	.A2_N(n_2884),
-	.B1(n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2046),
 	.Y(n_4669), 
 	.VPWR(vccd1), 
@@ -187498,7 +184081,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439649 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [7]),
 	.A2_N(n_2884),
-	.B1(n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2044),
 	.Y(n_4668), 
 	.VPWR(vccd1), 
@@ -187508,7 +184091,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439650 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[8] [8]),
 	.A2_N(n_2884),
-	.B1(n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2038),
 	.Y(n_4667), 
 	.VPWR(vccd1), 
@@ -187518,7 +184101,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439651 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [1]),
 	.A2_N(n_2809),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2042),
 	.Y(n_4666), 
 	.VPWR(vccd1), 
@@ -187529,7 +184112,7 @@
 	.A1(n_2708),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [5]),
 	.B1(n_2098),
-	.B2(FE_OFN914_n_2235),
+	.B2(n_2235),
 	.X(n_4665), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187538,7 +184121,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439653 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [2]),
 	.A2_N(n_2809),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2040),
 	.Y(n_4664), 
 	.VPWR(vccd1), 
@@ -187548,7 +184131,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439654 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [3]),
 	.A2_N(n_2809),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2052),
 	.Y(n_4663), 
 	.VPWR(vccd1), 
@@ -187558,7 +184141,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439655 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [4]),
 	.A2_N(n_2809),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2050),
 	.Y(n_4662), 
 	.VPWR(vccd1), 
@@ -187568,7 +184151,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439656 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [5]),
 	.A2_N(n_2809),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2048),
 	.Y(n_4661), 
 	.VPWR(vccd1), 
@@ -187578,7 +184161,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439657 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [6]),
 	.A2_N(n_2809),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2046),
 	.Y(n_4660), 
 	.VPWR(vccd1), 
@@ -187588,7 +184171,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439658 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [7]),
 	.A2_N(n_2809),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2044),
 	.Y(n_4659), 
 	.VPWR(vccd1), 
@@ -187598,7 +184181,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439659 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[9] [8]),
 	.A2_N(n_2809),
-	.B1(FE_OFN899_n_2217),
+	.B1(FE_OFN1501_n_2217),
 	.B2(n_2038),
 	.Y(n_4658), 
 	.VPWR(vccd1), 
@@ -187608,7 +184191,7 @@
    sky130_fd_sc_hd__a22o_1 g439660 (
 	.A1(n_2882),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [1]),
-	.B1(FE_OFN19728_n_2043),
+	.B1(n_2043),
 	.B2(n_1993),
 	.X(n_4657), 
 	.VPWR(vccd1), 
@@ -187618,7 +184201,7 @@
    sky130_fd_sc_hd__a22o_1 g439661 (
 	.A1(n_2882),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [3]),
-	.B1(FE_OFN19413_n_2053),
+	.B1(n_2053),
 	.B2(n_1993),
 	.X(n_4656), 
 	.VPWR(vccd1), 
@@ -187639,7 +184222,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [3]),
 	.A2_N(n_2702),
 	.B1(n_2229),
-	.B2(FE_OFN1424_n_2073),
+	.B2(n_2073),
 	.Y(n_4654), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187648,7 +184231,7 @@
    sky130_fd_sc_hd__a22o_1 g439664 (
 	.A1(n_2882),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [5]),
-	.B1(FE_OFN1413_n_2049),
+	.B1(n_2049),
 	.B2(n_1993),
 	.X(n_4653), 
 	.VPWR(vccd1), 
@@ -187668,7 +184251,7 @@
    sky130_fd_sc_hd__a22o_1 g439666 (
 	.A1(n_2882),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[10] [7]),
-	.B1(FE_OFN1412_n_2045),
+	.B1(FE_OFN1739_n_2045),
 	.B2(n_1993),
 	.X(n_4651), 
 	.VPWR(vccd1), 
@@ -187688,7 +184271,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439668 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [1]),
 	.A2_N(n_2845),
-	.B1(FE_OFN902_n_2219),
+	.B1(FE_OFN1101_n_2219),
 	.B2(n_2042),
 	.Y(n_4649), 
 	.VPWR(vccd1), 
@@ -187698,7 +184281,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439669 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [2]),
 	.A2_N(n_2845),
-	.B1(FE_OFN902_n_2219),
+	.B1(FE_OFN1101_n_2219),
 	.B2(n_2040),
 	.Y(n_4648), 
 	.VPWR(vccd1), 
@@ -187708,7 +184291,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439670 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [3]),
 	.A2_N(n_2845),
-	.B1(FE_OFN902_n_2219),
+	.B1(FE_OFN1101_n_2219),
 	.B2(n_2052),
 	.Y(n_4647), 
 	.VPWR(vccd1), 
@@ -187718,7 +184301,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439671 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [4]),
 	.A2_N(n_2845),
-	.B1(FE_OFN902_n_2219),
+	.B1(FE_OFN1101_n_2219),
 	.B2(n_2050),
 	.Y(n_4646), 
 	.VPWR(vccd1), 
@@ -187728,7 +184311,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439672 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [5]),
 	.A2_N(n_2845),
-	.B1(FE_OFN902_n_2219),
+	.B1(FE_OFN1101_n_2219),
 	.B2(n_2048),
 	.Y(n_4645), 
 	.VPWR(vccd1), 
@@ -187738,7 +184321,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439673 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [6]),
 	.A2_N(n_2845),
-	.B1(FE_OFN902_n_2219),
+	.B1(FE_OFN1101_n_2219),
 	.B2(n_2046),
 	.Y(n_4644), 
 	.VPWR(vccd1), 
@@ -187748,7 +184331,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439674 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [7]),
 	.A2_N(n_2845),
-	.B1(FE_OFN902_n_2219),
+	.B1(FE_OFN1101_n_2219),
 	.B2(n_2044),
 	.Y(n_4643), 
 	.VPWR(vccd1), 
@@ -187758,7 +184341,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439675 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[11] [8]),
 	.A2_N(n_2845),
-	.B1(FE_OFN902_n_2219),
+	.B1(FE_OFN1101_n_2219),
 	.B2(n_2038),
 	.Y(n_4642), 
 	.VPWR(vccd1), 
@@ -187769,7 +184352,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [1]),
 	.A2_N(n_2885),
 	.B1(n_2018),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_4641), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187779,7 +184362,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [2]),
 	.A2_N(n_2885),
 	.B1(n_2032),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_4640), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187788,7 +184371,7 @@
    sky130_fd_sc_hd__a22o_1 g439678 (
 	.A1(n_2877),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [1]),
-	.B1(FE_OFN19728_n_2043),
+	.B1(n_2043),
 	.B2(n_1995),
 	.X(n_4639), 
 	.VPWR(vccd1), 
@@ -187799,7 +184382,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [3]),
 	.A2_N(n_2885),
 	.B1(n_2022),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_4638), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187809,7 +184392,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [4]),
 	.A2_N(n_2885),
 	.B1(n_2030),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_4637), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187819,7 +184402,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [5]),
 	.A2_N(n_2885),
 	.B1(n_2028),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_4636), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187829,7 +184412,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [6]),
 	.A2_N(n_2885),
 	.B1(n_2026),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_4635), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187839,7 +184422,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [7]),
 	.A2_N(n_2885),
 	.B1(n_2024),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_4634), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187849,7 +184432,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[12] [8]),
 	.A2_N(n_2885),
 	.B1(n_2020),
-	.B2(FE_OFN910_n_2230),
+	.B2(FE_OFN1504_n_2230),
 	.Y(n_4633), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187859,7 +184442,7 @@
 	.A1(n_2806),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [8]),
 	.B1(n_2214),
-	.B2(n_2021),
+	.B2(FE_OFN1732_n_2021),
 	.X(n_4632), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187869,7 +184452,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [1]),
 	.A2_N(n_2813),
 	.B1(n_2018),
-	.B2(FE_OFN900_n_2217),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_4631), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187878,8 +184461,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439687 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [6]),
 	.A2_N(n_2425),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN912_n_2231),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4630), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187889,7 +184472,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [2]),
 	.A2_N(n_2813),
 	.B1(n_2032),
-	.B2(FE_OFN900_n_2217),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_4629), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187899,7 +184482,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [3]),
 	.A2_N(n_2813),
 	.B1(n_2022),
-	.B2(FE_OFN900_n_2217),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_4628), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187909,7 +184492,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [4]),
 	.A2_N(n_2813),
 	.B1(n_2030),
-	.B2(FE_OFN900_n_2217),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_4627), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187919,7 +184502,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [5]),
 	.A2_N(n_2813),
 	.B1(n_2028),
-	.B2(FE_OFN900_n_2217),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_4626), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187929,7 +184512,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [6]),
 	.A2_N(n_2813),
 	.B1(n_2026),
-	.B2(FE_OFN900_n_2217),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_4625), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187939,7 +184522,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [7]),
 	.A2_N(n_2813),
 	.B1(n_2024),
-	.B2(FE_OFN900_n_2217),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_4624), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187949,7 +184532,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[13] [8]),
 	.A2_N(n_2813),
 	.B1(n_2020),
-	.B2(FE_OFN900_n_2217),
+	.B2(FE_OFN1501_n_2217),
 	.Y(n_4623), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187969,7 +184552,7 @@
 	.A1(n_2881),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [2]),
 	.B1(n_1993),
-	.B2(n_2033),
+	.B2(FE_OFN1738_n_2033),
 	.X(n_4621), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187979,7 +184562,7 @@
 	.A1(n_2881),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [3]),
 	.B1(n_1993),
-	.B2(n_2023),
+	.B2(FE_OFN1733_n_2023),
 	.X(n_4620), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187989,7 +184572,7 @@
 	.A1(n_2881),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [4]),
 	.B1(n_1993),
-	.B2(n_2031),
+	.B2(FE_OFN1737_n_2031),
 	.X(n_4619), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -187999,7 +184582,7 @@
 	.A1(n_2881),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [5]),
 	.B1(n_1993),
-	.B2(n_2029),
+	.B2(FE_OFN1736_n_2029),
 	.X(n_4618), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188009,7 +184592,7 @@
 	.A1(n_2881),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [6]),
 	.B1(n_1993),
-	.B2(n_2027),
+	.B2(FE_OFN1735_n_2027),
 	.X(n_4617), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188019,7 +184602,7 @@
 	.A1(n_2881),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [7]),
 	.B1(n_1993),
-	.B2(n_2025),
+	.B2(FE_OFN1734_n_2025),
 	.X(n_4616), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188029,7 +184612,7 @@
 	.A1(n_2881),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[14] [8]),
 	.B1(n_1993),
-	.B2(n_2021),
+	.B2(FE_OFN1732_n_2021),
 	.X(n_4615), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188039,7 +184622,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [1]),
 	.A2_N(n_2844),
 	.B1(n_2018),
-	.B2(FE_OFN902_n_2219),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4614), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188049,7 +184632,7 @@
 	.A1(n_2806),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [5]),
 	.B1(n_2214),
-	.B2(n_2029),
+	.B2(FE_OFN1736_n_2029),
 	.X(n_4613), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188059,7 +184642,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [3]),
 	.A2_N(n_2844),
 	.B1(n_2022),
-	.B2(FE_OFN902_n_2219),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4612), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188069,7 +184652,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [4]),
 	.A2_N(n_2844),
 	.B1(n_2030),
-	.B2(FE_OFN902_n_2219),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4611), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188079,7 +184662,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [5]),
 	.A2_N(n_2844),
 	.B1(n_2028),
-	.B2(FE_OFN902_n_2219),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4610), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188089,7 +184672,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [6]),
 	.A2_N(n_2844),
 	.B1(n_2026),
-	.B2(FE_OFN902_n_2219),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4609), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188099,7 +184682,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [7]),
 	.A2_N(n_2844),
 	.B1(n_2024),
-	.B2(FE_OFN902_n_2219),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4608), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188109,7 +184692,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [8]),
 	.A2_N(n_2844),
 	.B1(n_2020),
-	.B2(FE_OFN902_n_2219),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4607), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188118,8 +184701,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439711 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [1]),
 	.A2_N(n_2773),
-	.B1(n_2218),
-	.B2(FE_OFN1439_n_2110),
+	.B1(FE_OFN1502_n_2218),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4606), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188128,8 +184711,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439712 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [2]),
 	.A2_N(n_2773),
-	.B1(FE_OFN901_n_2218),
-	.B2(FE_OFN1428_n_2083),
+	.B1(n_2218),
+	.B2(n_2083),
 	.Y(n_4605), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188138,8 +184721,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439713 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [3]),
 	.A2_N(n_2773),
-	.B1(FE_OFN901_n_2218),
-	.B2(FE_OFN1435_n_2100),
+	.B1(n_2218),
+	.B2(n_2100),
 	.Y(n_4604), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188148,8 +184731,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439714 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [4]),
 	.A2_N(n_2773),
-	.B1(FE_OFN901_n_2218),
-	.B2(FE_OFN1437_n_2104),
+	.B1(n_2218),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4603), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188158,8 +184741,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439715 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [5]),
 	.A2_N(n_2773),
-	.B1(FE_OFN901_n_2218),
-	.B2(FE_OFN1434_n_2099),
+	.B1(n_2218),
+	.B2(n_2099),
 	.Y(n_4602), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188168,7 +184751,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439716 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [6]),
 	.A2_N(n_2773),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2085),
 	.Y(n_4601), 
 	.VPWR(vccd1), 
@@ -188178,8 +184761,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439717 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [7]),
 	.A2_N(n_2773),
-	.B1(FE_OFN901_n_2218),
-	.B2(FE_OFN1427_n_2079),
+	.B1(n_2218),
+	.B2(n_2079),
 	.Y(n_4600), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188188,7 +184771,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439718 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[16] [8]),
 	.A2_N(n_2773),
-	.B1(n_2218),
+	.B1(FE_OFN1502_n_2218),
 	.B2(n_2128),
 	.Y(n_4599), 
 	.VPWR(vccd1), 
@@ -188198,8 +184781,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439719 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [1]),
 	.A2_N(n_2432),
-	.B1(FE_OFN897_n_2216),
-	.B2(n_2110),
+	.B1(n_2216),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4598), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188208,8 +184791,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439720 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [2]),
 	.A2_N(n_2432),
-	.B1(FE_OFN897_n_2216),
-	.B2(n_2083),
+	.B1(n_2216),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_4597), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188218,8 +184801,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439721 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [3]),
 	.A2_N(n_2432),
-	.B1(FE_OFN897_n_2216),
-	.B2(n_2100),
+	.B1(n_2216),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_4596), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188228,8 +184811,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439722 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [4]),
 	.A2_N(n_2432),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1437_n_2104),
+	.B1(n_2216),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4595), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188238,8 +184821,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439723 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [5]),
 	.A2_N(n_2432),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1434_n_2099),
+	.B1(n_2216),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_4594), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188248,8 +184831,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439724 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [6]),
 	.A2_N(n_2432),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1429_n_2085),
+	.B1(n_2216),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_4593), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188258,8 +184841,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439725 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [7]),
 	.A2_N(n_2432),
-	.B1(FE_OFN897_n_2216),
-	.B2(n_2079),
+	.B1(n_2216),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_4592), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188268,8 +184851,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439726 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[17] [8]),
 	.A2_N(n_2432),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1444_n_2128),
+	.B1(n_2216),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_4591), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188278,8 +184861,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439727 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [1]),
 	.A2_N(n_2694),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1439_n_2110),
+	.B1(n_2224),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4590), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188288,8 +184871,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439728 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [2]),
 	.A2_N(n_2694),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1428_n_2083),
+	.B1(n_2224),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_4589), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188298,8 +184881,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439729 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [3]),
 	.A2_N(n_2694),
-	.B1(FE_OFN906_n_2224),
-	.B2(n_2100),
+	.B1(n_2224),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_4588), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188309,7 +184892,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [2]),
 	.A2_N(n_2702),
 	.B1(n_2229),
-	.B2(FE_OFN1430_n_2089),
+	.B2(n_2089),
 	.Y(n_4587), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188318,8 +184901,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439731 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [4]),
 	.A2_N(n_2694),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1437_n_2104),
+	.B1(n_2224),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4586), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188328,8 +184911,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439732 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [5]),
 	.A2_N(n_2694),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1434_n_2099),
+	.B1(n_2224),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_4585), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188338,8 +184921,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439733 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [6]),
 	.A2_N(n_2694),
-	.B1(FE_OFN906_n_2224),
-	.B2(n_2085),
+	.B1(n_2224),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_4584), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188348,8 +184931,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439734 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [7]),
 	.A2_N(n_2694),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1427_n_2079),
+	.B1(n_2224),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_4583), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188359,7 +184942,7 @@
 	.A1(n_2806),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [3]),
 	.B1(n_2214),
-	.B2(n_2023),
+	.B2(FE_OFN1733_n_2023),
 	.X(n_4582), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188368,8 +184951,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439736 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[18] [8]),
 	.A2_N(n_2694),
-	.B1(FE_OFN906_n_2224),
-	.B2(n_2128),
+	.B1(n_2224),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_4581), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188378,8 +184961,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439737 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [1]),
 	.A2_N(n_2494),
-	.B1(FE_OFN903_n_2220),
-	.B2(n_2110),
+	.B1(n_2220),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4580), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188388,8 +184971,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439738 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [2]),
 	.A2_N(n_2494),
-	.B1(FE_OFN903_n_2220),
-	.B2(n_2083),
+	.B1(n_2220),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_4579), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188398,8 +184981,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439739 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [4]),
 	.A2_N(n_2494),
-	.B1(FE_OFN903_n_2220),
-	.B2(FE_OFN1437_n_2104),
+	.B1(n_2220),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4578), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188408,8 +184991,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439740 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [5]),
 	.A2_N(n_2494),
-	.B1(FE_OFN903_n_2220),
-	.B2(FE_OFN1434_n_2099),
+	.B1(n_2220),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_4577), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188418,8 +185001,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439741 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [6]),
 	.A2_N(n_2494),
-	.B1(FE_OFN903_n_2220),
-	.B2(FE_OFN1429_n_2085),
+	.B1(n_2220),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_4576), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188428,8 +185011,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439742 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [7]),
 	.A2_N(n_2494),
-	.B1(FE_OFN903_n_2220),
-	.B2(n_2079),
+	.B1(n_2220),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_4575), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188438,7 +185021,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439743 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [1]),
 	.A2_N(n_2854),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2116),
 	.Y(n_4574), 
 	.VPWR(vccd1), 
@@ -188448,8 +185031,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439744 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [1]),
 	.A2_N(n_2480),
-	.B1(n_2106),
-	.B2(FE_OFN905_n_2223),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4573), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188458,7 +185041,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439745 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [2]),
 	.A2_N(n_2854),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2117),
 	.Y(n_4572), 
 	.VPWR(vccd1), 
@@ -188468,7 +185051,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439746 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [3]),
 	.A2_N(n_2854),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2097),
 	.Y(n_4571), 
 	.VPWR(vccd1), 
@@ -188478,7 +185061,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439747 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [4]),
 	.A2_N(n_2854),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2125),
 	.Y(n_4570), 
 	.VPWR(vccd1), 
@@ -188488,7 +185071,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439748 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [5]),
 	.A2_N(n_2854),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2013),
 	.Y(n_4569), 
 	.VPWR(vccd1), 
@@ -188498,7 +185081,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439749 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [6]),
 	.A2_N(n_2854),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2122),
 	.Y(n_4568), 
 	.VPWR(vccd1), 
@@ -188508,7 +185091,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439750 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[20] [7]),
 	.A2_N(n_2854),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2077),
 	.Y(n_4567), 
 	.VPWR(vccd1), 
@@ -188518,8 +185101,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439751 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [1]),
 	.A2_N(n_2736),
-	.B1(FE_OFN897_n_2216),
-	.B2(n_2116),
+	.B1(FE_OFN1500_n_2216),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_4566), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188528,8 +185111,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439752 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [3]),
 	.A2_N(n_2736),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1433_n_2097),
+	.B1(FE_OFN1500_n_2216),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_4565), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188538,7 +185121,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439753 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [4]),
 	.A2_N(n_2736),
-	.B1(FE_OFN897_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2125),
 	.Y(n_4564), 
 	.VPWR(vccd1), 
@@ -188548,7 +185131,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439754 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [5]),
 	.A2_N(n_2736),
-	.B1(FE_OFN897_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2013),
 	.Y(n_4563), 
 	.VPWR(vccd1), 
@@ -188558,8 +185141,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439755 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [6]),
 	.A2_N(n_2736),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1442_n_2122),
+	.B1(FE_OFN1500_n_2216),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_4562), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188568,8 +185151,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439756 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[21] [7]),
 	.A2_N(n_2736),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1426_n_2077),
+	.B1(FE_OFN1500_n_2216),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_4561), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188578,8 +185161,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439757 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [7]),
 	.A2_N(n_2471),
-	.B1(n_2215),
-	.B2(n_2079),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_4560), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188588,7 +185171,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439758 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [2]),
 	.A2_N(n_2788),
-	.B1(FE_OFN906_n_2224),
+	.B1(n_2224),
 	.B2(n_2117),
 	.Y(n_4559), 
 	.VPWR(vccd1), 
@@ -188598,8 +185181,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439759 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [3]),
 	.A2_N(n_2788),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1433_n_2097),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_4558), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188608,7 +185191,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439760 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [5]),
 	.A2_N(n_2788),
-	.B1(FE_OFN906_n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2013),
 	.Y(n_4557), 
 	.VPWR(vccd1), 
@@ -188618,8 +185201,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439761 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [6]),
 	.A2_N(n_2788),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1442_n_2122),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_4556), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188628,8 +185211,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439762 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [7]),
 	.A2_N(n_2788),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1426_n_2077),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_4555), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188638,8 +185221,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439763 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [7]),
 	.A2_N(n_2749),
-	.B1(FE_OFN901_n_2218),
-	.B2(FE_OFN1425_n_2075),
+	.B1(n_2218),
+	.B2(n_2075),
 	.Y(n_4554), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188648,7 +185231,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439764 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[22] [8]),
 	.A2_N(n_2788),
-	.B1(FE_OFN906_n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2113),
 	.Y(n_4553), 
 	.VPWR(vccd1), 
@@ -188658,7 +185241,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439765 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [1]),
 	.A2_N(n_2427),
-	.B1(FE_OFN912_n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2130),
 	.Y(n_4552), 
 	.VPWR(vccd1), 
@@ -188669,7 +185252,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [1]),
 	.A2_N(n_2873),
 	.B1(n_2220),
-	.B2(n_2116),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_4551), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188709,7 +185292,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[23] [7]),
 	.A2_N(n_2873),
 	.B1(n_2220),
-	.B2(FE_OFN1426_n_2077),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_4547), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188719,7 +185302,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [2]),
 	.A2_N(n_2850),
 	.B1(n_2230),
-	.B2(FE_OFN1428_n_2083),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_4546), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188728,8 +185311,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439772 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [3]),
 	.A2_N(n_2850),
-	.B1(FE_OFN910_n_2230),
-	.B2(FE_OFN1435_n_2100),
+	.B1(n_2230),
+	.B2(n_2100),
 	.Y(n_4545), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188739,7 +185322,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [4]),
 	.A2_N(n_2850),
 	.B1(n_2230),
-	.B2(FE_OFN1437_n_2104),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4544), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188748,8 +185331,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439774 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [5]),
 	.A2_N(n_2850),
-	.B1(FE_OFN910_n_2230),
-	.B2(FE_OFN1434_n_2099),
+	.B1(n_2230),
+	.B2(n_2099),
 	.Y(n_4543), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188758,7 +185341,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439775 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [6]),
 	.A2_N(n_2850),
-	.B1(FE_OFN910_n_2230),
+	.B1(n_2230),
 	.B2(n_2085),
 	.Y(n_4542), 
 	.VPWR(vccd1), 
@@ -188768,8 +185351,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439776 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[24] [7]),
 	.A2_N(n_2850),
-	.B1(FE_OFN910_n_2230),
-	.B2(FE_OFN1427_n_2079),
+	.B1(n_2230),
+	.B2(n_2079),
 	.Y(n_4541), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188788,8 +185371,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439778 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [1]),
 	.A2_N(n_2506),
-	.B1(FE_OFN899_n_2217),
-	.B2(n_2110),
+	.B1(n_2217),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4539), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188798,8 +185381,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439779 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [3]),
 	.A2_N(n_2506),
-	.B1(FE_OFN899_n_2217),
-	.B2(n_2100),
+	.B1(n_2217),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_4538), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188808,8 +185391,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439780 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [4]),
 	.A2_N(n_2506),
-	.B1(FE_OFN899_n_2217),
-	.B2(FE_OFN1437_n_2104),
+	.B1(n_2217),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4537), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188818,8 +185401,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439781 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [5]),
 	.A2_N(n_2506),
-	.B1(FE_OFN899_n_2217),
-	.B2(FE_OFN1434_n_2099),
+	.B1(n_2217),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_4536), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188828,8 +185411,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439782 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [6]),
 	.A2_N(n_2506),
-	.B1(FE_OFN899_n_2217),
-	.B2(FE_OFN1429_n_2085),
+	.B1(n_2217),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_4535), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188838,8 +185421,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439783 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [7]),
 	.A2_N(n_2506),
-	.B1(FE_OFN899_n_2217),
-	.B2(n_2079),
+	.B1(n_2217),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_4534), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188848,8 +185431,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439784 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [2]),
 	.A2_N(n_2749),
-	.B1(FE_OFN901_n_2218),
-	.B2(FE_OFN1430_n_2089),
+	.B1(n_2218),
+	.B2(n_2089),
 	.Y(n_4533), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188858,8 +185441,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439785 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [2]),
 	.A2_N(n_2785),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1428_n_2083),
+	.B1(n_1994),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_4532), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188868,8 +185451,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439786 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [3]),
 	.A2_N(n_2785),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1435_n_2100),
+	.B1(n_1994),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_4531), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188878,8 +185461,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439787 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [6]),
 	.A2_N(n_2749),
-	.B1(FE_OFN901_n_2218),
-	.B2(FE_OFN1443_n_2124),
+	.B1(n_2218),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4530), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188888,8 +185471,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439788 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [4]),
 	.A2_N(n_2785),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1437_n_2104),
+	.B1(n_1994),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4529), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188898,8 +185481,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439789 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [5]),
 	.A2_N(n_2785),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1434_n_2099),
+	.B1(n_1994),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_4528), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188908,8 +185491,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439790 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [6]),
 	.A2_N(n_2785),
-	.B1(FE_OFN889_n_1994),
-	.B2(n_2085),
+	.B1(n_1994),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_4527), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188918,8 +185501,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439791 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [8]),
 	.A2_N(n_2785),
-	.B1(FE_OFN889_n_1994),
-	.B2(n_2128),
+	.B1(n_1994),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_4526), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188929,7 +185512,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [1]),
 	.A2_N(n_2515),
 	.B1(n_2219),
-	.B2(n_2110),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4525), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188939,7 +185522,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [3]),
 	.A2_N(n_2515),
 	.B1(n_2219),
-	.B2(n_2100),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_4524), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188949,7 +185532,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [4]),
 	.A2_N(n_2515),
 	.B1(n_2219),
-	.B2(n_2104),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4523), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188959,7 +185542,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [5]),
 	.A2_N(n_2515),
 	.B1(n_2219),
-	.B2(n_2099),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_4522), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188969,7 +185552,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [6]),
 	.A2_N(n_2515),
 	.B1(n_2219),
-	.B2(n_2085),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_4521), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188979,7 +185562,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[27] [8]),
 	.A2_N(n_2515),
 	.B1(n_2219),
-	.B2(n_2128),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_4520), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -188988,7 +185571,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439798 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [2]),
 	.A2_N(n_2875),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2117),
 	.Y(n_4519), 
 	.VPWR(vccd1), 
@@ -188998,7 +185581,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439799 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [3]),
 	.A2_N(n_2875),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2097),
 	.Y(n_4518), 
 	.VPWR(vccd1), 
@@ -189008,7 +185591,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439800 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [4]),
 	.A2_N(n_2875),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2125),
 	.Y(n_4517), 
 	.VPWR(vccd1), 
@@ -189018,7 +185601,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439801 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [5]),
 	.A2_N(n_2875),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2013),
 	.Y(n_4516), 
 	.VPWR(vccd1), 
@@ -189028,7 +185611,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439802 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [6]),
 	.A2_N(n_2875),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2122),
 	.Y(n_4515), 
 	.VPWR(vccd1), 
@@ -189038,7 +185621,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439803 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [7]),
 	.A2_N(n_2875),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2077),
 	.Y(n_4514), 
 	.VPWR(vccd1), 
@@ -189048,7 +185631,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439804 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[28] [8]),
 	.A2_N(n_2875),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2113),
 	.Y(n_4513), 
 	.VPWR(vccd1), 
@@ -189058,8 +185641,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439805 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [1]),
 	.A2_N(n_2874),
-	.B1(FE_OFN900_n_2217),
-	.B2(n_2116),
+	.B1(n_2217),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_4512), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189068,7 +185651,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439806 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [2]),
 	.A2_N(n_2874),
-	.B1(FE_OFN900_n_2217),
+	.B1(n_2217),
 	.B2(n_2117),
 	.Y(n_4511), 
 	.VPWR(vccd1), 
@@ -189078,8 +185661,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439807 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [3]),
 	.A2_N(n_2874),
-	.B1(FE_OFN900_n_2217),
-	.B2(FE_OFN1433_n_2097),
+	.B1(n_2217),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_4510), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189088,7 +185671,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439808 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [4]),
 	.A2_N(n_2874),
-	.B1(FE_OFN900_n_2217),
+	.B1(n_2217),
 	.B2(n_2125),
 	.Y(n_4509), 
 	.VPWR(vccd1), 
@@ -189098,8 +185681,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439809 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [6]),
 	.A2_N(n_2874),
-	.B1(FE_OFN900_n_2217),
-	.B2(FE_OFN1442_n_2122),
+	.B1(n_2217),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_4508), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189108,8 +185691,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439810 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[29] [7]),
 	.A2_N(n_2874),
-	.B1(FE_OFN900_n_2217),
-	.B2(n_2077),
+	.B1(n_2217),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_4507), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189118,8 +185701,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439811 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [1]),
 	.A2_N(n_2855),
-	.B1(FE_OFN889_n_1994),
-	.B2(n_2116),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_4506), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189128,7 +185711,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439812 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [2]),
 	.A2_N(n_2855),
-	.B1(FE_OFN889_n_1994),
+	.B1(FE_OFN1095_n_1994),
 	.B2(n_2117),
 	.Y(n_4505), 
 	.VPWR(vccd1), 
@@ -189138,8 +185721,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439813 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [3]),
 	.A2_N(n_2855),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1433_n_2097),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_4504), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189148,7 +185731,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439814 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [4]),
 	.A2_N(n_2855),
-	.B1(FE_OFN889_n_1994),
+	.B1(FE_OFN1095_n_1994),
 	.B2(n_2125),
 	.Y(n_4503), 
 	.VPWR(vccd1), 
@@ -189158,7 +185741,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439815 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [5]),
 	.A2_N(n_2855),
-	.B1(FE_OFN889_n_1994),
+	.B1(FE_OFN1095_n_1994),
 	.B2(n_2013),
 	.Y(n_4502), 
 	.VPWR(vccd1), 
@@ -189168,8 +185751,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439816 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [6]),
 	.A2_N(n_2855),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1442_n_2122),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_4501), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189178,8 +185761,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439817 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [7]),
 	.A2_N(n_2855),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1426_n_2077),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_4500), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189188,8 +185771,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439818 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [7]),
 	.A2_N(n_2719),
-	.B1(n_2227),
-	.B2(FE_OFN1432_n_2095),
+	.B1(FE_OFN1106_n_2227),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_4499), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189198,8 +185781,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439819 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [1]),
 	.A2_N(n_2705),
-	.B1(FE_OFN902_n_2219),
-	.B2(n_2116),
+	.B1(n_2219),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_4498), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189219,7 +185802,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[31] [3]),
 	.A2_N(n_2705),
 	.B1(n_2219),
-	.B2(FE_OFN1433_n_2097),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_4496), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189228,8 +185811,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439822 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [8]),
 	.A2_N(n_2494),
-	.B1(FE_OFN903_n_2220),
-	.B2(FE_OFN1444_n_2128),
+	.B1(n_2220),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_4495), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189249,7 +185832,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [1]),
 	.A2_N(n_2514),
 	.B1(n_2229),
-	.B2(FE_OFN1439_n_2110),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4493), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189258,7 +185841,7 @@
    sky130_fd_sc_hd__a22o_1 g439825 (
 	.A1(n_2523),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [7]),
-	.B1(FE_OFN1412_n_2045),
+	.B1(FE_OFN1739_n_2045),
 	.B2(n_2003),
 	.X(n_4492), 
 	.VPWR(vccd1), 
@@ -189268,7 +185851,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439826 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [1]),
 	.A2_N(n_2865),
-	.B1(n_2227),
+	.B1(FE_OFN1106_n_2227),
 	.B2(n_2042),
 	.Y(n_4491), 
 	.VPWR(vccd1), 
@@ -189278,7 +185861,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439827 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [2]),
 	.A2_N(n_2865),
-	.B1(n_2227),
+	.B1(FE_OFN1106_n_2227),
 	.B2(n_2040),
 	.Y(n_4490), 
 	.VPWR(vccd1), 
@@ -189298,7 +185881,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439829 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [3]),
 	.A2_N(n_2865),
-	.B1(n_2227),
+	.B1(FE_OFN1106_n_2227),
 	.B2(n_2052),
 	.Y(n_4488), 
 	.VPWR(vccd1), 
@@ -189308,7 +185891,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439830 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [4]),
 	.A2_N(n_2865),
-	.B1(n_2227),
+	.B1(FE_OFN1106_n_2227),
 	.B2(n_2050),
 	.Y(n_4487), 
 	.VPWR(vccd1), 
@@ -189319,7 +185902,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [5]),
 	.A2_N(n_2471),
 	.B1(n_2215),
-	.B2(n_2099),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_4486), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189328,7 +185911,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439832 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [5]),
 	.A2_N(n_2865),
-	.B1(n_2227),
+	.B1(FE_OFN1106_n_2227),
 	.B2(n_2048),
 	.Y(n_4485), 
 	.VPWR(vccd1), 
@@ -189338,7 +185921,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439833 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [6]),
 	.A2_N(n_2865),
-	.B1(n_2227),
+	.B1(FE_OFN1106_n_2227),
 	.B2(n_2046),
 	.Y(n_4484), 
 	.VPWR(vccd1), 
@@ -189348,7 +185931,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439834 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [7]),
 	.A2_N(n_2865),
-	.B1(n_2227),
+	.B1(FE_OFN1106_n_2227),
 	.B2(n_2044),
 	.Y(n_4483), 
 	.VPWR(vccd1), 
@@ -189358,7 +185941,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439835 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[32] [8]),
 	.A2_N(n_2865),
-	.B1(n_2227),
+	.B1(FE_OFN1106_n_2227),
 	.B2(n_2038),
 	.Y(n_4482), 
 	.VPWR(vccd1), 
@@ -189368,7 +185951,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439836 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [1]),
 	.A2_N(n_2808),
-	.B1(FE_OFN912_n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2042),
 	.Y(n_4481), 
 	.VPWR(vccd1), 
@@ -189378,7 +185961,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439837 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [5]),
 	.A2_N(n_2808),
-	.B1(FE_OFN912_n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2048),
 	.Y(n_4480), 
 	.VPWR(vccd1), 
@@ -189388,7 +185971,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439838 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[33] [7]),
 	.A2_N(n_2808),
-	.B1(FE_OFN912_n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2044),
 	.Y(n_4479), 
 	.VPWR(vccd1), 
@@ -189398,7 +185981,7 @@
    sky130_fd_sc_hd__a22o_1 g439839 (
 	.A1(n_2843),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [2]),
-	.B1(FE_OFN18842_n_2041),
+	.B1(n_2041),
 	.B2(n_2228),
 	.X(n_4478), 
 	.VPWR(vccd1), 
@@ -189408,7 +185991,7 @@
    sky130_fd_sc_hd__a22o_1 g439840 (
 	.A1(n_2843),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [3]),
-	.B1(FE_OFN19413_n_2053),
+	.B1(n_2053),
 	.B2(n_2228),
 	.X(n_4477), 
 	.VPWR(vccd1), 
@@ -189428,7 +186011,7 @@
    sky130_fd_sc_hd__a22o_1 g439842 (
 	.A1(n_2843),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [5]),
-	.B1(FE_OFN1413_n_2049),
+	.B1(n_2049),
 	.B2(n_2228),
 	.X(n_4475), 
 	.VPWR(vccd1), 
@@ -189448,7 +186031,7 @@
    sky130_fd_sc_hd__a22o_1 g439844 (
 	.A1(n_2843),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [7]),
-	.B1(FE_OFN1412_n_2045),
+	.B1(FE_OFN1739_n_2045),
 	.B2(n_2228),
 	.X(n_4473), 
 	.VPWR(vccd1), 
@@ -189458,7 +186041,7 @@
    sky130_fd_sc_hd__a22o_1 g439845 (
 	.A1(n_2843),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[34] [8]),
-	.B1(FE_OFN1409_n_2039),
+	.B1(n_2039),
 	.B2(n_2228),
 	.X(n_4472), 
 	.VPWR(vccd1), 
@@ -189468,7 +186051,7 @@
    sky130_fd_sc_hd__a22o_1 g439846 (
 	.A1(n_2807),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [1]),
-	.B1(FE_OFN19728_n_2043),
+	.B1(n_2043),
 	.B2(n_2214),
 	.X(n_4471), 
 	.VPWR(vccd1), 
@@ -189478,7 +186061,7 @@
    sky130_fd_sc_hd__a22o_1 g439847 (
 	.A1(n_2807),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [3]),
-	.B1(FE_OFN19413_n_2053),
+	.B1(n_2053),
 	.B2(n_2214),
 	.X(n_4470), 
 	.VPWR(vccd1), 
@@ -189498,7 +186081,7 @@
    sky130_fd_sc_hd__a22o_1 g439849 (
 	.A1(n_2807),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [5]),
-	.B1(FE_OFN1413_n_2049),
+	.B1(n_2049),
 	.B2(n_2214),
 	.X(n_4468), 
 	.VPWR(vccd1), 
@@ -189508,7 +186091,7 @@
    sky130_fd_sc_hd__a22o_1 g439850 (
 	.A1(n_2807),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[35] [7]),
-	.B1(FE_OFN1412_n_2045),
+	.B1(FE_OFN1739_n_2045),
 	.B2(n_2214),
 	.X(n_4467), 
 	.VPWR(vccd1), 
@@ -189519,7 +186102,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [1]),
 	.A2_N(n_2864),
 	.B1(n_2018),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4466), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189529,7 +186112,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [2]),
 	.A2_N(n_2864),
 	.B1(n_2032),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4465), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189539,7 +186122,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [3]),
 	.A2_N(n_2864),
 	.B1(n_2022),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4464), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189549,7 +186132,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [4]),
 	.A2_N(n_2864),
 	.B1(n_2030),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4463), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189559,7 +186142,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [5]),
 	.A2_N(n_2864),
 	.B1(n_2028),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4462), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189569,7 +186152,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [6]),
 	.A2_N(n_2864),
 	.B1(n_2026),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4461), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189579,7 +186162,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[36] [8]),
 	.A2_N(n_2864),
 	.B1(n_2020),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4460), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189589,7 +186172,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [1]),
 	.A2_N(n_2812),
 	.B1(n_2018),
-	.B2(FE_OFN912_n_2231),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4459), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189609,7 +186192,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [2]),
 	.A2_N(n_2812),
 	.B1(n_2032),
-	.B2(FE_OFN912_n_2231),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4457), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189619,7 +186202,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [3]),
 	.A2_N(n_2812),
 	.B1(n_2022),
-	.B2(FE_OFN912_n_2231),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4456), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189629,7 +186212,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [4]),
 	.A2_N(n_2812),
 	.B1(n_2030),
-	.B2(FE_OFN912_n_2231),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4455), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189639,7 +186222,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [5]),
 	.A2_N(n_2812),
 	.B1(n_2028),
-	.B2(FE_OFN912_n_2231),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4454), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189649,7 +186232,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [6]),
 	.A2_N(n_2812),
 	.B1(n_2026),
-	.B2(FE_OFN912_n_2231),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4453), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189659,7 +186242,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [7]),
 	.A2_N(n_2812),
 	.B1(n_2024),
-	.B2(FE_OFN912_n_2231),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4452), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189669,7 +186252,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[37] [8]),
 	.A2_N(n_2812),
 	.B1(n_2020),
-	.B2(FE_OFN912_n_2231),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4451), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189679,7 +186262,7 @@
 	.A1(n_2842),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [2]),
 	.B1(n_2228),
-	.B2(n_2033),
+	.B2(FE_OFN1738_n_2033),
 	.X(n_4450), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189689,7 +186272,7 @@
 	.A1(n_2842),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [4]),
 	.B1(n_2228),
-	.B2(n_2031),
+	.B2(FE_OFN1737_n_2031),
 	.X(n_4449), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189699,7 +186282,7 @@
 	.A1(n_2842),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [5]),
 	.B1(n_2228),
-	.B2(n_2029),
+	.B2(FE_OFN1736_n_2029),
 	.X(n_4448), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189709,7 +186292,7 @@
 	.A1(n_2842),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [8]),
 	.B1(n_2228),
-	.B2(n_2021),
+	.B2(FE_OFN1732_n_2021),
 	.X(n_4447), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189719,7 +186302,7 @@
 	.A1(n_2806),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [2]),
 	.B1(n_2214),
-	.B2(n_2033),
+	.B2(FE_OFN1738_n_2033),
 	.X(n_4446), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189729,7 +186312,7 @@
 	.A1(n_2806),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [4]),
 	.B1(n_2214),
-	.B2(n_2031),
+	.B2(FE_OFN1737_n_2031),
 	.X(n_4445), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189739,7 +186322,7 @@
 	.A1(n_2806),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [6]),
 	.B1(n_2214),
-	.B2(n_2027),
+	.B2(FE_OFN1735_n_2027),
 	.X(n_4444), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189749,7 +186332,7 @@
 	.A1(n_2806),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[39] [7]),
 	.B1(n_2214),
-	.B2(n_2025),
+	.B2(FE_OFN1734_n_2025),
 	.X(n_4443), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189758,8 +186341,8 @@
    sky130_fd_sc_hd__a22o_1 g439875 (
 	.A1(n_2741),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [7]),
-	.B1(FE_OFN1412_n_2045),
-	.B2(FE_OFN915_n_2235),
+	.B1(FE_OFN1739_n_2045),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_4442), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189768,7 +186351,7 @@
    sky130_fd_sc_hd__a22o_1 g439876 (
 	.A1(n_2877),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [2]),
-	.B1(FE_OFN18842_n_2041),
+	.B1(n_2041),
 	.B2(n_1995),
 	.X(n_4441), 
 	.VPWR(vccd1), 
@@ -189778,7 +186361,7 @@
    sky130_fd_sc_hd__a22o_1 g439877 (
 	.A1(n_2877),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [3]),
-	.B1(FE_OFN19413_n_2053),
+	.B1(n_2053),
 	.B2(n_1995),
 	.X(n_4440), 
 	.VPWR(vccd1), 
@@ -189788,7 +186371,7 @@
    sky130_fd_sc_hd__a22o_1 g439878 (
 	.A1(n_2877),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [5]),
-	.B1(n_2049),
+	.B1(FE_OFN1740_n_2049),
 	.B2(n_1995),
 	.X(n_4439), 
 	.VPWR(vccd1), 
@@ -189808,8 +186391,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439880 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [3]),
 	.A2_N(n_2749),
-	.B1(FE_OFN901_n_2218),
-	.B2(FE_OFN1424_n_2073),
+	.B1(FE_OFN1502_n_2218),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_4437), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189818,7 +186401,7 @@
    sky130_fd_sc_hd__a22o_1 g439881 (
 	.A1(n_2877),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[40] [8]),
-	.B1(FE_OFN1409_n_2039),
+	.B1(n_2039),
 	.B2(n_1995),
 	.X(n_4436), 
 	.VPWR(vccd1), 
@@ -189828,7 +186411,7 @@
    sky130_fd_sc_hd__a22o_1 g439882 (
 	.A1(n_2523),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [5]),
-	.B1(FE_OFN1413_n_2049),
+	.B1(n_2049),
 	.B2(n_2003),
 	.X(n_4435), 
 	.VPWR(vccd1), 
@@ -189838,7 +186421,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439883 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [2]),
 	.A2_N(n_2848),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2040),
 	.Y(n_4434), 
 	.VPWR(vccd1), 
@@ -189848,7 +186431,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439884 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [3]),
 	.A2_N(n_2848),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2052),
 	.Y(n_4433), 
 	.VPWR(vccd1), 
@@ -189858,7 +186441,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439885 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [4]),
 	.A2_N(n_2848),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2050),
 	.Y(n_4432), 
 	.VPWR(vccd1), 
@@ -189868,8 +186451,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439886 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [6]),
 	.A2_N(n_2719),
-	.B1(n_2227),
-	.B2(FE_OFN1422_n_2070),
+	.B1(FE_OFN1106_n_2227),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_4431), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189878,7 +186461,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439887 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [6]),
 	.A2_N(n_2848),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2046),
 	.Y(n_4430), 
 	.VPWR(vccd1), 
@@ -189889,7 +186472,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [5]),
 	.A2_N(n_2703),
 	.B1(n_1998),
-	.B2(FE_OFN1441_n_2120),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_4429), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -189898,7 +186481,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439889 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[41] [8]),
 	.A2_N(n_2848),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2038),
 	.Y(n_4428), 
 	.VPWR(vccd1), 
@@ -189908,7 +186491,7 @@
    sky130_fd_sc_hd__a22o_1 g439890 (
 	.A1(n_2867),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [1]),
-	.B1(FE_OFN19728_n_2043),
+	.B1(n_2043),
 	.B2(n_1997),
 	.X(n_4427), 
 	.VPWR(vccd1), 
@@ -189918,7 +186501,7 @@
    sky130_fd_sc_hd__a22o_1 g439891 (
 	.A1(n_2867),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [2]),
-	.B1(FE_OFN18842_n_2041),
+	.B1(n_2041),
 	.B2(n_1997),
 	.X(n_4426), 
 	.VPWR(vccd1), 
@@ -189928,7 +186511,7 @@
    sky130_fd_sc_hd__a22o_1 g439892 (
 	.A1(n_2867),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [3]),
-	.B1(FE_OFN19413_n_2053),
+	.B1(n_2053),
 	.B2(n_1997),
 	.X(n_4425), 
 	.VPWR(vccd1), 
@@ -189948,7 +186531,7 @@
    sky130_fd_sc_hd__a22o_1 g439894 (
 	.A1(n_2867),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [5]),
-	.B1(FE_OFN1413_n_2049),
+	.B1(FE_OFN1740_n_2049),
 	.B2(n_1997),
 	.X(n_4423), 
 	.VPWR(vccd1), 
@@ -189958,7 +186541,7 @@
    sky130_fd_sc_hd__a22o_1 g439895 (
 	.A1(n_2867),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [7]),
-	.B1(FE_OFN1412_n_2045),
+	.B1(FE_OFN1739_n_2045),
 	.B2(n_1997),
 	.X(n_4422), 
 	.VPWR(vccd1), 
@@ -189968,7 +186551,7 @@
    sky130_fd_sc_hd__a22o_1 g439896 (
 	.A1(n_2867),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [8]),
-	.B1(FE_OFN1409_n_2039),
+	.B1(n_2039),
 	.B2(n_1997),
 	.X(n_4421), 
 	.VPWR(vccd1), 
@@ -189978,7 +186561,7 @@
    sky130_fd_sc_hd__a22o_1 g439897 (
 	.A1(n_2871),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [1]),
-	.B1(FE_OFN19728_n_2043),
+	.B1(n_2043),
 	.B2(n_2221),
 	.X(n_4420), 
 	.VPWR(vccd1), 
@@ -189988,7 +186571,7 @@
    sky130_fd_sc_hd__a22o_1 g439898 (
 	.A1(n_2871),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [2]),
-	.B1(FE_OFN18842_n_2041),
+	.B1(n_2041),
 	.B2(n_2221),
 	.X(n_4419), 
 	.VPWR(vccd1), 
@@ -190008,7 +186591,7 @@
    sky130_fd_sc_hd__a22o_1 g439900 (
 	.A1(n_2871),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [5]),
-	.B1(FE_OFN1413_n_2049),
+	.B1(FE_OFN1740_n_2049),
 	.B2(n_2221),
 	.X(n_4417), 
 	.VPWR(vccd1), 
@@ -190028,7 +186611,7 @@
    sky130_fd_sc_hd__a22o_1 g439902 (
 	.A1(n_2871),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [8]),
-	.B1(FE_OFN1409_n_2039),
+	.B1(n_2039),
 	.B2(n_2221),
 	.X(n_4415), 
 	.VPWR(vccd1), 
@@ -190039,7 +186622,7 @@
 	.A1(n_2876),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [1]),
 	.B1(n_1995),
-	.B2(n_2019),
+	.B2(FE_OFN1731_n_2019),
 	.X(n_4414), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190049,7 +186632,7 @@
 	.A1(n_2876),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[44] [2]),
 	.B1(n_1995),
-	.B2(n_2033),
+	.B2(FE_OFN1738_n_2033),
 	.X(n_4413), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190109,7 +186692,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [2]),
 	.A2_N(n_2849),
 	.B1(n_2032),
-	.B2(FE_OFN905_n_2223),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190119,7 +186702,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [4]),
 	.A2_N(n_2849),
 	.B1(n_2030),
-	.B2(FE_OFN905_n_2223),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4406), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190129,7 +186712,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [5]),
 	.A2_N(n_2849),
 	.B1(n_2028),
-	.B2(FE_OFN905_n_2223),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4405), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190139,7 +186722,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [8]),
 	.A2_N(n_2849),
 	.B1(n_2020),
-	.B2(FE_OFN905_n_2223),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4404), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190159,7 +186742,7 @@
 	.A1(n_2866),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [3]),
 	.B1(n_1997),
-	.B2(n_2023),
+	.B2(FE_OFN1733_n_2023),
 	.X(n_4402), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190169,7 +186752,7 @@
 	.A1(n_2866),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [4]),
 	.B1(n_1997),
-	.B2(n_2031),
+	.B2(FE_OFN1737_n_2031),
 	.X(n_4401), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190179,7 +186762,7 @@
 	.A1(n_2866),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [5]),
 	.B1(n_1997),
-	.B2(n_2029),
+	.B2(FE_OFN1736_n_2029),
 	.X(n_4400), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190189,7 +186772,7 @@
 	.A1(n_2866),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [6]),
 	.B1(n_1997),
-	.B2(n_2027),
+	.B2(FE_OFN1735_n_2027),
 	.X(n_4399), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190199,7 +186782,7 @@
 	.A1(n_2866),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [7]),
 	.B1(n_1997),
-	.B2(n_2025),
+	.B2(FE_OFN1734_n_2025),
 	.X(n_4398), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190209,7 +186792,7 @@
 	.A1(n_2866),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[46] [8]),
 	.B1(n_1997),
-	.B2(n_2021),
+	.B2(FE_OFN1732_n_2021),
 	.X(n_4397), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190219,7 +186802,7 @@
 	.A1(n_2870),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [1]),
 	.B1(n_2221),
-	.B2(n_2019),
+	.B2(FE_OFN1731_n_2019),
 	.X(n_4396), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190229,7 +186812,7 @@
 	.A1(n_2870),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[47] [2]),
 	.B1(n_2221),
-	.B2(n_2033),
+	.B2(FE_OFN1738_n_2033),
 	.X(n_4395), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190289,7 +186872,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [1]),
 	.A2_N(n_2872),
 	.B1(n_2227),
-	.B2(FE_OFN1439_n_2110),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4389), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190298,8 +186881,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439929 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [7]),
 	.A2_N(n_2737),
-	.B1(FE_OFN892_n_2004),
-	.B2(n_2079),
+	.B1(n_2004),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_4388), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190309,7 +186892,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [5]),
 	.A2_N(n_2700),
 	.B1(n_2219),
-	.B2(FE_OFN1441_n_2120),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_4387), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190318,8 +186901,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439931 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [4]),
 	.A2_N(n_2872),
-	.B1(FE_OFN908_n_2227),
-	.B2(FE_OFN1437_n_2104),
+	.B1(n_2227),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4386), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190328,8 +186911,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439932 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [5]),
 	.A2_N(n_2872),
-	.B1(FE_OFN908_n_2227),
-	.B2(FE_OFN1434_n_2099),
+	.B1(n_2227),
+	.B2(n_2099),
 	.Y(n_4385), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190338,8 +186921,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439933 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[48] [7]),
 	.A2_N(n_2872),
-	.B1(FE_OFN908_n_2227),
-	.B2(FE_OFN1427_n_2079),
+	.B1(n_2227),
+	.B2(n_2079),
 	.Y(n_4384), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190348,8 +186931,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439934 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [1]),
 	.A2_N(n_2497),
-	.B1(FE_OFN911_n_2231),
-	.B2(n_2110),
+	.B1(n_2231),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4383), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190358,8 +186941,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439935 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [2]),
 	.A2_N(n_2497),
-	.B1(FE_OFN911_n_2231),
-	.B2(n_2083),
+	.B1(n_2231),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_4382), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190368,8 +186951,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439936 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [3]),
 	.A2_N(n_2497),
-	.B1(FE_OFN911_n_2231),
-	.B2(n_2100),
+	.B1(n_2231),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_4381), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190378,8 +186961,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439937 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [5]),
 	.A2_N(n_2497),
-	.B1(FE_OFN911_n_2231),
-	.B2(FE_OFN1434_n_2099),
+	.B1(n_2231),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_4380), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190388,8 +186971,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439938 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [6]),
 	.A2_N(n_2497),
-	.B1(FE_OFN911_n_2231),
-	.B2(FE_OFN1429_n_2085),
+	.B1(n_2231),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_4379), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190398,8 +186981,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439939 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[49] [7]),
 	.A2_N(n_2497),
-	.B1(FE_OFN911_n_2231),
-	.B2(n_2079),
+	.B1(n_2231),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_4378), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190409,7 +186992,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [2]),
 	.A2_N(n_2514),
 	.B1(n_2229),
-	.B2(FE_OFN1428_n_2083),
+	.B2(n_2083),
 	.Y(n_4377), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190419,7 +187002,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [3]),
 	.A2_N(n_2514),
 	.B1(n_2229),
-	.B2(n_2100),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_4376), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190429,7 +187012,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [4]),
 	.A2_N(n_2514),
 	.B1(n_2229),
-	.B2(FE_OFN1437_n_2104),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4375), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190439,7 +187022,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [5]),
 	.A2_N(n_2514),
 	.B1(n_2229),
-	.B2(FE_OFN1434_n_2099),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_4374), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190449,7 +187032,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [6]),
 	.A2_N(n_2514),
 	.B1(n_2229),
-	.B2(n_2085),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_4373), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190459,7 +187042,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [7]),
 	.A2_N(n_2514),
 	.B1(n_2229),
-	.B2(FE_OFN1427_n_2079),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_4372), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190469,7 +187052,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[50] [8]),
 	.A2_N(n_2514),
 	.B1(n_2229),
-	.B2(n_2128),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_4371), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190478,8 +187061,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439947 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [1]),
 	.A2_N(n_2471),
-	.B1(n_2215),
-	.B2(n_2110),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4370), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190489,7 +187072,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [2]),
 	.A2_N(n_2471),
 	.B1(n_2215),
-	.B2(n_2083),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_4369), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190498,8 +187081,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439949 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [3]),
 	.A2_N(n_2471),
-	.B1(n_2215),
-	.B2(n_2100),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_4368), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190509,7 +187092,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [4]),
 	.A2_N(n_2471),
 	.B1(n_2215),
-	.B2(n_2104),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4367), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190518,8 +187101,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439951 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [6]),
 	.A2_N(n_2471),
-	.B1(n_2215),
-	.B2(FE_OFN1429_n_2085),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_4366), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190529,7 +187112,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[51] [8]),
 	.A2_N(n_2471),
 	.B1(n_2215),
-	.B2(FE_OFN1444_n_2128),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_4365), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190538,7 +187121,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439953 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [1]),
 	.A2_N(n_2771),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2116),
 	.Y(n_4364), 
 	.VPWR(vccd1), 
@@ -190548,7 +187131,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439954 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [2]),
 	.A2_N(n_2771),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2117),
 	.Y(n_4363), 
 	.VPWR(vccd1), 
@@ -190558,7 +187141,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439955 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [4]),
 	.A2_N(n_2771),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2125),
 	.Y(n_4362), 
 	.VPWR(vccd1), 
@@ -190568,7 +187151,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439956 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [6]),
 	.A2_N(n_2771),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2122),
 	.Y(n_4361), 
 	.VPWR(vccd1), 
@@ -190578,7 +187161,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439957 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [7]),
 	.A2_N(n_2771),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2077),
 	.Y(n_4360), 
 	.VPWR(vccd1), 
@@ -190588,7 +187171,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439958 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[52] [8]),
 	.A2_N(n_2771),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2113),
 	.Y(n_4359), 
 	.VPWR(vccd1), 
@@ -190598,7 +187181,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439959 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [2]),
 	.A2_N(n_2856),
-	.B1(n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2117),
 	.Y(n_4358), 
 	.VPWR(vccd1), 
@@ -190608,7 +187191,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439960 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[30] [8]),
 	.A2_N(n_2855),
-	.B1(FE_OFN889_n_1994),
+	.B1(FE_OFN1095_n_1994),
 	.B2(n_2113),
 	.Y(n_4357), 
 	.VPWR(vccd1), 
@@ -190618,7 +187201,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439961 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [5]),
 	.A2_N(n_2856),
-	.B1(n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2013),
 	.Y(n_4356), 
 	.VPWR(vccd1), 
@@ -190628,8 +187211,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439962 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [6]),
 	.A2_N(n_2856),
-	.B1(n_2231),
-	.B2(FE_OFN1442_n_2122),
+	.B1(FE_OFN1108_n_2231),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_4355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190638,8 +187221,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439963 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [7]),
 	.A2_N(n_2856),
-	.B1(n_2231),
-	.B2(FE_OFN1426_n_2077),
+	.B1(FE_OFN1108_n_2231),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_4354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190648,7 +187231,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439964 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[53] [8]),
 	.A2_N(n_2856),
-	.B1(n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2113),
 	.Y(n_4353), 
 	.VPWR(vccd1), 
@@ -190658,8 +187241,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439965 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [6]),
 	.A2_N(n_2737),
-	.B1(FE_OFN892_n_2004),
-	.B2(FE_OFN1429_n_2085),
+	.B1(n_2004),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_4352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190668,7 +187251,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439966 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [2]),
 	.A2_N(n_2704),
-	.B1(n_2229),
+	.B1(FE_OFN1107_n_2229),
 	.B2(n_2117),
 	.Y(n_4351), 
 	.VPWR(vccd1), 
@@ -190678,8 +187261,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439967 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [3]),
 	.A2_N(n_2704),
-	.B1(n_2229),
-	.B2(FE_OFN1433_n_2097),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_4350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190688,7 +187271,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439968 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [4]),
 	.A2_N(n_2704),
-	.B1(n_2229),
+	.B1(FE_OFN1107_n_2229),
 	.B2(n_2125),
 	.Y(n_4349), 
 	.VPWR(vccd1), 
@@ -190698,7 +187281,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439969 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [5]),
 	.A2_N(n_2704),
-	.B1(n_2229),
+	.B1(FE_OFN1107_n_2229),
 	.B2(n_2013),
 	.Y(n_4348), 
 	.VPWR(vccd1), 
@@ -190708,8 +187291,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439970 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [6]),
 	.A2_N(n_2704),
-	.B1(n_2229),
-	.B2(FE_OFN1442_n_2122),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_4347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190718,8 +187301,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439971 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [7]),
 	.A2_N(n_2704),
-	.B1(n_2229),
-	.B2(FE_OFN1426_n_2077),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_4346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190728,7 +187311,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439972 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[54] [8]),
 	.A2_N(n_2704),
-	.B1(n_2229),
+	.B1(FE_OFN1107_n_2229),
 	.B2(n_2113),
 	.Y(n_4345), 
 	.VPWR(vccd1), 
@@ -190738,8 +187321,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439973 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [1]),
 	.A2_N(n_2853),
-	.B1(n_2215),
-	.B2(n_2116),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_4344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190748,7 +187331,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439974 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [2]),
 	.A2_N(n_2853),
-	.B1(FE_OFN896_n_2215),
+	.B1(FE_OFN1100_n_2215),
 	.B2(n_2117),
 	.Y(n_4343), 
 	.VPWR(vccd1), 
@@ -190758,8 +187341,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439975 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [3]),
 	.A2_N(n_2853),
-	.B1(FE_OFN896_n_2215),
-	.B2(FE_OFN1433_n_2097),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_4342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190768,7 +187351,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439976 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [4]),
 	.A2_N(n_2853),
-	.B1(FE_OFN896_n_2215),
+	.B1(FE_OFN1100_n_2215),
 	.B2(n_2125),
 	.Y(n_4341), 
 	.VPWR(vccd1), 
@@ -190778,7 +187361,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439977 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [5]),
 	.A2_N(n_2853),
-	.B1(FE_OFN896_n_2215),
+	.B1(FE_OFN1100_n_2215),
 	.B2(n_2013),
 	.Y(n_4340), 
 	.VPWR(vccd1), 
@@ -190788,8 +187371,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439978 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [6]),
 	.A2_N(n_2853),
-	.B1(FE_OFN896_n_2215),
-	.B2(FE_OFN1442_n_2122),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_4339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190798,8 +187381,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439979 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [7]),
 	.A2_N(n_2853),
-	.B1(FE_OFN896_n_2215),
-	.B2(FE_OFN1426_n_2077),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_4338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190808,7 +187391,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439980 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[55] [8]),
 	.A2_N(n_2853),
-	.B1(FE_OFN896_n_2215),
+	.B1(FE_OFN1100_n_2215),
 	.B2(n_2113),
 	.Y(n_4337), 
 	.VPWR(vccd1), 
@@ -190819,7 +187402,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [1]),
 	.A2_N(n_2767),
 	.B1(n_1996),
-	.B2(FE_OFN1439_n_2110),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190829,7 +187412,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [2]),
 	.A2_N(n_2767),
 	.B1(n_1996),
-	.B2(FE_OFN1428_n_2083),
+	.B2(n_2083),
 	.Y(n_4335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190839,7 +187422,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [3]),
 	.A2_N(n_2767),
 	.B1(n_1996),
-	.B2(FE_OFN1435_n_2100),
+	.B2(n_2100),
 	.Y(n_4334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190849,7 +187432,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [4]),
 	.A2_N(n_2767),
 	.B1(n_1996),
-	.B2(FE_OFN1437_n_2104),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190858,8 +187441,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439985 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [5]),
 	.A2_N(n_2767),
-	.B1(FE_OFN890_n_1996),
-	.B2(FE_OFN1434_n_2099),
+	.B1(n_1996),
+	.B2(n_2099),
 	.Y(n_4332), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190879,7 +187462,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[56] [7]),
 	.A2_N(n_2767),
 	.B1(n_1996),
-	.B2(FE_OFN1427_n_2079),
+	.B2(n_2079),
 	.Y(n_4330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190899,7 +187482,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [1]),
 	.A2_N(n_2430),
 	.B1(n_2223),
-	.B2(n_2110),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_4328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190919,7 +187502,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [3]),
 	.A2_N(n_2430),
 	.B1(n_2223),
-	.B2(n_2100),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_4326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190929,7 +187512,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [4]),
 	.A2_N(n_2430),
 	.B1(n_2223),
-	.B2(n_2104),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_4325), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190938,8 +187521,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g439993 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[57] [5]),
 	.A2_N(n_2430),
-	.B1(n_2223),
-	.B2(n_2099),
+	.B1(FE_OFN1104_n_2223),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_4324), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -190958,7 +187541,7 @@
    sky130_fd_sc_hd__o22ai_1 g439996 (
 	.A1(n_1744),
 	.A2(n_2556),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_2212),
 	.Y(n_5466), 
 	.VPWR(vccd1), 
@@ -191237,7 +187820,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o32ai_1 g440024 (
 	.A1(n_1052),
-	.A2(FE_OFN886_n_1742),
+	.A2(n_1742),
 	.A3(n_1743),
 	.B1(n_2576),
 	.B2(n_1744),
@@ -191384,7 +187967,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g440041 (
 	.A(n_1744),
-	.B(FE_OFN1639_n_16011),
+	.B(FE_OFN1807_n_16011),
 	.C(n_2547),
 	.Y(n_5421), 
 	.VPWR(vccd1), 
@@ -191552,7 +188135,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g440099 (
 	.A(n_3002),
-	.B(FE_OFN75_io_out_37),
+	.B(FE_OFN59_io_out_37),
 	.Y(n_5400), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -191632,7 +188215,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g440112 (
-	.A(FE_OFN18443_n_13363),
+	.A(FE_OFN18376_n_13363),
 	.B(n_3083),
 	.Y(n_5389), 
 	.VPWR(vccd1), 
@@ -191674,7 +188257,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g440119 (
 	.A(n_471),
-	.B(n_2981),
+	.B(FE_OFN1122_n_2981),
 	.Y(n_5380), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -191682,7 +188265,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g440120 (
 	.A(n_471),
-	.B(FE_OFN1477_n_2980),
+	.B(FE_OFN1537_n_2980),
 	.Y(n_5378), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -191763,15 +188346,15 @@
    sky130_fd_sc_hd__nand4_1 g440130 (
 	.A(n_2292),
 	.B(n_621),
-	.C(FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1),
-	.D(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
+	.C(FE_OFN18192_u_soc_u_top_u_core_alu_operator_ex_1),
+	.D(FE_OFN18156_u_soc_u_top_u_core_alu_operator_ex_0),
 	.Y(n_5364), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g440131 (
-	.A(FE_OFN18249_n_183),
+	.A(FE_OFN18549_u_soc_u_top_u_core_multdiv_operator_ex_1),
 	.B(n_3095),
 	.Y(n_5362), 
 	.VPWR(vccd1), 
@@ -191787,7 +188370,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g440133 (
-	.A(FE_OFN18249_n_183),
+	.A(FE_OFN18549_u_soc_u_top_u_core_multdiv_operator_ex_1),
 	.B(n_3088),
 	.Y(n_5358), 
 	.VPWR(vccd1), 
@@ -191834,7 +188417,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g440139 (
+   sky130_fd_sc_hd__nand2_1 g440139 (
 	.A(n_3106),
 	.B(n_1243),
 	.Y(n_5351), 
@@ -191850,7 +188433,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g440141 (
+   sky130_fd_sc_hd__nor2_2 g440141 (
 	.A(n_13370),
 	.B(n_3101),
 	.Y(n_5349), 
@@ -191858,7 +188441,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g440142 (
+   sky130_fd_sc_hd__nor2_2 g440142 (
 	.A(n_13351),
 	.B(n_3101),
 	.Y(n_5348), 
@@ -191874,7 +188457,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_2 g440144 (
+   sky130_fd_sc_hd__and2b_1 g440144 (
 	.A_N(n_13370),
 	.B(n_3108),
 	.X(n_5346), 
@@ -191890,7 +188473,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_1 g440146 (
+   sky130_fd_sc_hd__and2b_2 g440146 (
 	.A_N(n_13351),
 	.B(n_3111),
 	.X(n_5344), 
@@ -191898,7 +188481,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_2 g440147 (
+   sky130_fd_sc_hd__and2b_1 g440147 (
 	.A_N(n_13351),
 	.B(n_3106),
 	.X(n_5343), 
@@ -191930,7 +188513,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_2 g440151 (
+   sky130_fd_sc_hd__and2b_1 g440151 (
 	.A_N(n_13370),
 	.B(n_3111),
 	.X(n_5339), 
@@ -191946,7 +188529,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g440153 (
+   sky130_fd_sc_hd__nand2_1 g440153 (
 	.A(n_3111),
 	.B(n_1238),
 	.Y(n_5337), 
@@ -191954,7 +188537,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g440154 (
+   sky130_fd_sc_hd__nand2_1 g440154 (
 	.A(n_3108),
 	.B(n_1238),
 	.Y(n_5336), 
@@ -191994,7 +188577,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g440160 (
+   sky130_fd_sc_hd__nor2_2 g440160 (
 	.A(n_1239),
 	.B(n_3101),
 	.Y(n_5330), 
@@ -192026,7 +188609,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g440164 (
+   sky130_fd_sc_hd__nand2_1 g440164 (
 	.A(n_3105),
 	.B(n_1238),
 	.Y(n_5326), 
@@ -192037,7 +188620,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440165 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[43] [3]),
 	.A2_N(n_2871),
-	.B1(FE_OFN904_n_2222),
+	.B1(FE_OFN1103_n_2222),
 	.B2(n_2052),
 	.Y(n_4321), 
 	.VPWR(vccd1), 
@@ -192047,8 +188630,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440166 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [2]),
 	.A2_N(n_2448),
-	.B1(FE_OFN1440_n_2112),
-	.B2(FE_OFN902_n_2219),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4320), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192057,8 +188640,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440167 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [3]),
 	.A2_N(n_2448),
-	.B1(n_2108),
-	.B2(FE_OFN902_n_2219),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4319), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192067,8 +188650,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440168 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [4]),
 	.A2_N(n_2448),
-	.B1(FE_OFN1438_n_2107),
-	.B2(FE_OFN902_n_2219),
+	.B1(n_2107),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4318), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192077,8 +188660,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440169 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [5]),
 	.A2_N(n_2448),
-	.B1(FE_OFN1436_n_2102),
-	.B2(FE_OFN902_n_2219),
+	.B1(n_2102),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4317), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192087,8 +188670,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440170 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [6]),
 	.A2_N(n_2448),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN902_n_2219),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4316), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192098,7 +188681,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [7]),
 	.A2_N(n_2448),
 	.B1(n_2081),
-	.B2(FE_OFN902_n_2219),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4315), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192107,8 +188690,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440172 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[79] [8]),
 	.A2_N(n_2448),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN902_n_2219),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_4314), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192117,8 +188700,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440173 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [4]),
 	.A2_N(n_2749),
-	.B1(FE_OFN901_n_2218),
-	.B2(FE_OFN1431_n_2093),
+	.B1(n_2218),
+	.B2(n_2093),
 	.Y(n_4313), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192127,8 +188710,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440174 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [5]),
 	.A2_N(n_2749),
-	.B1(FE_OFN901_n_2218),
-	.B2(FE_OFN1441_n_2120),
+	.B1(n_2218),
+	.B2(n_2120),
 	.Y(n_4312), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192137,8 +188720,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440175 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[80] [8]),
 	.A2_N(n_2749),
-	.B1(n_2218),
-	.B2(FE_OFN1445_n_2132),
+	.B1(FE_OFN1502_n_2218),
+	.B2(n_2132),
 	.Y(n_4311), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192147,7 +188730,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440176 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [1]),
 	.A2_N(n_2701),
-	.B1(FE_OFN897_n_2216),
+	.B1(n_2216),
 	.B2(n_2087),
 	.Y(n_4310), 
 	.VPWR(vccd1), 
@@ -192157,8 +188740,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440177 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [2]),
 	.A2_N(n_2701),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1430_n_2089),
+	.B1(n_2216),
+	.B2(n_2089),
 	.Y(n_4309), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192167,8 +188750,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440178 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [3]),
 	.A2_N(n_2701),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1424_n_2073),
+	.B1(n_2216),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_4308), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192177,8 +188760,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440179 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [4]),
 	.A2_N(n_2701),
-	.B1(FE_OFN897_n_2216),
-	.B2(n_2093),
+	.B1(n_2216),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_4307), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192187,8 +188770,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440180 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [5]),
 	.A2_N(n_2701),
-	.B1(FE_OFN897_n_2216),
-	.B2(n_2120),
+	.B1(n_2216),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_4306), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192197,8 +188780,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440181 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [6]),
 	.A2_N(n_2701),
-	.B1(FE_OFN897_n_2216),
-	.B2(n_2124),
+	.B1(n_2216),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4305), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192207,8 +188790,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440182 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [7]),
 	.A2_N(n_2701),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1425_n_2075),
+	.B1(n_2216),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_4304), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192217,8 +188800,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440183 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[81] [8]),
 	.A2_N(n_2701),
-	.B1(FE_OFN897_n_2216),
-	.B2(n_2132),
+	.B1(n_2216),
+	.B2(FE_OFN1489_n_2132),
 	.Y(n_4303), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192227,7 +188810,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440184 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [1]),
 	.A2_N(n_2698),
-	.B1(FE_OFN906_n_2224),
+	.B1(n_2224),
 	.B2(n_2087),
 	.Y(n_4302), 
 	.VPWR(vccd1), 
@@ -192237,8 +188820,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440185 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [2]),
 	.A2_N(n_2698),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1430_n_2089),
+	.B1(n_2224),
+	.B2(n_2089),
 	.Y(n_4301), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192247,8 +188830,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440186 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [4]),
 	.A2_N(n_2698),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1431_n_2093),
+	.B1(n_2224),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_4300), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192257,7 +188840,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440187 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [5]),
 	.A2_N(n_2698),
-	.B1(FE_OFN906_n_2224),
+	.B1(n_2224),
 	.B2(n_2120),
 	.Y(n_4299), 
 	.VPWR(vccd1), 
@@ -192268,7 +188851,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [6]),
 	.A2_N(n_2842),
 	.B1(n_2026),
-	.B2(FE_OFN909_n_2229),
+	.B2(FE_OFN1107_n_2229),
 	.Y(n_4298), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192277,8 +188860,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440189 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [7]),
 	.A2_N(n_2698),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1425_n_2075),
+	.B1(n_2224),
+	.B2(n_2075),
 	.Y(n_4297), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192287,8 +188870,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440190 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [8]),
 	.A2_N(n_2698),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1445_n_2132),
+	.B1(n_2224),
+	.B2(FE_OFN1489_n_2132),
 	.Y(n_4296), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192297,7 +188880,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440191 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [2]),
 	.A2_N(n_2724),
-	.B1(FE_OFN903_n_2220),
+	.B1(n_2220),
 	.B2(n_2089),
 	.Y(n_4295), 
 	.VPWR(vccd1), 
@@ -192307,8 +188890,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440192 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [3]),
 	.A2_N(n_2724),
-	.B1(FE_OFN903_n_2220),
-	.B2(n_2073),
+	.B1(n_2220),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_4294), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192317,7 +188900,7 @@
    sky130_fd_sc_hd__a22oi_1 g440193 (
 	.A1(n_2009),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
-	.B1(n_2534),
+	.B1(FE_OFN18991_n_2534),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [5]),
 	.Y(n_4293), 
 	.VPWR(vccd1), 
@@ -192327,8 +188910,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440194 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [4]),
 	.A2_N(n_2724),
-	.B1(FE_OFN903_n_2220),
-	.B2(n_2093),
+	.B1(n_2220),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_4292), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192337,8 +188920,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440195 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [5]),
 	.A2_N(n_2724),
-	.B1(FE_OFN903_n_2220),
-	.B2(FE_OFN1441_n_2120),
+	.B1(n_2220),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_4291), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192347,8 +188930,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440196 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [6]),
 	.A2_N(n_2724),
-	.B1(FE_OFN903_n_2220),
-	.B2(FE_OFN1443_n_2124),
+	.B1(n_2220),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4290), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192357,8 +188940,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440197 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [7]),
 	.A2_N(n_2724),
-	.B1(FE_OFN903_n_2220),
-	.B2(n_2075),
+	.B1(n_2220),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_4289), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192367,8 +188950,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440198 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[83] [8]),
 	.A2_N(n_2724),
-	.B1(FE_OFN903_n_2220),
-	.B2(n_2132),
+	.B1(n_2220),
+	.B2(FE_OFN1489_n_2132),
 	.Y(n_4288), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192377,7 +188960,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440199 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [1]),
 	.A2_N(n_2752),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2059),
 	.Y(n_4287), 
 	.VPWR(vccd1), 
@@ -192387,7 +188970,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440200 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [2]),
 	.A2_N(n_2752),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2057),
 	.Y(n_4286), 
 	.VPWR(vccd1), 
@@ -192397,7 +188980,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440201 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [3]),
 	.A2_N(n_2752),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2054),
 	.Y(n_4285), 
 	.VPWR(vccd1), 
@@ -192407,7 +188990,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440202 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [5]),
 	.A2_N(n_2752),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2066),
 	.Y(n_4284), 
 	.VPWR(vccd1), 
@@ -192417,7 +189000,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440203 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [6]),
 	.A2_N(n_2752),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2063),
 	.Y(n_4283), 
 	.VPWR(vccd1), 
@@ -192427,7 +189010,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440204 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [7]),
 	.A2_N(n_2752),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2069),
 	.Y(n_4282), 
 	.VPWR(vccd1), 
@@ -192438,7 +189021,7 @@
 	.A1(n_2522),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [6]),
 	.B1(n_2841),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_4281), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192447,7 +189030,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440206 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[84] [8]),
 	.A2_N(n_2752),
-	.B1(FE_OFN901_n_2218),
+	.B1(n_2218),
 	.B2(n_2065),
 	.Y(n_4280), 
 	.VPWR(vccd1), 
@@ -192457,8 +189040,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440207 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [2]),
 	.A2_N(n_2510),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1416_n_2057),
+	.B1(FE_OFN1500_n_2216),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_4279), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192467,7 +189050,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440208 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [3]),
 	.A2_N(n_2510),
-	.B1(FE_OFN897_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2054),
 	.Y(n_4278), 
 	.VPWR(vccd1), 
@@ -192477,8 +189060,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440209 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [4]),
 	.A2_N(n_2510),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1417_n_2061),
+	.B1(FE_OFN1500_n_2216),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_4277), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192487,7 +189070,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440210 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [5]),
 	.A2_N(n_2510),
-	.B1(FE_OFN897_n_2216),
+	.B1(FE_OFN1500_n_2216),
 	.B2(n_2066),
 	.Y(n_4276), 
 	.VPWR(vccd1), 
@@ -192497,8 +189080,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440211 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [6]),
 	.A2_N(n_2510),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1418_n_2063),
+	.B1(FE_OFN1500_n_2216),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_4275), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192507,8 +189090,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440212 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [7]),
 	.A2_N(n_2510),
-	.B1(FE_OFN897_n_2216),
-	.B2(n_2069),
+	.B1(FE_OFN1500_n_2216),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_4274), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192517,8 +189100,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440213 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [8]),
 	.A2_N(n_2510),
-	.B1(FE_OFN897_n_2216),
-	.B2(FE_OFN1419_n_2065),
+	.B1(FE_OFN1500_n_2216),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_4273), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192527,8 +189110,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440214 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [1]),
 	.A2_N(n_2463),
-	.B1(FE_OFN906_n_2224),
-	.B2(n_2059),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_4272), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192537,8 +189120,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440215 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [2]),
 	.A2_N(n_2463),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1416_n_2057),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_4271), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192547,7 +189130,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440216 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [3]),
 	.A2_N(n_2463),
-	.B1(FE_OFN906_n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2054),
 	.Y(n_4270), 
 	.VPWR(vccd1), 
@@ -192557,7 +189140,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440217 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [5]),
 	.A2_N(n_2463),
-	.B1(FE_OFN906_n_2224),
+	.B1(FE_OFN1105_n_2224),
 	.B2(n_2066),
 	.Y(n_4269), 
 	.VPWR(vccd1), 
@@ -192567,8 +189150,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440218 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [6]),
 	.A2_N(n_2463),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1418_n_2063),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_4268), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192577,8 +189160,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440219 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [7]),
 	.A2_N(n_2463),
-	.B1(FE_OFN906_n_2224),
-	.B2(n_2069),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_4267), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192587,8 +189170,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440220 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [8]),
 	.A2_N(n_2463),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1419_n_2065),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_4266), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192597,8 +189180,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440221 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [1]),
 	.A2_N(n_2431),
-	.B1(n_2220),
-	.B2(n_2059),
+	.B1(FE_OFN1102_n_2220),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_4265), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192607,8 +189190,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440222 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [2]),
 	.A2_N(n_2431),
-	.B1(n_2220),
-	.B2(FE_OFN1416_n_2057),
+	.B1(FE_OFN1102_n_2220),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_4264), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192637,8 +189220,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440225 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [6]),
 	.A2_N(n_2431),
-	.B1(n_2220),
-	.B2(FE_OFN1418_n_2063),
+	.B1(FE_OFN1102_n_2220),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_4261), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192648,7 +189231,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [7]),
 	.A2_N(n_2431),
 	.B1(n_2220),
-	.B2(FE_OFN1421_n_2069),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_4260), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192658,7 +189241,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [8]),
 	.A2_N(n_2431),
 	.B1(n_2220),
-	.B2(FE_OFN1419_n_2065),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_4259), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192677,8 +189260,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440229 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [2]),
 	.A2_N(n_2832),
-	.B1(FE_OFN910_n_2230),
-	.B2(FE_OFN1430_n_2089),
+	.B1(n_2230),
+	.B2(n_2089),
 	.Y(n_4257), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192687,8 +189270,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440230 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [3]),
 	.A2_N(n_2832),
-	.B1(FE_OFN910_n_2230),
-	.B2(FE_OFN1424_n_2073),
+	.B1(n_2230),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_4256), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192698,7 +189281,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [4]),
 	.A2_N(n_2832),
 	.B1(n_2230),
-	.B2(FE_OFN1431_n_2093),
+	.B2(n_2093),
 	.Y(n_4255), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192707,7 +189290,7 @@
    sky130_fd_sc_hd__a22oi_1 g440232 (
 	.A1(n_2009),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
-	.B1(n_2534),
+	.B1(FE_OFN18991_n_2534),
 	.B2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[0] [6]),
 	.Y(n_4254), 
 	.VPWR(vccd1), 
@@ -192717,8 +189300,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440233 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [6]),
 	.A2_N(n_2832),
-	.B1(FE_OFN910_n_2230),
-	.B2(FE_OFN1443_n_2124),
+	.B1(n_2230),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4253), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192727,8 +189310,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440234 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[88] [7]),
 	.A2_N(n_2832),
-	.B1(FE_OFN910_n_2230),
-	.B2(FE_OFN1425_n_2075),
+	.B1(n_2230),
+	.B2(n_2075),
 	.Y(n_4252), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192737,7 +189320,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440235 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [1]),
 	.A2_N(n_2765),
-	.B1(FE_OFN899_n_2217),
+	.B1(n_2217),
 	.B2(n_2087),
 	.Y(n_4251), 
 	.VPWR(vccd1), 
@@ -192747,8 +189330,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440236 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [2]),
 	.A2_N(n_2765),
-	.B1(FE_OFN899_n_2217),
-	.B2(FE_OFN1430_n_2089),
+	.B1(n_2217),
+	.B2(n_2089),
 	.Y(n_4250), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192757,8 +189340,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440237 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [3]),
 	.A2_N(n_2765),
-	.B1(FE_OFN899_n_2217),
-	.B2(FE_OFN1424_n_2073),
+	.B1(n_2217),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_4249), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192767,8 +189350,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440238 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [4]),
 	.A2_N(n_2765),
-	.B1(FE_OFN899_n_2217),
-	.B2(n_2093),
+	.B1(n_2217),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_4248), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192777,8 +189360,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440239 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [5]),
 	.A2_N(n_2765),
-	.B1(FE_OFN899_n_2217),
-	.B2(n_2120),
+	.B1(n_2217),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_4247), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192788,7 +189371,7 @@
 	.A1(n_2465),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [3]),
 	.B1(n_2819),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_4246), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192797,8 +189380,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440241 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [6]),
 	.A2_N(n_2765),
-	.B1(FE_OFN899_n_2217),
-	.B2(FE_OFN1443_n_2124),
+	.B1(n_2217),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4245), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192807,8 +189390,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440242 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [7]),
 	.A2_N(n_2765),
-	.B1(FE_OFN899_n_2217),
-	.B2(n_2075),
+	.B1(n_2217),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_4244), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192817,8 +189400,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440243 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[89] [8]),
 	.A2_N(n_2765),
-	.B1(FE_OFN899_n_2217),
-	.B2(n_2132),
+	.B1(n_2217),
+	.B2(FE_OFN1489_n_2132),
 	.Y(n_4243), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192827,7 +189410,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440244 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [1]),
 	.A2_N(n_2761),
-	.B1(FE_OFN889_n_1994),
+	.B1(n_1994),
 	.B2(n_2087),
 	.Y(n_4242), 
 	.VPWR(vccd1), 
@@ -192837,8 +189420,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440245 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [2]),
 	.A2_N(n_2761),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1430_n_2089),
+	.B1(n_1994),
+	.B2(n_2089),
 	.Y(n_4241), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192847,8 +189430,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440246 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [3]),
 	.A2_N(n_2761),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1424_n_2073),
+	.B1(n_1994),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_4240), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192857,8 +189440,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440247 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [6]),
 	.A2_N(n_2761),
-	.B1(FE_OFN889_n_1994),
-	.B2(n_2124),
+	.B1(n_1994),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4239), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192867,8 +189450,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440248 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [7]),
 	.A2_N(n_2761),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1425_n_2075),
+	.B1(n_1994),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_4238), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192877,8 +189460,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440249 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[90] [8]),
 	.A2_N(n_2761),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1445_n_2132),
+	.B1(n_1994),
+	.B2(FE_OFN1489_n_2132),
 	.Y(n_4237), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192898,7 +189481,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [3]),
 	.A2_N(n_2700),
 	.B1(n_2219),
-	.B2(n_2073),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_4235), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192908,7 +189491,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [4]),
 	.A2_N(n_2700),
 	.B1(n_2219),
-	.B2(n_2093),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_4234), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192918,7 +189501,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [6]),
 	.A2_N(n_2700),
 	.B1(n_2219),
-	.B2(FE_OFN1443_n_2124),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4233), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192928,7 +189511,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [7]),
 	.A2_N(n_2700),
 	.B1(n_2219),
-	.B2(n_2075),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_4232), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192938,7 +189521,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[91] [8]),
 	.A2_N(n_2700),
 	.B1(n_2219),
-	.B2(n_2132),
+	.B2(FE_OFN1489_n_2132),
 	.Y(n_4231), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192947,7 +189530,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440256 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [2]),
 	.A2_N(n_2834),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2057),
 	.Y(n_4230), 
 	.VPWR(vccd1), 
@@ -192957,7 +189540,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440257 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [3]),
 	.A2_N(n_2834),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2054),
 	.Y(n_4229), 
 	.VPWR(vccd1), 
@@ -192967,7 +189550,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440258 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [4]),
 	.A2_N(n_2834),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2061),
 	.Y(n_4228), 
 	.VPWR(vccd1), 
@@ -192977,7 +189560,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440259 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[92] [6]),
 	.A2_N(n_2834),
-	.B1(FE_OFN910_n_2230),
+	.B1(FE_OFN1504_n_2230),
 	.B2(n_2063),
 	.Y(n_4227), 
 	.VPWR(vccd1), 
@@ -192987,8 +189570,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440260 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [2]),
 	.A2_N(n_2457),
-	.B1(FE_OFN900_n_2217),
-	.B2(FE_OFN1416_n_2057),
+	.B1(n_2217),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_4226), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -192997,7 +189580,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440261 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [3]),
 	.A2_N(n_2457),
-	.B1(FE_OFN900_n_2217),
+	.B1(n_2217),
 	.B2(n_2054),
 	.Y(n_4225), 
 	.VPWR(vccd1), 
@@ -193007,8 +189590,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440262 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [4]),
 	.A2_N(n_2457),
-	.B1(FE_OFN900_n_2217),
-	.B2(FE_OFN1417_n_2061),
+	.B1(n_2217),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_4224), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193017,8 +189600,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440263 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [8]),
 	.A2_N(n_2733),
-	.B1(FE_OFN890_n_1996),
-	.B2(n_2065),
+	.B1(FE_OFN1096_n_1996),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_4223), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193027,7 +189610,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440264 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [5]),
 	.A2_N(n_2457),
-	.B1(FE_OFN900_n_2217),
+	.B1(n_2217),
 	.B2(n_2066),
 	.Y(n_4222), 
 	.VPWR(vccd1), 
@@ -193037,8 +189620,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440265 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [6]),
 	.A2_N(n_2457),
-	.B1(FE_OFN900_n_2217),
-	.B2(FE_OFN1418_n_2063),
+	.B1(n_2217),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_4221), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193047,8 +189630,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440266 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [7]),
 	.A2_N(n_2457),
-	.B1(FE_OFN900_n_2217),
-	.B2(n_2069),
+	.B1(n_2217),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_4220), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193057,8 +189640,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440267 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[93] [8]),
 	.A2_N(n_2457),
-	.B1(FE_OFN900_n_2217),
-	.B2(FE_OFN1419_n_2065),
+	.B1(n_2217),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_4219), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193067,8 +189650,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440268 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [1]),
 	.A2_N(n_2757),
-	.B1(FE_OFN889_n_1994),
-	.B2(n_2059),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_4218), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193077,8 +189660,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440269 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [2]),
 	.A2_N(n_2757),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1416_n_2057),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_4217), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193087,7 +189670,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440270 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [3]),
 	.A2_N(n_2757),
-	.B1(FE_OFN889_n_1994),
+	.B1(FE_OFN1095_n_1994),
 	.B2(n_2054),
 	.Y(n_4216), 
 	.VPWR(vccd1), 
@@ -193097,8 +189680,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440271 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [4]),
 	.A2_N(n_2757),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1417_n_2061),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_4215), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193107,7 +189690,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440272 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [5]),
 	.A2_N(n_2757),
-	.B1(FE_OFN889_n_1994),
+	.B1(FE_OFN1095_n_1994),
 	.B2(n_2066),
 	.Y(n_4214), 
 	.VPWR(vccd1), 
@@ -193117,8 +189700,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440273 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [6]),
 	.A2_N(n_2757),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1418_n_2063),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_4213), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193127,8 +189710,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440274 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [7]),
 	.A2_N(n_2757),
-	.B1(FE_OFN889_n_1994),
-	.B2(n_2069),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_4212), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193137,8 +189720,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440275 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[94] [8]),
 	.A2_N(n_2757),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1419_n_2065),
+	.B1(FE_OFN1095_n_1994),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_4211), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193147,8 +189730,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440276 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [1]),
 	.A2_N(n_2511),
-	.B1(FE_OFN902_n_2219),
-	.B2(n_2059),
+	.B1(n_2219),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_4210), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193158,7 +189741,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [2]),
 	.A2_N(n_2511),
 	.B1(n_2219),
-	.B2(FE_OFN1416_n_2057),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_4209), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193167,7 +189750,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440278 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [3]),
 	.A2_N(n_2511),
-	.B1(FE_OFN902_n_2219),
+	.B1(n_2219),
 	.B2(n_2054),
 	.Y(n_4208), 
 	.VPWR(vccd1), 
@@ -193177,8 +189760,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440279 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [4]),
 	.A2_N(n_2511),
-	.B1(FE_OFN902_n_2219),
-	.B2(FE_OFN1417_n_2061),
+	.B1(n_2219),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_4207), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193187,8 +189770,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440280 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [6]),
 	.A2_N(n_2511),
-	.B1(FE_OFN902_n_2219),
-	.B2(FE_OFN1418_n_2063),
+	.B1(n_2219),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_4206), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193198,7 +189781,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [1]),
 	.A2_N(n_2436),
 	.B1(n_2004),
-	.B2(n_2116),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_4205), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193207,8 +189790,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440282 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[95] [7]),
 	.A2_N(n_2511),
-	.B1(FE_OFN902_n_2219),
-	.B2(FE_OFN1421_n_2069),
+	.B1(n_2219),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_4204), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193217,7 +189800,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440283 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [1]),
 	.A2_N(n_2719),
-	.B1(n_2227),
+	.B1(FE_OFN1106_n_2227),
 	.B2(n_2130),
 	.Y(n_4203), 
 	.VPWR(vccd1), 
@@ -193228,7 +189811,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [3]),
 	.A2_N(n_2842),
 	.B1(n_2022),
-	.B2(FE_OFN909_n_2229),
+	.B2(FE_OFN1107_n_2229),
 	.Y(n_4202), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193237,8 +189820,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440285 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [2]),
 	.A2_N(n_2719),
-	.B1(n_2227),
-	.B2(n_2037),
+	.B1(FE_OFN1106_n_2227),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_4201), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193247,8 +189830,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440286 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [3]),
 	.A2_N(n_2719),
-	.B1(n_2227),
-	.B2(FE_OFN1407_n_2017),
+	.B1(FE_OFN1106_n_2227),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_4200), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193257,8 +189840,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440287 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [4]),
 	.A2_N(n_2719),
-	.B1(n_2227),
-	.B2(n_2035),
+	.B1(FE_OFN1106_n_2227),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_4199), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193267,8 +189850,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440288 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [5]),
 	.A2_N(n_2719),
-	.B1(n_2227),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1106_n_2227),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_4198), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193277,8 +189860,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440289 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[96] [8]),
 	.A2_N(n_2719),
-	.B1(n_2227),
-	.B2(n_2091),
+	.B1(FE_OFN1106_n_2227),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_4197), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193287,8 +189870,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440290 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [2]),
 	.A2_N(n_2427),
-	.B1(FE_OFN912_n_2231),
-	.B2(FE_OFN1408_n_2037),
+	.B1(FE_OFN1108_n_2231),
+	.B2(n_2037),
 	.Y(n_4196), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193298,7 +189881,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [6]),
 	.A2_N(n_2849),
 	.B1(n_2026),
-	.B2(FE_OFN905_n_2223),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4195), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193307,8 +189890,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440292 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [3]),
 	.A2_N(n_2427),
-	.B1(FE_OFN912_n_2231),
-	.B2(FE_OFN1407_n_2017),
+	.B1(FE_OFN1108_n_2231),
+	.B2(n_2017),
 	.Y(n_4194), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193317,7 +189900,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440293 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [4]),
 	.A2_N(n_2427),
-	.B1(FE_OFN912_n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2035),
 	.Y(n_4193), 
 	.VPWR(vccd1), 
@@ -193327,8 +189910,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440294 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [5]),
 	.A2_N(n_2427),
-	.B1(FE_OFN912_n_2231),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1108_n_2231),
+	.B2(n_2071),
 	.Y(n_4192), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193337,7 +189920,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440295 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [6]),
 	.A2_N(n_2427),
-	.B1(FE_OFN912_n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2070),
 	.Y(n_4191), 
 	.VPWR(vccd1), 
@@ -193347,7 +189930,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440296 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[97] [8]),
 	.A2_N(n_2427),
-	.B1(FE_OFN912_n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2091),
 	.Y(n_4190), 
 	.VPWR(vccd1), 
@@ -193357,7 +189940,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440297 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [1]),
 	.A2_N(n_2447),
-	.B1(FE_OFN909_n_2229),
+	.B1(FE_OFN1107_n_2229),
 	.B2(n_2130),
 	.Y(n_4189), 
 	.VPWR(vccd1), 
@@ -193367,8 +189950,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440298 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [4]),
 	.A2_N(n_2447),
-	.B1(FE_OFN909_n_2229),
-	.B2(n_2035),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_4188), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193377,8 +189960,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440299 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [6]),
 	.A2_N(n_2447),
-	.B1(FE_OFN909_n_2229),
-	.B2(FE_OFN1422_n_2070),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_4187), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193387,8 +189970,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440300 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [7]),
 	.A2_N(n_2447),
-	.B1(FE_OFN909_n_2229),
-	.B2(n_2095),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_4186), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193397,8 +189980,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440301 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[98] [8]),
 	.A2_N(n_2447),
-	.B1(FE_OFN909_n_2229),
-	.B2(n_2091),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_4185), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193415,7 +189998,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440303 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [1]),
 	.A2_N(n_2473),
-	.B1(FE_OFN896_n_2215),
+	.B1(FE_OFN1100_n_2215),
 	.B2(n_2130),
 	.Y(n_4183), 
 	.VPWR(vccd1), 
@@ -193425,8 +190008,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440304 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [2]),
 	.A2_N(n_2473),
-	.B1(FE_OFN896_n_2215),
-	.B2(FE_OFN1408_n_2037),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_4182), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193435,8 +190018,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440305 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [3]),
 	.A2_N(n_2473),
-	.B1(FE_OFN896_n_2215),
-	.B2(n_2017),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_4181), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193445,8 +190028,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440306 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [4]),
 	.A2_N(n_2473),
-	.B1(FE_OFN896_n_2215),
-	.B2(n_2035),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_4180), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193455,8 +190038,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440307 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [5]),
 	.A2_N(n_2473),
-	.B1(FE_OFN896_n_2215),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_4179), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193465,8 +190048,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440308 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [6]),
 	.A2_N(n_2473),
-	.B1(FE_OFN896_n_2215),
-	.B2(n_2070),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_4178), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193475,8 +190058,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440309 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [8]),
 	.A2_N(n_2473),
-	.B1(FE_OFN896_n_2215),
-	.B2(n_2091),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_4177), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193486,7 +190069,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [1]),
 	.A2_N(n_2720),
 	.B1(n_2106),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4176), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193496,7 +190079,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [2]),
 	.A2_N(n_2720),
 	.B1(n_2112),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4175), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193506,7 +190089,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [3]),
 	.A2_N(n_2720),
 	.B1(n_2108),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4174), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193516,7 +190099,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [4]),
 	.A2_N(n_2720),
 	.B1(n_2107),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4173), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193526,7 +190109,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [6]),
 	.A2_N(n_2727),
 	.B1(n_2251),
-	.B2(FE_OFN1422_n_2070),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_4172), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193536,7 +190119,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [5]),
 	.A2_N(n_2720),
 	.B1(n_2102),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4171), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193545,8 +190128,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440316 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [6]),
 	.A2_N(n_2720),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN908_n_2227),
+	.B1(n_2016),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4170), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193556,7 +190139,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [7]),
 	.A2_N(n_2720),
 	.B1(n_2081),
-	.B2(FE_OFN908_n_2227),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4169), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193565,8 +190148,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440318 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[100] [8]),
 	.A2_N(n_2720),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN908_n_2227),
+	.B1(n_2134),
+	.B2(FE_OFN1106_n_2227),
 	.Y(n_4168), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193575,8 +190158,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440319 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [1]),
 	.A2_N(n_2425),
-	.B1(n_2106),
-	.B2(FE_OFN912_n_2231),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4167), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193585,8 +190168,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440320 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [2]),
 	.A2_N(n_2425),
-	.B1(FE_OFN1440_n_2112),
-	.B2(FE_OFN912_n_2231),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4166), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193595,8 +190178,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440321 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [3]),
 	.A2_N(n_2425),
-	.B1(n_2108),
-	.B2(FE_OFN912_n_2231),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4165), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193605,8 +190188,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440322 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [4]),
 	.A2_N(n_2425),
-	.B1(FE_OFN1438_n_2107),
-	.B2(FE_OFN912_n_2231),
+	.B1(FE_OFN1482_n_2107),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4164), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193615,8 +190198,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440323 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [5]),
 	.A2_N(n_2425),
-	.B1(FE_OFN1436_n_2102),
-	.B2(FE_OFN912_n_2231),
+	.B1(FE_OFN1479_n_2102),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4163), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193625,8 +190208,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440324 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [7]),
 	.A2_N(n_2425),
-	.B1(n_2081),
-	.B2(FE_OFN912_n_2231),
+	.B1(FE_OFN1471_n_2081),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4162), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193635,8 +190218,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440325 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[19] [3]),
 	.A2_N(n_2494),
-	.B1(FE_OFN903_n_2220),
-	.B2(n_2100),
+	.B1(n_2220),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_4161), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193645,8 +190228,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440326 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[101] [8]),
 	.A2_N(n_2425),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN912_n_2231),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1108_n_2231),
 	.Y(n_4160), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193655,8 +190238,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440327 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [1]),
 	.A2_N(n_2446),
-	.B1(n_2106),
-	.B2(FE_OFN909_n_2229),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1107_n_2229),
 	.Y(n_4159), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193665,8 +190248,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440328 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [2]),
 	.A2_N(n_2446),
-	.B1(FE_OFN1440_n_2112),
-	.B2(FE_OFN909_n_2229),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1107_n_2229),
 	.Y(n_4158), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193675,8 +190258,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440329 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [3]),
 	.A2_N(n_2446),
-	.B1(n_2108),
-	.B2(FE_OFN909_n_2229),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1107_n_2229),
 	.Y(n_4157), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193685,8 +190268,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440330 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [4]),
 	.A2_N(n_2446),
-	.B1(n_2107),
-	.B2(FE_OFN909_n_2229),
+	.B1(FE_OFN1482_n_2107),
+	.B2(FE_OFN1107_n_2229),
 	.Y(n_4156), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193695,8 +190278,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440331 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [5]),
 	.A2_N(n_2446),
-	.B1(n_2102),
-	.B2(FE_OFN909_n_2229),
+	.B1(FE_OFN1479_n_2102),
+	.B2(FE_OFN1107_n_2229),
 	.Y(n_4155), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193705,8 +190288,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440332 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [6]),
 	.A2_N(n_2446),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN909_n_2229),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1107_n_2229),
 	.Y(n_4154), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193715,8 +190298,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440333 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [7]),
 	.A2_N(n_2446),
-	.B1(n_2081),
-	.B2(FE_OFN909_n_2229),
+	.B1(FE_OFN1471_n_2081),
+	.B2(FE_OFN1107_n_2229),
 	.Y(n_4153), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193725,8 +190308,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440334 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[102] [8]),
 	.A2_N(n_2446),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN909_n_2229),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1107_n_2229),
 	.Y(n_4152), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193735,8 +190318,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440335 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [1]),
 	.A2_N(n_2470),
-	.B1(n_2106),
-	.B2(FE_OFN896_n_2215),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1100_n_2215),
 	.Y(n_4151), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193745,8 +190328,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440336 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [3]),
 	.A2_N(n_2470),
-	.B1(n_2108),
-	.B2(FE_OFN896_n_2215),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1100_n_2215),
 	.Y(n_4150), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193755,8 +190338,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440337 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [4]),
 	.A2_N(n_2470),
-	.B1(FE_OFN1438_n_2107),
-	.B2(FE_OFN896_n_2215),
+	.B1(FE_OFN1482_n_2107),
+	.B2(FE_OFN1100_n_2215),
 	.Y(n_4149), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193765,8 +190348,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440338 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [5]),
 	.A2_N(n_2470),
-	.B1(FE_OFN1436_n_2102),
-	.B2(FE_OFN896_n_2215),
+	.B1(FE_OFN1479_n_2102),
+	.B2(FE_OFN1100_n_2215),
 	.Y(n_4148), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193775,8 +190358,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440339 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [7]),
 	.A2_N(n_2470),
-	.B1(n_2081),
-	.B2(FE_OFN896_n_2215),
+	.B1(FE_OFN1471_n_2081),
+	.B2(FE_OFN1100_n_2215),
 	.Y(n_4147), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193785,8 +190368,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440340 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[103] [8]),
 	.A2_N(n_2470),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN896_n_2215),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1100_n_2215),
 	.Y(n_4146), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193795,7 +190378,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440341 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [1]),
 	.A2_N(n_2801),
-	.B1(FE_OFN890_n_1996),
+	.B1(n_1996),
 	.B2(n_2130),
 	.Y(n_4145), 
 	.VPWR(vccd1), 
@@ -193805,8 +190388,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440342 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [2]),
 	.A2_N(n_2801),
-	.B1(FE_OFN890_n_1996),
-	.B2(n_2037),
+	.B1(n_1996),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_4144), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193815,8 +190398,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440343 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [3]),
 	.A2_N(n_2801),
-	.B1(FE_OFN890_n_1996),
-	.B2(FE_OFN1407_n_2017),
+	.B1(n_1996),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_4143), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193826,7 +190409,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [4]),
 	.A2_N(n_2801),
 	.B1(n_1996),
-	.B2(n_2035),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_4142), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193835,8 +190418,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440345 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [5]),
 	.A2_N(n_2801),
-	.B1(FE_OFN890_n_1996),
-	.B2(FE_OFN1423_n_2071),
+	.B1(n_1996),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_4141), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193845,8 +190428,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440346 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [6]),
 	.A2_N(n_2801),
-	.B1(FE_OFN890_n_1996),
-	.B2(FE_OFN1422_n_2070),
+	.B1(n_1996),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_4140), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193855,8 +190438,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440347 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[104] [7]),
 	.A2_N(n_2801),
-	.B1(FE_OFN890_n_1996),
-	.B2(FE_OFN1432_n_2095),
+	.B1(n_1996),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_4139), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193865,8 +190448,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440348 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[25] [8]),
 	.A2_N(n_2506),
-	.B1(FE_OFN899_n_2217),
-	.B2(FE_OFN1444_n_2128),
+	.B1(n_2217),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_4138), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193875,7 +190458,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440349 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [1]),
 	.A2_N(n_2481),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2130),
 	.Y(n_4137), 
 	.VPWR(vccd1), 
@@ -193885,8 +190468,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440350 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [2]),
 	.A2_N(n_2481),
-	.B1(n_2223),
-	.B2(FE_OFN1408_n_2037),
+	.B1(FE_OFN1104_n_2223),
+	.B2(n_2037),
 	.Y(n_4136), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193895,7 +190478,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440351 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [3]),
 	.A2_N(n_2481),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2017),
 	.Y(n_4135), 
 	.VPWR(vccd1), 
@@ -193905,7 +190488,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440352 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [4]),
 	.A2_N(n_2481),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2035),
 	.Y(n_4134), 
 	.VPWR(vccd1), 
@@ -193915,7 +190498,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440353 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [5]),
 	.A2_N(n_2481),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2071),
 	.Y(n_4133), 
 	.VPWR(vccd1), 
@@ -193925,7 +190508,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440354 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [6]),
 	.A2_N(n_2481),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2070),
 	.Y(n_4132), 
 	.VPWR(vccd1), 
@@ -193935,7 +190518,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440355 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [3]),
 	.A2_N(n_2699),
-	.B1(FE_OFN904_n_2222),
+	.B1(FE_OFN1103_n_2222),
 	.B2(n_2073),
 	.Y(n_4131), 
 	.VPWR(vccd1), 
@@ -193945,8 +190528,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440356 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [7]),
 	.A2_N(n_2481),
-	.B1(n_2223),
-	.B2(n_2095),
+	.B1(FE_OFN1104_n_2223),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_4130), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193955,7 +190538,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440357 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[105] [8]),
 	.A2_N(n_2481),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2091),
 	.Y(n_4129), 
 	.VPWR(vccd1), 
@@ -193965,7 +190548,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440358 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [1]),
 	.A2_N(n_2739),
-	.B1(FE_OFN891_n_1998),
+	.B1(FE_OFN1097_n_1998),
 	.B2(n_2130),
 	.Y(n_4128), 
 	.VPWR(vccd1), 
@@ -193975,8 +190558,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440359 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [2]),
 	.A2_N(n_2739),
-	.B1(FE_OFN891_n_1998),
-	.B2(n_2037),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_4127), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193985,8 +190568,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440360 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [3]),
 	.A2_N(n_2739),
-	.B1(FE_OFN891_n_1998),
-	.B2(FE_OFN1407_n_2017),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_4126), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -193995,8 +190578,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440361 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [4]),
 	.A2_N(n_2739),
-	.B1(n_1998),
-	.B2(n_2035),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_4125), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194005,8 +190588,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440362 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [5]),
 	.A2_N(n_2739),
-	.B1(FE_OFN891_n_1998),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_4124), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194015,8 +190598,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440363 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [6]),
 	.A2_N(n_2739),
-	.B1(FE_OFN891_n_1998),
-	.B2(FE_OFN1422_n_2070),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_4123), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194025,8 +190608,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440364 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [7]),
 	.A2_N(n_2739),
-	.B1(FE_OFN891_n_1998),
-	.B2(FE_OFN1432_n_2095),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_4122), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194035,8 +190618,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440365 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[106] [8]),
 	.A2_N(n_2739),
-	.B1(n_1998),
-	.B2(n_2091),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_4121), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194045,7 +190628,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440366 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [1]),
 	.A2_N(n_2748),
-	.B1(FE_OFN904_n_2222),
+	.B1(FE_OFN1103_n_2222),
 	.B2(n_2130),
 	.Y(n_4120), 
 	.VPWR(vccd1), 
@@ -194055,8 +190638,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440367 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [2]),
 	.A2_N(n_2748),
-	.B1(FE_OFN904_n_2222),
-	.B2(FE_OFN1408_n_2037),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_4119), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194065,8 +190648,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440368 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [3]),
 	.A2_N(n_2748),
-	.B1(FE_OFN904_n_2222),
-	.B2(FE_OFN1407_n_2017),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_4118), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194075,8 +190658,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440369 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [4]),
 	.A2_N(n_2748),
-	.B1(FE_OFN904_n_2222),
-	.B2(n_2035),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_4117), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194085,8 +190668,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440370 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [5]),
 	.A2_N(n_2748),
-	.B1(FE_OFN904_n_2222),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_4116), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194095,8 +190678,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440371 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [6]),
 	.A2_N(n_2748),
-	.B1(FE_OFN904_n_2222),
-	.B2(FE_OFN1422_n_2070),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_4115), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194105,8 +190688,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440372 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [7]),
 	.A2_N(n_2748),
-	.B1(FE_OFN904_n_2222),
-	.B2(FE_OFN1432_n_2095),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_4114), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194115,8 +190698,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440373 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[107] [8]),
 	.A2_N(n_2748),
-	.B1(FE_OFN904_n_2222),
-	.B2(n_2091),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_4113), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194126,7 +190709,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [1]),
 	.A2_N(n_2800),
 	.B1(n_2106),
-	.B2(FE_OFN890_n_1996),
+	.B2(n_1996),
 	.Y(n_4112), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194136,7 +190719,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [2]),
 	.A2_N(n_2800),
 	.B1(n_2112),
-	.B2(FE_OFN890_n_1996),
+	.B2(n_1996),
 	.Y(n_4111), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194146,7 +190729,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [3]),
 	.A2_N(n_2800),
 	.B1(n_2108),
-	.B2(FE_OFN890_n_1996),
+	.B2(n_1996),
 	.Y(n_4110), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194156,7 +190739,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [4]),
 	.A2_N(n_2800),
 	.B1(n_2107),
-	.B2(FE_OFN890_n_1996),
+	.B2(n_1996),
 	.Y(n_4109), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194166,7 +190749,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [5]),
 	.A2_N(n_2800),
 	.B1(n_2102),
-	.B2(FE_OFN890_n_1996),
+	.B2(n_1996),
 	.Y(n_4108), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194175,8 +190758,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440379 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [6]),
 	.A2_N(n_2800),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN890_n_1996),
+	.B1(n_2016),
+	.B2(n_1996),
 	.Y(n_4107), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194185,8 +190768,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440380 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [7]),
 	.A2_N(n_2733),
-	.B1(FE_OFN890_n_1996),
-	.B2(FE_OFN1421_n_2069),
+	.B1(FE_OFN1096_n_1996),
+	.B2(n_2069),
 	.Y(n_4106), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194196,7 +190779,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [7]),
 	.A2_N(n_2800),
 	.B1(n_2081),
-	.B2(FE_OFN890_n_1996),
+	.B2(n_1996),
 	.Y(n_4105), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194205,8 +190788,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440382 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[108] [8]),
 	.A2_N(n_2800),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN890_n_1996),
+	.B1(n_2134),
+	.B2(n_1996),
 	.Y(n_4104), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194216,7 +190799,7 @@
 	.A1(n_2488),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [1]),
 	.B1(n_2458),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_4103), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194225,8 +190808,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440384 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [2]),
 	.A2_N(n_2480),
-	.B1(FE_OFN1440_n_2112),
-	.B2(FE_OFN905_n_2223),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4102), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194235,8 +190818,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440385 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [3]),
 	.A2_N(n_2480),
-	.B1(n_2108),
-	.B2(FE_OFN905_n_2223),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4101), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194245,8 +190828,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440386 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [4]),
 	.A2_N(n_2480),
-	.B1(FE_OFN1438_n_2107),
-	.B2(FE_OFN905_n_2223),
+	.B1(FE_OFN1482_n_2107),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4100), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194255,8 +190838,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440387 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [5]),
 	.A2_N(n_2480),
-	.B1(FE_OFN1436_n_2102),
-	.B2(FE_OFN905_n_2223),
+	.B1(FE_OFN1479_n_2102),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4099), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194265,8 +190848,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440388 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [6]),
 	.A2_N(n_2480),
-	.B1(n_2016),
-	.B2(FE_OFN905_n_2223),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4098), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194275,8 +190858,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440389 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [7]),
 	.A2_N(n_2480),
-	.B1(n_2081),
-	.B2(FE_OFN905_n_2223),
+	.B1(FE_OFN1471_n_2081),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4097), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194285,8 +190868,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440390 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[109] [8]),
 	.A2_N(n_2480),
-	.B1(n_2134),
-	.B2(FE_OFN905_n_2223),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_4096), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194295,8 +190878,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440391 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [1]),
 	.A2_N(n_2738),
-	.B1(n_2106),
-	.B2(FE_OFN891_n_1998),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1097_n_1998),
 	.Y(n_4095), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194305,8 +190888,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440392 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [2]),
 	.A2_N(n_2738),
-	.B1(n_2112),
-	.B2(FE_OFN891_n_1998),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1097_n_1998),
 	.Y(n_4094), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194315,8 +190898,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440393 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [3]),
 	.A2_N(n_2738),
-	.B1(n_2108),
-	.B2(FE_OFN891_n_1998),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1097_n_1998),
 	.Y(n_4093), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194325,8 +190908,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440394 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [4]),
 	.A2_N(n_2738),
-	.B1(n_2107),
-	.B2(FE_OFN891_n_1998),
+	.B1(FE_OFN1482_n_2107),
+	.B2(FE_OFN1097_n_1998),
 	.Y(n_4092), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194335,8 +190918,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440395 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [5]),
 	.A2_N(n_2738),
-	.B1(n_2102),
-	.B2(FE_OFN891_n_1998),
+	.B1(FE_OFN1479_n_2102),
+	.B2(FE_OFN1097_n_1998),
 	.Y(n_4091), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194345,8 +190928,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440396 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [6]),
 	.A2_N(n_2738),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN891_n_1998),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1097_n_1998),
 	.Y(n_4090), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194355,8 +190938,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440397 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [7]),
 	.A2_N(n_2738),
-	.B1(n_2081),
-	.B2(FE_OFN891_n_1998),
+	.B1(FE_OFN1471_n_2081),
+	.B2(FE_OFN1097_n_1998),
 	.Y(n_4089), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194365,8 +190948,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440398 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[110] [8]),
 	.A2_N(n_2738),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN891_n_1998),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1097_n_1998),
 	.Y(n_4088), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194375,8 +190958,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440399 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [1]),
 	.A2_N(n_2747),
-	.B1(n_2106),
-	.B2(FE_OFN904_n_2222),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1103_n_2222),
 	.Y(n_4087), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194385,8 +190968,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440400 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [2]),
 	.A2_N(n_2747),
-	.B1(FE_OFN1440_n_2112),
-	.B2(FE_OFN904_n_2222),
+	.B1(FE_OFN1485_n_2112),
+	.B2(FE_OFN1103_n_2222),
 	.Y(n_4086), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194395,8 +190978,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440401 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [3]),
 	.A2_N(n_2747),
-	.B1(n_2108),
-	.B2(FE_OFN904_n_2222),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1103_n_2222),
 	.Y(n_4085), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194405,8 +190988,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440402 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [4]),
 	.A2_N(n_2747),
-	.B1(FE_OFN1438_n_2107),
-	.B2(FE_OFN904_n_2222),
+	.B1(FE_OFN1482_n_2107),
+	.B2(FE_OFN1103_n_2222),
 	.Y(n_4084), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194415,8 +190998,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440403 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [5]),
 	.A2_N(n_2747),
-	.B1(FE_OFN1436_n_2102),
-	.B2(FE_OFN904_n_2222),
+	.B1(FE_OFN1479_n_2102),
+	.B2(FE_OFN1103_n_2222),
 	.Y(n_4083), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194425,8 +191008,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440404 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [6]),
 	.A2_N(n_2747),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN904_n_2222),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1103_n_2222),
 	.Y(n_4082), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194435,8 +191018,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440405 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [7]),
 	.A2_N(n_2747),
-	.B1(n_2081),
-	.B2(FE_OFN904_n_2222),
+	.B1(FE_OFN1471_n_2081),
+	.B2(FE_OFN1103_n_2222),
 	.Y(n_4081), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194445,8 +191028,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440406 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[111] [8]),
 	.A2_N(n_2747),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN904_n_2222),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1103_n_2222),
 	.Y(n_4080), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194465,8 +191048,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440408 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [2]),
 	.A2_N(n_2697),
-	.B1(FE_OFN908_n_2227),
-	.B2(FE_OFN1430_n_2089),
+	.B1(n_2227),
+	.B2(n_2089),
 	.Y(n_4078), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194475,8 +191058,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440409 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [3]),
 	.A2_N(n_2697),
-	.B1(FE_OFN908_n_2227),
-	.B2(FE_OFN1424_n_2073),
+	.B1(n_2227),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_4077), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194485,8 +191068,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440410 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [4]),
 	.A2_N(n_2697),
-	.B1(FE_OFN908_n_2227),
-	.B2(FE_OFN1431_n_2093),
+	.B1(n_2227),
+	.B2(n_2093),
 	.Y(n_4076), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194495,8 +191078,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440411 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [5]),
 	.A2_N(n_2697),
-	.B1(FE_OFN908_n_2227),
-	.B2(FE_OFN1441_n_2120),
+	.B1(n_2227),
+	.B2(n_2120),
 	.Y(n_4075), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194505,8 +191088,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440412 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [6]),
 	.A2_N(n_2697),
-	.B1(FE_OFN908_n_2227),
-	.B2(FE_OFN1443_n_2124),
+	.B1(n_2227),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4074), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194515,8 +191098,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440413 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [7]),
 	.A2_N(n_2697),
-	.B1(FE_OFN908_n_2227),
-	.B2(FE_OFN1425_n_2075),
+	.B1(n_2227),
+	.B2(n_2075),
 	.Y(n_4073), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194526,7 +191109,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[112] [8]),
 	.A2_N(n_2697),
 	.B1(n_2227),
-	.B2(FE_OFN1445_n_2132),
+	.B2(n_2132),
 	.Y(n_4072), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194535,7 +191118,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440415 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [1]),
 	.A2_N(n_2756),
-	.B1(FE_OFN911_n_2231),
+	.B1(n_2231),
 	.B2(n_2087),
 	.Y(n_4071), 
 	.VPWR(vccd1), 
@@ -194545,8 +191128,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440416 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [2]),
 	.A2_N(n_2756),
-	.B1(FE_OFN911_n_2231),
-	.B2(FE_OFN1430_n_2089),
+	.B1(n_2231),
+	.B2(n_2089),
 	.Y(n_4070), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194555,8 +191138,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440417 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [3]),
 	.A2_N(n_2756),
-	.B1(FE_OFN911_n_2231),
-	.B2(FE_OFN1424_n_2073),
+	.B1(n_2231),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_4069), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194565,7 +191148,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440418 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [2]),
 	.A2_N(n_2521),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2040),
 	.Y(n_4068), 
 	.VPWR(vccd1), 
@@ -194575,8 +191158,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440419 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [4]),
 	.A2_N(n_2756),
-	.B1(FE_OFN911_n_2231),
-	.B2(FE_OFN1431_n_2093),
+	.B1(n_2231),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_4067), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194585,8 +191168,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440420 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [5]),
 	.A2_N(n_2756),
-	.B1(FE_OFN911_n_2231),
-	.B2(n_2120),
+	.B1(n_2231),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_4066), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194595,8 +191178,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440421 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [6]),
 	.A2_N(n_2756),
-	.B1(FE_OFN911_n_2231),
-	.B2(FE_OFN1443_n_2124),
+	.B1(n_2231),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4065), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194605,8 +191188,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440422 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [7]),
 	.A2_N(n_2756),
-	.B1(FE_OFN911_n_2231),
-	.B2(n_2075),
+	.B1(n_2231),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_4064), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194615,8 +191198,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440423 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[113] [8]),
 	.A2_N(n_2756),
-	.B1(FE_OFN911_n_2231),
-	.B2(n_2132),
+	.B1(n_2231),
+	.B2(FE_OFN1489_n_2132),
 	.Y(n_4063), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194636,7 +191219,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [4]),
 	.A2_N(n_2702),
 	.B1(n_2229),
-	.B2(FE_OFN1431_n_2093),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_4061), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194646,7 +191229,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [5]),
 	.A2_N(n_2702),
 	.B1(n_2229),
-	.B2(n_2120),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_4060), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194656,7 +191239,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [6]),
 	.A2_N(n_2702),
 	.B1(n_2229),
-	.B2(n_2124),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4059), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194666,7 +191249,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [7]),
 	.A2_N(n_2702),
 	.B1(n_2229),
-	.B2(FE_OFN1425_n_2075),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_4058), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194676,7 +191259,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[114] [8]),
 	.A2_N(n_2702),
 	.B1(n_2229),
-	.B2(FE_OFN1445_n_2132),
+	.B2(n_2132),
 	.Y(n_4057), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194685,7 +191268,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440430 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [1]),
 	.A2_N(n_2814),
-	.B1(n_2215),
+	.B1(FE_OFN1100_n_2215),
 	.B2(n_2087),
 	.Y(n_4056), 
 	.VPWR(vccd1), 
@@ -194696,7 +191279,7 @@
 	.A1(n_2455),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [7]),
 	.B1(n_2838),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_4055), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194706,7 +191289,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [4]),
 	.A2_N(n_2814),
 	.B1(n_2215),
-	.B2(n_2093),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_4054), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194716,7 +191299,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [5]),
 	.A2_N(n_2814),
 	.B1(n_2215),
-	.B2(FE_OFN1441_n_2120),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_4053), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194725,8 +191308,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440434 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [6]),
 	.A2_N(n_2814),
-	.B1(n_2215),
-	.B2(FE_OFN1443_n_2124),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4052), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194735,8 +191318,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440435 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [7]),
 	.A2_N(n_2814),
-	.B1(n_2215),
-	.B2(n_2075),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_4051), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194746,7 +191329,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[115] [8]),
 	.A2_N(n_2814),
 	.B1(n_2215),
-	.B2(n_2132),
+	.B2(FE_OFN1489_n_2132),
 	.Y(n_4050), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194755,7 +191338,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440437 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [2]),
 	.A2_N(n_2442),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2057),
 	.Y(n_4049), 
 	.VPWR(vccd1), 
@@ -194765,7 +191348,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440438 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [3]),
 	.A2_N(n_2442),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2054),
 	.Y(n_4048), 
 	.VPWR(vccd1), 
@@ -194775,7 +191358,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440439 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [4]),
 	.A2_N(n_2442),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2061),
 	.Y(n_4047), 
 	.VPWR(vccd1), 
@@ -194785,7 +191368,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440440 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [5]),
 	.A2_N(n_2442),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2066),
 	.Y(n_4046), 
 	.VPWR(vccd1), 
@@ -194795,7 +191378,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440441 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [6]),
 	.A2_N(n_2442),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2063),
 	.Y(n_4045), 
 	.VPWR(vccd1), 
@@ -194805,7 +191388,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440442 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[116] [8]),
 	.A2_N(n_2442),
-	.B1(FE_OFN908_n_2227),
+	.B1(n_2227),
 	.B2(n_2065),
 	.Y(n_4044), 
 	.VPWR(vccd1), 
@@ -194815,8 +191398,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440443 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [1]),
 	.A2_N(n_2451),
-	.B1(n_2231),
-	.B2(n_2059),
+	.B1(FE_OFN1108_n_2231),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_4043), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194825,8 +191408,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440444 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [2]),
 	.A2_N(n_2451),
-	.B1(n_2231),
-	.B2(FE_OFN1416_n_2057),
+	.B1(FE_OFN1108_n_2231),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_4042), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194835,7 +191418,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440445 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [3]),
 	.A2_N(n_2451),
-	.B1(n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2054),
 	.Y(n_4041), 
 	.VPWR(vccd1), 
@@ -194845,8 +191428,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440446 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [4]),
 	.A2_N(n_2451),
-	.B1(n_2231),
-	.B2(FE_OFN1417_n_2061),
+	.B1(FE_OFN1108_n_2231),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_4040), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194855,7 +191438,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440447 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [5]),
 	.A2_N(n_2451),
-	.B1(n_2231),
+	.B1(FE_OFN1108_n_2231),
 	.B2(n_2066),
 	.Y(n_4039), 
 	.VPWR(vccd1), 
@@ -194865,8 +191448,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440448 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [6]),
 	.A2_N(n_2451),
-	.B1(n_2231),
-	.B2(FE_OFN1418_n_2063),
+	.B1(FE_OFN1108_n_2231),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_4038), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194875,8 +191458,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440449 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [7]),
 	.A2_N(n_2451),
-	.B1(n_2231),
-	.B2(n_2069),
+	.B1(FE_OFN1108_n_2231),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_4037), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194885,8 +191468,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440450 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[117] [8]),
 	.A2_N(n_2451),
-	.B1(n_2231),
-	.B2(FE_OFN1419_n_2065),
+	.B1(FE_OFN1108_n_2231),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_4036), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194896,7 +191479,7 @@
 	.A1(n_2455),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [5]),
 	.B1(n_2838),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN863_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_4035), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194905,8 +191488,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440452 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [1]),
 	.A2_N(n_2513),
-	.B1(n_2229),
-	.B2(n_2059),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_4034), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194915,8 +191498,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440453 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [2]),
 	.A2_N(n_2513),
-	.B1(n_2229),
-	.B2(FE_OFN1416_n_2057),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_4033), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194925,7 +191508,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440454 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [3]),
 	.A2_N(n_2513),
-	.B1(n_2229),
+	.B1(FE_OFN1107_n_2229),
 	.B2(n_2054),
 	.Y(n_4032), 
 	.VPWR(vccd1), 
@@ -194935,8 +191518,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440455 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [4]),
 	.A2_N(n_2513),
-	.B1(n_2229),
-	.B2(FE_OFN1417_n_2061),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_4031), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194945,7 +191528,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440456 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [5]),
 	.A2_N(n_2513),
-	.B1(n_2229),
+	.B1(FE_OFN1107_n_2229),
 	.B2(n_2066),
 	.Y(n_4030), 
 	.VPWR(vccd1), 
@@ -194955,8 +191538,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440457 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [6]),
 	.A2_N(n_2513),
-	.B1(n_2229),
-	.B2(FE_OFN1418_n_2063),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_4029), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194965,8 +191548,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440458 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [7]),
 	.A2_N(n_2513),
-	.B1(n_2229),
-	.B2(n_2069),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_4028), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194975,8 +191558,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440459 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[118] [8]),
 	.A2_N(n_2513),
-	.B1(n_2229),
-	.B2(FE_OFN1419_n_2065),
+	.B1(FE_OFN1107_n_2229),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_4027), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194985,8 +191568,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440460 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [1]),
 	.A2_N(n_2722),
-	.B1(n_2215),
-	.B2(n_2059),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_4026), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -194995,8 +191578,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440461 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [2]),
 	.A2_N(n_2722),
-	.B1(FE_OFN896_n_2215),
-	.B2(FE_OFN1416_n_2057),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_4025), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195005,7 +191588,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440462 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [3]),
 	.A2_N(n_2722),
-	.B1(FE_OFN896_n_2215),
+	.B1(FE_OFN1100_n_2215),
 	.B2(n_2054),
 	.Y(n_4024), 
 	.VPWR(vccd1), 
@@ -195015,8 +191598,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440463 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [4]),
 	.A2_N(n_2722),
-	.B1(FE_OFN896_n_2215),
-	.B2(FE_OFN1417_n_2061),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_4023), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195025,7 +191608,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440464 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [5]),
 	.A2_N(n_2722),
-	.B1(FE_OFN896_n_2215),
+	.B1(FE_OFN1100_n_2215),
 	.B2(n_2066),
 	.Y(n_4022), 
 	.VPWR(vccd1), 
@@ -195035,8 +191618,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440465 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [6]),
 	.A2_N(n_2722),
-	.B1(FE_OFN896_n_2215),
-	.B2(FE_OFN1418_n_2063),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_4021), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195045,8 +191628,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440466 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [7]),
 	.A2_N(n_2722),
-	.B1(FE_OFN896_n_2215),
-	.B2(FE_OFN1421_n_2069),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_4020), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195055,7 +191638,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440467 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [4]),
 	.A2_N(n_2733),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2061),
 	.Y(n_4019), 
 	.VPWR(vccd1), 
@@ -195065,8 +191648,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440468 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[119] [8]),
 	.A2_N(n_2722),
-	.B1(FE_OFN896_n_2215),
-	.B2(FE_OFN1419_n_2065),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_4018), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195075,8 +191658,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440469 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [8]),
 	.A2_N(n_2825),
-	.B1(FE_OFN895_n_2012),
-	.B2(n_2132),
+	.B1(n_2012),
+	.B2(FE_OFN1489_n_2132),
 	.Y(n_4017), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195096,7 +191679,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [3]),
 	.A2_N(n_2730),
 	.B1(n_1996),
-	.B2(FE_OFN1424_n_2073),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_4015), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195106,7 +191689,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [4]),
 	.A2_N(n_2730),
 	.B1(n_1996),
-	.B2(FE_OFN1431_n_2093),
+	.B2(n_2093),
 	.Y(n_4014), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195115,8 +191698,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440473 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [5]),
 	.A2_N(n_2730),
-	.B1(FE_OFN890_n_1996),
-	.B2(FE_OFN1441_n_2120),
+	.B1(n_1996),
+	.B2(n_2120),
 	.Y(n_4013), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195125,8 +191708,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440474 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [6]),
 	.A2_N(n_2730),
-	.B1(FE_OFN890_n_1996),
-	.B2(FE_OFN1443_n_2124),
+	.B1(FE_OFN1096_n_1996),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4012), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195135,8 +191718,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440475 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [7]),
 	.A2_N(n_2730),
-	.B1(n_1996),
-	.B2(FE_OFN1425_n_2075),
+	.B1(FE_OFN1096_n_1996),
+	.B2(n_2075),
 	.Y(n_4011), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195145,7 +191728,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440476 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [2]),
 	.A2_N(n_2696),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2089),
 	.Y(n_4010), 
 	.VPWR(vccd1), 
@@ -195156,7 +191739,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [3]),
 	.A2_N(n_2696),
 	.B1(n_2223),
-	.B2(n_2073),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_4009), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195166,7 +191749,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [4]),
 	.A2_N(n_2696),
 	.B1(n_2223),
-	.B2(n_2093),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_4008), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195175,8 +191758,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440479 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [5]),
 	.A2_N(n_2696),
-	.B1(n_2223),
-	.B2(FE_OFN1441_n_2120),
+	.B1(FE_OFN1104_n_2223),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_4007), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195186,7 +191769,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [6]),
 	.A2_N(n_2696),
 	.B1(n_2223),
-	.B2(FE_OFN1443_n_2124),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_4006), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195196,7 +191779,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [7]),
 	.A2_N(n_2696),
 	.B1(n_2223),
-	.B2(n_2075),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_4005), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195206,7 +191789,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [8]),
 	.A2_N(n_2696),
 	.B1(n_2223),
-	.B2(n_2132),
+	.B2(FE_OFN1489_n_2132),
 	.Y(n_4004), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195215,8 +191798,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440483 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [2]),
 	.A2_N(n_2774),
-	.B1(FE_OFN913_n_2233),
-	.B2(FE_OFN1430_n_2089),
+	.B1(FE_OFN1109_n_2233),
+	.B2(n_2089),
 	.Y(n_4003), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195225,7 +191808,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440484 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [1]),
 	.A2_N(n_2703),
-	.B1(n_1998),
+	.B1(FE_OFN1097_n_1998),
 	.B2(n_2087),
 	.Y(n_4002), 
 	.VPWR(vccd1), 
@@ -195235,8 +191818,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440485 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [2]),
 	.A2_N(n_2703),
-	.B1(n_1998),
-	.B2(FE_OFN1430_n_2089),
+	.B1(FE_OFN1097_n_1998),
+	.B2(n_2089),
 	.Y(n_4001), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195246,7 +191829,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [3]),
 	.A2_N(n_2703),
 	.B1(n_1998),
-	.B2(FE_OFN1424_n_2073),
+	.B2(n_2073),
 	.Y(n_4000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195256,7 +191839,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [4]),
 	.A2_N(n_2703),
 	.B1(n_1998),
-	.B2(FE_OFN1431_n_2093),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_3999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195265,8 +191848,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440488 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [6]),
 	.A2_N(n_2703),
-	.B1(n_1998),
-	.B2(n_2124),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_3998), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195275,8 +191858,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440489 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [7]),
 	.A2_N(n_2703),
-	.B1(n_1998),
-	.B2(FE_OFN1425_n_2075),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_3997), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195285,7 +191868,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440490 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [5]),
 	.A2_N(n_2733),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2066),
 	.Y(n_3996), 
 	.VPWR(vccd1), 
@@ -195296,7 +191879,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[122] [8]),
 	.A2_N(n_2703),
 	.B1(n_1998),
-	.B2(FE_OFN1445_n_2132),
+	.B2(n_2132),
 	.Y(n_3995), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195305,7 +191888,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440492 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [1]),
 	.A2_N(n_2699),
-	.B1(FE_OFN904_n_2222),
+	.B1(FE_OFN1103_n_2222),
 	.B2(n_2087),
 	.Y(n_3994), 
 	.VPWR(vccd1), 
@@ -195315,7 +191898,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440493 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [2]),
 	.A2_N(n_2699),
-	.B1(FE_OFN904_n_2222),
+	.B1(FE_OFN1103_n_2222),
 	.B2(n_2089),
 	.Y(n_3993), 
 	.VPWR(vccd1), 
@@ -195325,7 +191908,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440494 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [4]),
 	.A2_N(n_2699),
-	.B1(FE_OFN904_n_2222),
+	.B1(FE_OFN1103_n_2222),
 	.B2(n_2093),
 	.Y(n_3992), 
 	.VPWR(vccd1), 
@@ -195335,8 +191918,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440495 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [5]),
 	.A2_N(n_2699),
-	.B1(FE_OFN904_n_2222),
-	.B2(FE_OFN1441_n_2120),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_3991), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195345,8 +191928,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440496 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[123] [6]),
 	.A2_N(n_2699),
-	.B1(FE_OFN904_n_2222),
-	.B2(FE_OFN1443_n_2124),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_3990), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195355,7 +191938,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440497 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [1]),
 	.A2_N(n_2733),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2059),
 	.Y(n_3989), 
 	.VPWR(vccd1), 
@@ -195365,7 +191948,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440498 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[124] [6]),
 	.A2_N(n_2733),
-	.B1(FE_OFN890_n_1996),
+	.B1(FE_OFN1096_n_1996),
 	.B2(n_2063),
 	.Y(n_3988), 
 	.VPWR(vccd1), 
@@ -195375,8 +191958,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440499 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [2]),
 	.A2_N(n_2512),
-	.B1(FE_OFN905_n_2223),
-	.B2(n_2057),
+	.B1(FE_OFN1104_n_2223),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_3987), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195385,7 +191968,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440500 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [3]),
 	.A2_N(n_2512),
-	.B1(FE_OFN905_n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2054),
 	.Y(n_3986), 
 	.VPWR(vccd1), 
@@ -195395,8 +191978,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440501 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [4]),
 	.A2_N(n_2512),
-	.B1(FE_OFN905_n_2223),
-	.B2(n_2061),
+	.B1(FE_OFN1104_n_2223),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_3985), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195405,7 +191988,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440502 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [5]),
 	.A2_N(n_2512),
-	.B1(FE_OFN905_n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2066),
 	.Y(n_3984), 
 	.VPWR(vccd1), 
@@ -195415,8 +191998,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440503 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [6]),
 	.A2_N(n_2512),
-	.B1(FE_OFN905_n_2223),
-	.B2(n_2063),
+	.B1(FE_OFN1104_n_2223),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_3983), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195425,8 +192008,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440504 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [7]),
 	.A2_N(n_2512),
-	.B1(FE_OFN905_n_2223),
-	.B2(n_2069),
+	.B1(FE_OFN1104_n_2223),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_3982), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195435,8 +192018,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440505 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[125] [8]),
 	.A2_N(n_2512),
-	.B1(FE_OFN905_n_2223),
-	.B2(n_2065),
+	.B1(FE_OFN1104_n_2223),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_3981), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195445,7 +192028,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440506 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[42] [6]),
 	.A2_N(n_2867),
-	.B1(FE_OFN891_n_1998),
+	.B1(FE_OFN1097_n_1998),
 	.B2(n_2046),
 	.Y(n_3980), 
 	.VPWR(vccd1), 
@@ -195455,8 +192038,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440507 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [1]),
 	.A2_N(n_2454),
-	.B1(n_1998),
-	.B2(n_2059),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_3979), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195465,7 +192048,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440508 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [3]),
 	.A2_N(n_2454),
-	.B1(n_1998),
+	.B1(FE_OFN1097_n_1998),
 	.B2(n_2054),
 	.Y(n_3978), 
 	.VPWR(vccd1), 
@@ -195475,8 +192058,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440509 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [4]),
 	.A2_N(n_2454),
-	.B1(n_1998),
-	.B2(FE_OFN1417_n_2061),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_3977), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195485,7 +192068,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440510 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [5]),
 	.A2_N(n_2454),
-	.B1(n_1998),
+	.B1(FE_OFN1097_n_1998),
 	.B2(n_2066),
 	.Y(n_3976), 
 	.VPWR(vccd1), 
@@ -195495,8 +192078,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440511 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [6]),
 	.A2_N(n_2454),
-	.B1(n_1998),
-	.B2(FE_OFN1418_n_2063),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_3975), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195505,8 +192088,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440512 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [8]),
 	.A2_N(n_2454),
-	.B1(n_1998),
-	.B2(n_2065),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_3974), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195515,7 +192098,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440513 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [1]),
 	.A2_N(n_2477),
-	.B1(n_2059),
+	.B1(FE_OFN1461_n_2059),
 	.B2(n_2222),
 	.Y(n_3973), 
 	.VPWR(vccd1), 
@@ -195535,8 +192118,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440515 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [4]),
 	.A2_N(n_2477),
-	.B1(FE_OFN1417_n_2061),
-	.B2(FE_OFN904_n_2222),
+	.B1(FE_OFN1462_n_2061),
+	.B2(n_2222),
 	.Y(n_3971), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195555,8 +192138,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440517 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[127] [7]),
 	.A2_N(n_2477),
-	.B1(FE_OFN1421_n_2069),
-	.B2(FE_OFN904_n_2222),
+	.B1(FE_OFN1465_n_2069),
+	.B2(n_2222),
 	.Y(n_3969), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195565,7 +192148,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440518 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [1]),
 	.A2_N(n_2804),
-	.B1(FE_OFN907_n_2226),
+	.B1(FE_OFN1503_n_2226),
 	.B2(n_2042),
 	.Y(n_3968), 
 	.VPWR(vccd1), 
@@ -195575,7 +192158,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440519 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [2]),
 	.A2_N(n_2804),
-	.B1(FE_OFN907_n_2226),
+	.B1(FE_OFN1503_n_2226),
 	.B2(n_2040),
 	.Y(n_3967), 
 	.VPWR(vccd1), 
@@ -195585,7 +192168,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440520 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [3]),
 	.A2_N(n_2804),
-	.B1(FE_OFN907_n_2226),
+	.B1(FE_OFN1503_n_2226),
 	.B2(n_2052),
 	.Y(n_3966), 
 	.VPWR(vccd1), 
@@ -195595,7 +192178,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440521 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [4]),
 	.A2_N(n_2804),
-	.B1(FE_OFN907_n_2226),
+	.B1(FE_OFN1503_n_2226),
 	.B2(n_2050),
 	.Y(n_3965), 
 	.VPWR(vccd1), 
@@ -195605,7 +192188,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440522 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [5]),
 	.A2_N(n_2804),
-	.B1(FE_OFN907_n_2226),
+	.B1(FE_OFN1503_n_2226),
 	.B2(n_2048),
 	.Y(n_3964), 
 	.VPWR(vccd1), 
@@ -195615,7 +192198,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440523 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [6]),
 	.A2_N(n_2804),
-	.B1(FE_OFN907_n_2226),
+	.B1(FE_OFN1503_n_2226),
 	.B2(n_2046),
 	.Y(n_3963), 
 	.VPWR(vccd1), 
@@ -195625,7 +192208,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440524 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [7]),
 	.A2_N(n_2804),
-	.B1(FE_OFN907_n_2226),
+	.B1(FE_OFN1503_n_2226),
 	.B2(n_2044),
 	.Y(n_3962), 
 	.VPWR(vccd1), 
@@ -195635,7 +192218,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440525 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[128] [8]),
 	.A2_N(n_2804),
-	.B1(FE_OFN907_n_2226),
+	.B1(FE_OFN1503_n_2226),
 	.B2(n_2038),
 	.Y(n_3961), 
 	.VPWR(vccd1), 
@@ -195645,7 +192228,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440526 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [1]),
 	.A2_N(n_2483),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2042),
 	.Y(n_3960), 
 	.VPWR(vccd1), 
@@ -195655,7 +192238,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440527 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [2]),
 	.A2_N(n_2483),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2040),
 	.Y(n_3959), 
 	.VPWR(vccd1), 
@@ -195665,7 +192248,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440528 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [3]),
 	.A2_N(n_2483),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2052),
 	.Y(n_3958), 
 	.VPWR(vccd1), 
@@ -195675,7 +192258,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440529 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [4]),
 	.A2_N(n_2483),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2050),
 	.Y(n_3957), 
 	.VPWR(vccd1), 
@@ -195685,7 +192268,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440530 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [5]),
 	.A2_N(n_2483),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2048),
 	.Y(n_3956), 
 	.VPWR(vccd1), 
@@ -195695,7 +192278,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440531 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [6]),
 	.A2_N(n_2483),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2046),
 	.Y(n_3955), 
 	.VPWR(vccd1), 
@@ -195715,7 +192298,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440533 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[129] [7]),
 	.A2_N(n_2483),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2044),
 	.Y(n_3953), 
 	.VPWR(vccd1), 
@@ -195725,8 +192308,8 @@
    sky130_fd_sc_hd__a22o_1 g440534 (
 	.A1(n_2741),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [1]),
-	.B1(FE_OFN19728_n_2043),
-	.B2(FE_OFN915_n_2235),
+	.B1(n_2043),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3952), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195735,8 +192318,8 @@
    sky130_fd_sc_hd__a22o_1 g440535 (
 	.A1(n_2741),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [2]),
-	.B1(FE_OFN18842_n_2041),
-	.B2(FE_OFN915_n_2235),
+	.B1(n_2041),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3951), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195745,8 +192328,8 @@
    sky130_fd_sc_hd__a22o_1 g440536 (
 	.A1(n_2741),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [3]),
-	.B1(FE_OFN19413_n_2053),
-	.B2(FE_OFN915_n_2235),
+	.B1(n_2053),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3950), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195756,7 +192339,7 @@
 	.A1(n_2741),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [4]),
 	.B1(n_2051),
-	.B2(FE_OFN915_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3949), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195766,7 +192349,7 @@
 	.A1(n_2741),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[130] [6]),
 	.B1(n_2047),
-	.B2(FE_OFN915_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3948), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195775,7 +192358,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440539 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [1]),
 	.A2_N(n_2523),
-	.B1(FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2042),
 	.Y(n_3947), 
 	.VPWR(vccd1), 
@@ -195785,7 +192368,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440540 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [2]),
 	.A2_N(n_2523),
-	.B1(FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2040),
 	.Y(n_3946), 
 	.VPWR(vccd1), 
@@ -195795,7 +192378,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440541 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [3]),
 	.A2_N(n_2523),
-	.B1(FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2052),
 	.Y(n_3945), 
 	.VPWR(vccd1), 
@@ -195805,7 +192388,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440542 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [4]),
 	.A2_N(n_2523),
-	.B1(FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2050),
 	.Y(n_3944), 
 	.VPWR(vccd1), 
@@ -195815,7 +192398,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440543 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [6]),
 	.A2_N(n_2523),
-	.B1(FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2046),
 	.Y(n_3943), 
 	.VPWR(vccd1), 
@@ -195825,7 +192408,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440544 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[131] [8]),
 	.A2_N(n_2523),
-	.B1(FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2038),
 	.Y(n_3942), 
 	.VPWR(vccd1), 
@@ -195836,7 +192419,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [2]),
 	.A2_N(n_2803),
 	.B1(n_2032),
-	.B2(FE_OFN907_n_2226),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3941), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195846,7 +192429,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [3]),
 	.A2_N(n_2803),
 	.B1(n_2022),
-	.B2(FE_OFN907_n_2226),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3940), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195856,7 +192439,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [4]),
 	.A2_N(n_2803),
 	.B1(n_2030),
-	.B2(FE_OFN907_n_2226),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3939), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195866,7 +192449,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [5]),
 	.A2_N(n_2803),
 	.B1(n_2028),
-	.B2(FE_OFN907_n_2226),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3938), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195876,7 +192459,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [6]),
 	.A2_N(n_2803),
 	.B1(n_2026),
-	.B2(FE_OFN907_n_2226),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3937), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195886,7 +192469,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [7]),
 	.A2_N(n_2803),
 	.B1(n_2024),
-	.B2(FE_OFN907_n_2226),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3936), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195896,7 +192479,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[132] [8]),
 	.A2_N(n_2803),
 	.B1(n_2020),
-	.B2(FE_OFN907_n_2226),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3935), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195905,7 +192488,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440552 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [1]),
 	.A2_N(n_2482),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2018),
 	.Y(n_3934), 
 	.VPWR(vccd1), 
@@ -195915,7 +192498,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440553 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [3]),
 	.A2_N(n_2482),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2022),
 	.Y(n_3933), 
 	.VPWR(vccd1), 
@@ -195925,7 +192508,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440554 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [5]),
 	.A2_N(n_2482),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2028),
 	.Y(n_3932), 
 	.VPWR(vccd1), 
@@ -195935,7 +192518,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440555 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [6]),
 	.A2_N(n_2482),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2026),
 	.Y(n_3931), 
 	.VPWR(vccd1), 
@@ -195945,7 +192528,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440556 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [7]),
 	.A2_N(n_2482),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2024),
 	.Y(n_3930), 
 	.VPWR(vccd1), 
@@ -195955,7 +192538,7 @@
    sky130_fd_sc_hd__a22o_1 g440557 (
 	.A1(n_2740),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [1]),
-	.B1(FE_OFN915_n_2235),
+	.B1(FE_OFN1110_n_2235),
 	.B2(n_2019),
 	.X(n_3929), 
 	.VPWR(vccd1), 
@@ -195965,8 +192548,8 @@
    sky130_fd_sc_hd__a22o_1 g440558 (
 	.A1(n_2740),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [2]),
-	.B1(FE_OFN915_n_2235),
-	.B2(n_2033),
+	.B1(FE_OFN1110_n_2235),
+	.B2(FE_OFN1738_n_2033),
 	.X(n_3928), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195975,8 +192558,8 @@
    sky130_fd_sc_hd__a22o_1 g440559 (
 	.A1(n_2740),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [3]),
-	.B1(FE_OFN915_n_2235),
-	.B2(n_2023),
+	.B1(FE_OFN1110_n_2235),
+	.B2(FE_OFN1733_n_2023),
 	.X(n_3927), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195985,8 +192568,8 @@
    sky130_fd_sc_hd__a22o_1 g440560 (
 	.A1(n_2740),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [5]),
-	.B1(FE_OFN915_n_2235),
-	.B2(n_2029),
+	.B1(FE_OFN1110_n_2235),
+	.B2(FE_OFN1736_n_2029),
 	.X(n_3926), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -195995,8 +192578,8 @@
    sky130_fd_sc_hd__a22o_1 g440561 (
 	.A1(n_2740),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[134] [7]),
-	.B1(FE_OFN915_n_2235),
-	.B2(n_2025),
+	.B1(FE_OFN1110_n_2235),
+	.B2(FE_OFN1734_n_2025),
 	.X(n_3925), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196005,7 +192588,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440562 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [1]),
 	.A2_N(n_2525),
-	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2018),
 	.Y(n_3924), 
 	.VPWR(vccd1), 
@@ -196015,7 +192598,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440563 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [2]),
 	.A2_N(n_2525),
-	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2032),
 	.Y(n_3923), 
 	.VPWR(vccd1), 
@@ -196025,7 +192608,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440564 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [3]),
 	.A2_N(n_2525),
-	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2022),
 	.Y(n_3922), 
 	.VPWR(vccd1), 
@@ -196035,7 +192618,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440565 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [4]),
 	.A2_N(n_2525),
-	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2030),
 	.Y(n_3921), 
 	.VPWR(vccd1), 
@@ -196045,7 +192628,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440566 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [5]),
 	.A2_N(n_2525),
-	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2028),
 	.Y(n_3920), 
 	.VPWR(vccd1), 
@@ -196055,7 +192638,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440567 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [6]),
 	.A2_N(n_2525),
-	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2026),
 	.Y(n_3919), 
 	.VPWR(vccd1), 
@@ -196065,7 +192648,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440568 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [7]),
 	.A2_N(n_2525),
-	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2024),
 	.Y(n_3918), 
 	.VPWR(vccd1), 
@@ -196075,7 +192658,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440569 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[135] [8]),
 	.A2_N(n_2525),
-	.B1(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2020),
 	.Y(n_3917), 
 	.VPWR(vccd1), 
@@ -196085,7 +192668,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440570 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [1]),
 	.A2_N(n_2860),
-	.B1(n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2042),
 	.Y(n_3916), 
 	.VPWR(vccd1), 
@@ -196095,7 +192678,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440571 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [2]),
 	.A2_N(n_2860),
-	.B1(n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2040),
 	.Y(n_3915), 
 	.VPWR(vccd1), 
@@ -196105,7 +192688,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440572 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [4]),
 	.A2_N(n_2860),
-	.B1(n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2050),
 	.Y(n_3914), 
 	.VPWR(vccd1), 
@@ -196115,7 +192698,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440573 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [6]),
 	.A2_N(n_2860),
-	.B1(n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2046),
 	.Y(n_3913), 
 	.VPWR(vccd1), 
@@ -196125,7 +192708,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440574 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [8]),
 	.A2_N(n_2860),
-	.B1(n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2038),
 	.Y(n_3912), 
 	.VPWR(vccd1), 
@@ -196135,7 +192718,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440575 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [1]),
 	.A2_N(n_2521),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2042),
 	.Y(n_3911), 
 	.VPWR(vccd1), 
@@ -196145,7 +192728,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440576 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [3]),
 	.A2_N(n_2521),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2052),
 	.Y(n_3910), 
 	.VPWR(vccd1), 
@@ -196155,7 +192738,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440577 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [5]),
 	.A2_N(n_2521),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2048),
 	.Y(n_3909), 
 	.VPWR(vccd1), 
@@ -196165,7 +192748,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440578 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[137] [7]),
 	.A2_N(n_2521),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2044),
 	.Y(n_3908), 
 	.VPWR(vccd1), 
@@ -196175,8 +192758,8 @@
    sky130_fd_sc_hd__a22o_1 g440579 (
 	.A1(n_2817),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [1]),
-	.B1(FE_OFN19728_n_2043),
-	.B2(FE_OFN918_n_2240),
+	.B1(n_2043),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3907), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196185,8 +192768,8 @@
    sky130_fd_sc_hd__a22o_1 g440580 (
 	.A1(n_2817),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [2]),
-	.B1(FE_OFN18842_n_2041),
-	.B2(FE_OFN919_n_2240),
+	.B1(n_2041),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3906), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196195,8 +192778,8 @@
    sky130_fd_sc_hd__a22o_1 g440581 (
 	.A1(n_2817),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [3]),
-	.B1(FE_OFN19413_n_2053),
-	.B2(FE_OFN919_n_2240),
+	.B1(n_2053),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3905), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196206,7 +192789,7 @@
 	.A1(n_2817),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [4]),
 	.B1(n_2051),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3904), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196215,8 +192798,8 @@
    sky130_fd_sc_hd__a22o_1 g440583 (
 	.A1(n_2817),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [5]),
-	.B1(FE_OFN1413_n_2049),
-	.B2(FE_OFN919_n_2240),
+	.B1(n_2049),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3903), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196226,7 +192809,7 @@
 	.A1(n_2817),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [6]),
 	.B1(n_2047),
-	.B2(FE_OFN919_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3902), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196235,8 +192818,8 @@
    sky130_fd_sc_hd__a22o_1 g440585 (
 	.A1(n_2817),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [7]),
-	.B1(FE_OFN1412_n_2045),
-	.B2(FE_OFN919_n_2240),
+	.B1(FE_OFN1739_n_2045),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3901), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196245,8 +192828,8 @@
    sky130_fd_sc_hd__a22o_1 g440586 (
 	.A1(n_2817),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[138] [8]),
-	.B1(FE_OFN1409_n_2039),
-	.B2(FE_OFN918_n_2240),
+	.B1(n_2039),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3900), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196315,7 +192898,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440593 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [1]),
 	.A2_N(n_2861),
-	.B1(FE_OFN916_n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2018),
 	.Y(n_3893), 
 	.VPWR(vccd1), 
@@ -196325,7 +192908,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440594 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [2]),
 	.A2_N(n_2861),
-	.B1(FE_OFN916_n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2032),
 	.Y(n_3892), 
 	.VPWR(vccd1), 
@@ -196335,7 +192918,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440595 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [3]),
 	.A2_N(n_2861),
-	.B1(FE_OFN916_n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2022),
 	.Y(n_3891), 
 	.VPWR(vccd1), 
@@ -196345,7 +192928,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440596 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [4]),
 	.A2_N(n_2861),
-	.B1(FE_OFN916_n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2030),
 	.Y(n_3890), 
 	.VPWR(vccd1), 
@@ -196355,7 +192938,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440597 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [5]),
 	.A2_N(n_2861),
-	.B1(FE_OFN916_n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2028),
 	.Y(n_3889), 
 	.VPWR(vccd1), 
@@ -196365,7 +192948,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440598 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [6]),
 	.A2_N(n_2861),
-	.B1(FE_OFN916_n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2026),
 	.Y(n_3888), 
 	.VPWR(vccd1), 
@@ -196375,7 +192958,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440599 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [7]),
 	.A2_N(n_2861),
-	.B1(FE_OFN916_n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2024),
 	.Y(n_3887), 
 	.VPWR(vccd1), 
@@ -196385,7 +192968,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440600 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[140] [8]),
 	.A2_N(n_2861),
-	.B1(FE_OFN916_n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2020),
 	.Y(n_3886), 
 	.VPWR(vccd1), 
@@ -196396,7 +192979,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [2]),
 	.A2_N(n_2524),
 	.B1(n_2032),
-	.B2(n_2007),
+	.B2(FE_OFN1455_n_2007),
 	.Y(n_3885), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196406,7 +192989,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [3]),
 	.A2_N(n_2524),
 	.B1(n_2022),
-	.B2(n_2007),
+	.B2(FE_OFN1455_n_2007),
 	.Y(n_3884), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196416,7 +192999,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [4]),
 	.A2_N(n_2524),
 	.B1(n_2030),
-	.B2(n_2007),
+	.B2(FE_OFN1455_n_2007),
 	.Y(n_3883), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196426,7 +193009,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [5]),
 	.A2_N(n_2524),
 	.B1(n_2028),
-	.B2(n_2007),
+	.B2(FE_OFN1455_n_2007),
 	.Y(n_3882), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196436,7 +193019,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [6]),
 	.A2_N(n_2524),
 	.B1(n_2026),
-	.B2(n_2007),
+	.B2(FE_OFN1455_n_2007),
 	.Y(n_3881), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196446,7 +193029,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [7]),
 	.A2_N(n_2524),
 	.B1(n_2024),
-	.B2(n_2007),
+	.B2(FE_OFN1455_n_2007),
 	.Y(n_3880), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196456,7 +193039,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[141] [8]),
 	.A2_N(n_2524),
 	.B1(n_2020),
-	.B2(n_2007),
+	.B2(FE_OFN1455_n_2007),
 	.Y(n_3879), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196465,7 +193048,7 @@
    sky130_fd_sc_hd__a22o_1 g440608 (
 	.A1(n_2818),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [1]),
-	.B1(FE_OFN919_n_2240),
+	.B1(FE_OFN1111_n_2240),
 	.B2(n_2019),
 	.X(n_3878), 
 	.VPWR(vccd1), 
@@ -196475,8 +193058,8 @@
    sky130_fd_sc_hd__a22o_1 g440609 (
 	.A1(n_2818),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [2]),
-	.B1(FE_OFN919_n_2240),
-	.B2(n_2033),
+	.B1(FE_OFN1111_n_2240),
+	.B2(FE_OFN1738_n_2033),
 	.X(n_3877), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196485,8 +193068,8 @@
    sky130_fd_sc_hd__a22o_1 g440610 (
 	.A1(n_2818),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [3]),
-	.B1(FE_OFN919_n_2240),
-	.B2(n_2023),
+	.B1(FE_OFN1111_n_2240),
+	.B2(FE_OFN1733_n_2023),
 	.X(n_3876), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196495,8 +193078,8 @@
    sky130_fd_sc_hd__a22o_1 g440611 (
 	.A1(n_2818),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [4]),
-	.B1(FE_OFN919_n_2240),
-	.B2(n_2031),
+	.B1(FE_OFN1111_n_2240),
+	.B2(FE_OFN1737_n_2031),
 	.X(n_3875), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196505,8 +193088,8 @@
    sky130_fd_sc_hd__a22o_1 g440612 (
 	.A1(n_2818),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [5]),
-	.B1(FE_OFN919_n_2240),
-	.B2(n_2029),
+	.B1(FE_OFN1111_n_2240),
+	.B2(FE_OFN1736_n_2029),
 	.X(n_3874), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196515,8 +193098,8 @@
    sky130_fd_sc_hd__a22o_1 g440613 (
 	.A1(n_2818),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [7]),
-	.B1(FE_OFN919_n_2240),
-	.B2(n_2025),
+	.B1(FE_OFN1111_n_2240),
+	.B2(FE_OFN1734_n_2025),
 	.X(n_3873), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196525,8 +193108,8 @@
    sky130_fd_sc_hd__a22o_1 g440614 (
 	.A1(n_2818),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[142] [8]),
-	.B1(FE_OFN919_n_2240),
-	.B2(n_2021),
+	.B1(FE_OFN1111_n_2240),
+	.B2(FE_OFN1732_n_2021),
 	.X(n_3872), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196595,8 +193178,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440621 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [1]),
 	.A2_N(n_2751),
-	.B1(n_2226),
-	.B2(FE_OFN1439_n_2110),
+	.B1(FE_OFN1503_n_2226),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_3865), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196606,7 +193189,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [2]),
 	.A2_N(n_2751),
 	.B1(n_2226),
-	.B2(FE_OFN1428_n_2083),
+	.B2(n_2083),
 	.Y(n_3864), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196616,7 +193199,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [3]),
 	.A2_N(n_2751),
 	.B1(n_2226),
-	.B2(FE_OFN1435_n_2100),
+	.B2(n_2100),
 	.Y(n_3863), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196626,7 +193209,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [4]),
 	.A2_N(n_2751),
 	.B1(n_2226),
-	.B2(FE_OFN1437_n_2104),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_3862), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196636,7 +193219,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [5]),
 	.A2_N(n_2751),
 	.B1(n_2226),
-	.B2(FE_OFN1434_n_2099),
+	.B2(n_2099),
 	.Y(n_3861), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196656,7 +193239,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [7]),
 	.A2_N(n_2751),
 	.B1(n_2226),
-	.B2(FE_OFN1427_n_2079),
+	.B2(n_2079),
 	.Y(n_3859), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196665,7 +193248,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440628 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[144] [8]),
 	.A2_N(n_2751),
-	.B1(FE_OFN907_n_2226),
+	.B1(FE_OFN1503_n_2226),
 	.B2(n_2128),
 	.Y(n_3858), 
 	.VPWR(vccd1), 
@@ -196676,7 +193259,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [1]),
 	.A2_N(n_2713),
 	.B1(n_2238),
-	.B2(n_2110),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_3857), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196686,7 +193269,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [2]),
 	.A2_N(n_2713),
 	.B1(n_2238),
-	.B2(n_2083),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_3856), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196696,7 +193279,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [3]),
 	.A2_N(n_2713),
 	.B1(n_2238),
-	.B2(n_2100),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_3855), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196706,7 +193289,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [4]),
 	.A2_N(n_2713),
 	.B1(n_2238),
-	.B2(FE_OFN1437_n_2104),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_3854), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196716,7 +193299,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [5]),
 	.A2_N(n_2713),
 	.B1(n_2238),
-	.B2(FE_OFN1434_n_2099),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_3853), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196726,7 +193309,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [6]),
 	.A2_N(n_2713),
 	.B1(n_2238),
-	.B2(FE_OFN1429_n_2085),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_3852), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196736,7 +193319,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [7]),
 	.A2_N(n_2713),
 	.B1(n_2238),
-	.B2(n_2079),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_3851), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196746,7 +193329,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[145] [8]),
 	.A2_N(n_2713),
 	.B1(n_2238),
-	.B2(FE_OFN1444_n_2128),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_3850), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196756,7 +193339,7 @@
 	.A1(n_2708),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [2]),
 	.B1(n_2082),
-	.B2(FE_OFN914_n_2235),
+	.B2(n_2235),
 	.X(n_3849), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196766,7 +193349,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [3]),
 	.A2_N(n_2708),
 	.B1(n_2234),
-	.B2(FE_OFN1435_n_2100),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_3848), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196776,7 +193359,7 @@
 	.A1(n_2708),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [4]),
 	.B1(n_2103),
-	.B2(FE_OFN914_n_2235),
+	.B2(n_2235),
 	.X(n_3847), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196786,7 +193369,7 @@
 	.A1(n_2708),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [6]),
 	.B1(n_2084),
-	.B2(FE_OFN914_n_2235),
+	.B2(n_2235),
 	.X(n_3846), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196796,7 +193379,7 @@
 	.A1(n_2708),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [7]),
 	.B1(n_2078),
-	.B2(FE_OFN914_n_2235),
+	.B2(n_2235),
 	.X(n_3845), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196806,7 +193389,7 @@
 	.A1(n_2708),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[146] [8]),
 	.B1(n_2127),
-	.B2(FE_OFN914_n_2235),
+	.B2(n_2235),
 	.X(n_3844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196815,8 +193398,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440643 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [3]),
 	.A2_N(n_2737),
-	.B1(FE_OFN892_n_2004),
-	.B2(n_2100),
+	.B1(n_2004),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_3843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196825,8 +193408,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440644 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [5]),
 	.A2_N(n_2737),
-	.B1(FE_OFN892_n_2004),
-	.B2(n_2099),
+	.B1(n_2004),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_3842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196835,8 +193418,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440645 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [8]),
 	.A2_N(n_2737),
-	.B1(FE_OFN892_n_2004),
-	.B2(FE_OFN1444_n_2128),
+	.B1(n_2004),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_3841), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196845,7 +193428,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440646 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [1]),
 	.A2_N(n_2750),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2116),
 	.Y(n_3840), 
 	.VPWR(vccd1), 
@@ -196855,7 +193438,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440647 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [2]),
 	.A2_N(n_2750),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2117),
 	.Y(n_3839), 
 	.VPWR(vccd1), 
@@ -196865,7 +193448,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440648 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [3]),
 	.A2_N(n_2750),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2097),
 	.Y(n_3838), 
 	.VPWR(vccd1), 
@@ -196875,7 +193458,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440649 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [4]),
 	.A2_N(n_2750),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2125),
 	.Y(n_3837), 
 	.VPWR(vccd1), 
@@ -196885,7 +193468,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440650 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [5]),
 	.A2_N(n_2750),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2013),
 	.Y(n_3836), 
 	.VPWR(vccd1), 
@@ -196895,7 +193478,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440651 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[148] [7]),
 	.A2_N(n_2750),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2077),
 	.Y(n_3835), 
 	.VPWR(vccd1), 
@@ -196905,8 +193488,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440652 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [1]),
 	.A2_N(n_2519),
-	.B1(n_2238),
-	.B2(n_2116),
+	.B1(FE_OFN1506_n_2238),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_3834), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196915,7 +193498,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440653 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [4]),
 	.A2_N(n_2519),
-	.B1(n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2125),
 	.Y(n_3833), 
 	.VPWR(vccd1), 
@@ -196925,8 +193508,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440654 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [6]),
 	.A2_N(n_2519),
-	.B1(n_2238),
-	.B2(FE_OFN1442_n_2122),
+	.B1(FE_OFN1506_n_2238),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_3832), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196935,8 +193518,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440655 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [7]),
 	.A2_N(n_2519),
-	.B1(n_2238),
-	.B2(FE_OFN1426_n_2077),
+	.B1(FE_OFN1506_n_2238),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_3831), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196946,7 +193529,7 @@
 	.A1(n_2464),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [1]),
 	.B1(n_2115),
-	.B2(FE_OFN914_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3830), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196956,7 +193539,7 @@
 	.A1(n_2464),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [2]),
 	.B1(n_2118),
-	.B2(FE_OFN914_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3829), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196966,7 +193549,7 @@
 	.A1(n_2464),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [4]),
 	.B1(n_2126),
-	.B2(FE_OFN914_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3828), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196976,7 +193559,7 @@
 	.A1(n_2464),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [7]),
 	.B1(n_2076),
-	.B2(FE_OFN914_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3827), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -196996,7 +193579,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [3]),
 	.A2_N(n_2436),
 	.B1(n_2004),
-	.B2(FE_OFN1433_n_2097),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_3825), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197026,7 +193609,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [6]),
 	.A2_N(n_2436),
 	.B1(n_2004),
-	.B2(FE_OFN1442_n_2122),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_3822), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197036,7 +193619,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[151] [7]),
 	.A2_N(n_2436),
 	.B1(n_2004),
-	.B2(FE_OFN1426_n_2077),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_3821), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197046,7 +193629,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[87] [4]),
 	.A2_N(n_2431),
 	.B1(n_2220),
-	.B2(FE_OFN1417_n_2061),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_3820), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197066,7 +193649,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [1]),
 	.A2_N(n_2835),
 	.B1(n_2237),
-	.B2(FE_OFN1439_n_2110),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_3818), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197075,8 +193658,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440669 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [4]),
 	.A2_N(n_2835),
-	.B1(FE_OFN916_n_2237),
-	.B2(FE_OFN1437_n_2104),
+	.B1(n_2237),
+	.B2(FE_OFN1480_n_2104),
 	.Y(n_3817), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197085,7 +193668,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440670 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [6]),
 	.A2_N(n_2835),
-	.B1(FE_OFN916_n_2237),
+	.B1(n_2237),
 	.B2(n_2085),
 	.Y(n_3816), 
 	.VPWR(vccd1), 
@@ -197095,8 +193678,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440671 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[152] [7]),
 	.A2_N(n_2835),
-	.B1(FE_OFN916_n_2237),
-	.B2(FE_OFN1427_n_2079),
+	.B1(FE_OFN1505_n_2237),
+	.B2(n_2079),
 	.Y(n_3815), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197115,8 +193698,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440673 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[58] [1]),
 	.A2_N(n_2693),
-	.B1(n_1998),
-	.B2(FE_OFN1439_n_2110),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_3813), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197125,8 +193708,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440674 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [2]),
 	.A2_N(n_2766),
-	.B1(FE_OFN893_n_2007),
-	.B2(n_2083),
+	.B1(n_2007),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_3812), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197135,8 +193718,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440675 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [3]),
 	.A2_N(n_2766),
-	.B1(FE_OFN893_n_2007),
-	.B2(n_2100),
+	.B1(n_2007),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_3811), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197145,8 +193728,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440676 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [5]),
 	.A2_N(n_2766),
-	.B1(FE_OFN893_n_2007),
-	.B2(FE_OFN1434_n_2099),
+	.B1(FE_OFN1455_n_2007),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_3810), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197155,8 +193738,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440677 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [6]),
 	.A2_N(n_2766),
-	.B1(FE_OFN893_n_2007),
-	.B2(FE_OFN1429_n_2085),
+	.B1(n_2007),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_3809), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197165,8 +193748,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440678 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[153] [8]),
 	.A2_N(n_2766),
-	.B1(FE_OFN893_n_2007),
-	.B2(FE_OFN1444_n_2128),
+	.B1(n_2007),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_3808), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197176,7 +193759,7 @@
 	.A1(n_2758),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [2]),
 	.B1(n_2082),
-	.B2(FE_OFN918_n_2240),
+	.B2(n_2240),
 	.X(n_3807), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197186,7 +193769,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [3]),
 	.A2_N(n_2758),
 	.B1(n_2239),
-	.B2(FE_OFN1435_n_2100),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_3806), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197196,7 +193779,7 @@
 	.A1(n_2758),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [5]),
 	.B1(n_2098),
-	.B2(FE_OFN918_n_2240),
+	.B2(n_2240),
 	.X(n_3805), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197206,7 +193789,7 @@
 	.A1(n_2758),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[154] [6]),
 	.B1(n_2084),
-	.B2(FE_OFN918_n_2240),
+	.B2(n_2240),
 	.X(n_3804), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197215,8 +193798,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440683 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [2]),
 	.A2_N(n_2709),
-	.B1(n_2006),
-	.B2(n_2083),
+	.B1(FE_OFN1099_n_2006),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_3803), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197225,8 +193808,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440684 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [3]),
 	.A2_N(n_2709),
-	.B1(n_2006),
-	.B2(n_2100),
+	.B1(FE_OFN1099_n_2006),
+	.B2(FE_OFN1478_n_2100),
 	.Y(n_3802), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197235,8 +193818,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440685 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [5]),
 	.A2_N(n_2709),
-	.B1(n_2006),
-	.B2(n_2099),
+	.B1(FE_OFN1099_n_2006),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_3801), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197245,8 +193828,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440686 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [6]),
 	.A2_N(n_2709),
-	.B1(n_2006),
-	.B2(n_2085),
+	.B1(FE_OFN1099_n_2006),
+	.B2(FE_OFN1473_n_2085),
 	.Y(n_3800), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197255,8 +193838,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440687 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [7]),
 	.A2_N(n_2709),
-	.B1(n_2006),
-	.B2(n_2079),
+	.B1(FE_OFN1099_n_2006),
+	.B2(FE_OFN1470_n_2079),
 	.Y(n_3799), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197265,8 +193848,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440688 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[155] [8]),
 	.A2_N(n_2709),
-	.B1(n_2006),
-	.B2(n_2128),
+	.B1(FE_OFN1099_n_2006),
+	.B2(FE_OFN1488_n_2128),
 	.Y(n_3798), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197275,7 +193858,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440689 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [1]),
 	.A2_N(n_2836),
-	.B1(FE_OFN916_n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2116),
 	.Y(n_3797), 
 	.VPWR(vccd1), 
@@ -197285,7 +193868,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440690 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [3]),
 	.A2_N(n_2836),
-	.B1(FE_OFN916_n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2097),
 	.Y(n_3796), 
 	.VPWR(vccd1), 
@@ -197295,7 +193878,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440691 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [6]),
 	.A2_N(n_2836),
-	.B1(FE_OFN916_n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2122),
 	.Y(n_3795), 
 	.VPWR(vccd1), 
@@ -197305,8 +193888,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440692 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[82] [3]),
 	.A2_N(n_2698),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1424_n_2073),
+	.B1(n_2224),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_3794), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197315,7 +193898,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440693 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[156] [7]),
 	.A2_N(n_2836),
-	.B1(FE_OFN916_n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2077),
 	.Y(n_3793), 
 	.VPWR(vccd1), 
@@ -197326,7 +193909,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [3]),
 	.A2_N(n_2474),
 	.B1(n_2007),
-	.B2(FE_OFN1433_n_2097),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_3792), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197346,7 +193929,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[157] [7]),
 	.A2_N(n_2474),
 	.B1(n_2007),
-	.B2(FE_OFN1426_n_2077),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_3790), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197356,7 +193939,7 @@
 	.A1(n_2760),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [1]),
 	.B1(n_2115),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3789), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197366,7 +193949,7 @@
 	.A1(n_2468),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [8]),
 	.B1(n_2502),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_3788), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197376,7 +193959,7 @@
 	.A1(n_2760),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [5]),
 	.B1(n_2014),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3787), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197386,7 +193969,7 @@
 	.A1(n_2760),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [7]),
 	.B1(n_2076),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3786), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197395,8 +193978,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440701 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [1]),
 	.A2_N(n_2516),
-	.B1(n_2006),
-	.B2(n_2116),
+	.B1(FE_OFN1099_n_2006),
+	.B2(FE_OFN1743_n_2116),
 	.Y(n_3785), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197405,7 +193988,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440702 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [2]),
 	.A2_N(n_2516),
-	.B1(n_2006),
+	.B1(FE_OFN1099_n_2006),
 	.B2(n_2117),
 	.Y(n_3784), 
 	.VPWR(vccd1), 
@@ -197415,8 +193998,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440703 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [3]),
 	.A2_N(n_2516),
-	.B1(n_2006),
-	.B2(FE_OFN1433_n_2097),
+	.B1(FE_OFN1099_n_2006),
+	.B2(FE_OFN1742_n_2097),
 	.Y(n_3783), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197425,7 +194008,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440704 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [4]),
 	.A2_N(n_2516),
-	.B1(n_2006),
+	.B1(FE_OFN1099_n_2006),
 	.B2(n_2125),
 	.Y(n_3782), 
 	.VPWR(vccd1), 
@@ -197435,7 +194018,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440705 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [5]),
 	.A2_N(n_2516),
-	.B1(n_2006),
+	.B1(FE_OFN1099_n_2006),
 	.B2(n_2013),
 	.Y(n_3781), 
 	.VPWR(vccd1), 
@@ -197445,8 +194028,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440706 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [6]),
 	.A2_N(n_2516),
-	.B1(n_2006),
-	.B2(FE_OFN1442_n_2122),
+	.B1(FE_OFN1099_n_2006),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_3780), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197455,8 +194038,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440707 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [7]),
 	.A2_N(n_2516),
-	.B1(n_2006),
-	.B2(FE_OFN1426_n_2077),
+	.B1(FE_OFN1099_n_2006),
+	.B2(FE_OFN1741_n_2077),
 	.Y(n_3779), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197465,7 +194048,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440708 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[159] [8]),
 	.A2_N(n_2516),
-	.B1(n_2006),
+	.B1(FE_OFN1099_n_2006),
 	.B2(n_2113),
 	.Y(n_3778), 
 	.VPWR(vccd1), 
@@ -197475,7 +194058,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440709 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [1]),
 	.A2_N(n_2718),
-	.B1(n_2233),
+	.B1(FE_OFN1109_n_2233),
 	.B2(n_2042),
 	.Y(n_3777), 
 	.VPWR(vccd1), 
@@ -197485,7 +194068,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440710 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [2]),
 	.A2_N(n_2718),
-	.B1(n_2233),
+	.B1(FE_OFN1109_n_2233),
 	.B2(n_2040),
 	.Y(n_3776), 
 	.VPWR(vccd1), 
@@ -197495,7 +194078,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440711 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [4]),
 	.A2_N(n_2718),
-	.B1(n_2233),
+	.B1(FE_OFN1109_n_2233),
 	.B2(n_2050),
 	.Y(n_3775), 
 	.VPWR(vccd1), 
@@ -197505,7 +194088,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440712 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [6]),
 	.A2_N(n_2718),
-	.B1(n_2233),
+	.B1(FE_OFN1109_n_2233),
 	.B2(n_2046),
 	.Y(n_3774), 
 	.VPWR(vccd1), 
@@ -197515,7 +194098,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440713 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[160] [8]),
 	.A2_N(n_2718),
-	.B1(n_2233),
+	.B1(FE_OFN1109_n_2233),
 	.B2(n_2038),
 	.Y(n_3773), 
 	.VPWR(vccd1), 
@@ -197526,7 +194109,7 @@
 	.A1(n_2526),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [3]),
 	.B1(n_2805),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3772), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197536,7 +194119,7 @@
 	.A1(n_2526),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [5]),
 	.B1(n_2805),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3771), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197546,7 +194129,7 @@
 	.A1(n_2526),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [6]),
 	.B1(n_2805),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3770), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197556,7 +194139,7 @@
 	.A1(n_2526),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[161] [8]),
 	.B1(n_2805),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3769), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197566,7 +194149,7 @@
 	.A1(n_2438),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [1]),
 	.B1(n_2795),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3768), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197576,7 +194159,7 @@
 	.A1(n_2438),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [2]),
 	.B1(n_2795),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3767), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197586,7 +194169,7 @@
 	.A1(n_2438),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [3]),
 	.B1(n_2795),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3766), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197596,7 +194179,7 @@
 	.A1(n_2438),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [4]),
 	.B1(n_2795),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3765), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197606,7 +194189,7 @@
 	.A1(n_2438),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [6]),
 	.B1(n_2795),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3764), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197616,7 +194199,7 @@
 	.A1(n_2438),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [7]),
 	.B1(n_2795),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
 	.X(n_3763), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197626,7 +194209,7 @@
 	.A1(n_2438),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [8]),
 	.B1(n_2795),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3762), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197636,7 +194219,7 @@
 	.A1(n_2472),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [1]),
 	.B1(n_2792),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3761), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197646,7 +194229,7 @@
 	.A1(n_2472),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[163] [2]),
 	.B1(n_2792),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN872_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3760), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197656,7 +194239,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [1]),
 	.A2_N(n_2717),
 	.B1(n_2018),
-	.B2(FE_OFN913_n_2233),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3759), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197666,7 +194249,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [2]),
 	.A2_N(n_2717),
 	.B1(n_2032),
-	.B2(FE_OFN913_n_2233),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3758), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197676,7 +194259,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [3]),
 	.A2_N(n_2717),
 	.B1(n_2022),
-	.B2(FE_OFN913_n_2233),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3757), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197686,7 +194269,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [4]),
 	.A2_N(n_2717),
 	.B1(n_2030),
-	.B2(FE_OFN913_n_2233),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3756), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197696,7 +194279,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [5]),
 	.A2_N(n_2717),
 	.B1(n_2028),
-	.B2(FE_OFN913_n_2233),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3755), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197706,7 +194289,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [6]),
 	.A2_N(n_2717),
 	.B1(n_2026),
-	.B2(FE_OFN913_n_2233),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3754), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197716,7 +194299,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [7]),
 	.A2_N(n_2717),
 	.B1(n_2024),
-	.B2(FE_OFN913_n_2233),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3753), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197726,7 +194309,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[164] [8]),
 	.A2_N(n_2717),
 	.B1(n_2020),
-	.B2(FE_OFN913_n_2233),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3752), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197736,7 +194319,7 @@
 	.A1(n_2522),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [1]),
 	.B1(n_2841),
-	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3751), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197754,7 +194337,7 @@
 	.A1(n_2522),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [3]),
 	.B1(n_2841),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3749), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197764,7 +194347,7 @@
 	.A1(n_2522),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [5]),
 	.B1(n_2841),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3748), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197774,7 +194357,7 @@
 	.A1(n_2522),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [7]),
 	.B1(n_2841),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3747), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197784,7 +194367,7 @@
 	.A1(n_2522),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[165] [8]),
 	.B1(n_2841),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3746), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197794,7 +194377,7 @@
 	.A1(n_2437),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [1]),
 	.B1(n_2821),
-	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3745), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197804,7 +194387,7 @@
 	.A1(n_2437),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [2]),
 	.B1(n_2821),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3744), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197814,7 +194397,7 @@
 	.A1(n_2437),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [3]),
 	.B1(n_2821),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3743), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197824,7 +194407,7 @@
 	.A1(n_2437),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [4]),
 	.B1(n_2821),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3742), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197834,7 +194417,7 @@
 	.A1(n_2437),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [5]),
 	.B1(n_2821),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3741), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197844,7 +194427,7 @@
 	.A1(n_2437),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [6]),
 	.B1(n_2821),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3740), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197854,7 +194437,7 @@
 	.A1(n_2437),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [7]),
 	.B1(n_2821),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
 	.X(n_3739), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197864,7 +194447,7 @@
 	.A1(n_2437),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[166] [8]),
 	.B1(n_2821),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3738), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197874,7 +194457,7 @@
 	.A1(n_2469),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [1]),
 	.B1(n_2822),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3737), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197884,7 +194467,7 @@
 	.A1(n_2469),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [2]),
 	.B1(n_2822),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3736), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197893,8 +194476,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440751 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[78] [8]),
 	.A2_N(n_2828),
-	.B1(FE_OFN1446_n_2134),
-	.B2(n_1994),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1095_n_1994),
 	.Y(n_3735), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197904,7 +194487,7 @@
 	.A1(n_2469),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [3]),
 	.B1(n_2822),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3734), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197914,7 +194497,7 @@
 	.A1(n_2469),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [4]),
 	.B1(n_2822),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3733), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197924,7 +194507,7 @@
 	.A1(n_2469),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [5]),
 	.B1(n_2822),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3732), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197934,7 +194517,7 @@
 	.A1(n_2469),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [6]),
 	.B1(n_2822),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3731), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197944,7 +194527,7 @@
 	.A1(n_2469),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[167] [8]),
 	.B1(n_2822),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3730), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197954,7 +194537,7 @@
 	.A1(n_2796),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [1]),
 	.B1(n_2791),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3729), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197964,7 +194547,7 @@
 	.A1(n_2796),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [3]),
 	.B1(n_2791),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
 	.X(n_3728), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197974,7 +194557,7 @@
 	.A1(n_2796),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [4]),
 	.B1(n_2791),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(u_soc_u_uart_u_uart_core_rx[3]),
 	.X(n_3727), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197984,7 +194567,7 @@
 	.A1(n_2796),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [5]),
 	.B1(n_2791),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(u_soc_u_uart_u_uart_core_rx[4]),
 	.X(n_3726), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -197994,7 +194577,7 @@
 	.A1(n_2796),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[168] [7]),
 	.B1(n_2791),
-	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3725), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198004,7 +194587,7 @@
 	.A1(n_2466),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [1]),
 	.B1(n_2793),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3724), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198014,7 +194597,7 @@
 	.A1(n_2466),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [2]),
 	.B1(n_2793),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3723), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198024,7 +194607,7 @@
 	.A1(n_2466),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [3]),
 	.B1(n_2793),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3722), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198034,7 +194617,7 @@
 	.A1(n_2466),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [4]),
 	.B1(n_2793),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3721), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198043,8 +194626,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440766 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [7]),
 	.A2_N(n_2454),
-	.B1(n_1998),
-	.B2(n_2069),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_3720), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198054,7 +194637,7 @@
 	.A1(n_2466),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [5]),
 	.B1(n_2793),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3719), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198064,7 +194647,7 @@
 	.A1(n_2466),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [6]),
 	.B1(n_2793),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3718), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198074,7 +194657,7 @@
 	.A1(n_2466),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [7]),
 	.B1(n_2793),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3717), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198084,7 +194667,7 @@
 	.A1(n_2466),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[169] [8]),
 	.B1(n_2793),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3716), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198094,7 +194677,7 @@
 	.A1(n_2726),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [1]),
 	.B1(n_2794),
-	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3715), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198104,7 +194687,7 @@
 	.A1(n_2726),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [4]),
 	.B1(n_2794),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN866_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3714), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198114,7 +194697,7 @@
 	.A1(n_2726),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [6]),
 	.B1(n_2794),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3713), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198124,7 +194707,7 @@
 	.A1(n_2726),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [7]),
 	.B1(n_2794),
-	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3712), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198134,7 +194717,7 @@
 	.A1(n_2726),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[170] [8]),
 	.B1(n_2794),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_3711), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198144,7 +194727,7 @@
 	.A1(n_2743),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [2]),
 	.B1(n_2790),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN872_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3710), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198154,7 +194737,7 @@
 	.A1(n_2743),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [3]),
 	.B1(n_2790),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN869_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198164,7 +194747,7 @@
 	.A1(n_2743),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [5]),
 	.B1(n_2790),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN863_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3708), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198174,7 +194757,7 @@
 	.A1(n_2743),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [6]),
 	.B1(n_2790),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3707), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198184,7 +194767,7 @@
 	.A1(n_2743),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [7]),
 	.B1(n_2790),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3706), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198194,7 +194777,7 @@
 	.A1(n_2797),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [1]),
 	.B1(n_2820),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3705), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198204,7 +194787,7 @@
 	.A1(n_2797),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [2]),
 	.B1(n_2820),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
 	.X(n_3704), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198214,7 +194797,7 @@
 	.A1(n_2797),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [3]),
 	.B1(n_2820),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
 	.X(n_3703), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198224,7 +194807,7 @@
 	.A1(n_2797),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [4]),
 	.B1(n_2820),
-	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(u_soc_u_uart_u_uart_core_rx[3]),
 	.X(n_3702), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198234,7 +194817,7 @@
 	.A1(n_2797),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [5]),
 	.B1(n_2820),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(u_soc_u_uart_u_uart_core_rx[4]),
 	.X(n_3701), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198244,7 +194827,7 @@
 	.A1(n_2797),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [6]),
 	.B1(n_2820),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3700), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198254,7 +194837,7 @@
 	.A1(n_2797),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [7]),
 	.B1(n_2820),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3699), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198264,7 +194847,7 @@
 	.A1(n_2797),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[172] [8]),
 	.B1(n_2820),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_3698), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198274,7 +194857,7 @@
 	.A1(n_2465),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [1]),
 	.B1(n_2819),
-	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3697), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198284,7 +194867,7 @@
 	.A1(n_2465),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [2]),
 	.B1(n_2819),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3696), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198294,7 +194877,7 @@
 	.A1(n_2465),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [4]),
 	.B1(n_2819),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3695), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198304,7 +194887,7 @@
 	.A1(n_2465),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [5]),
 	.B1(n_2819),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3694), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198314,7 +194897,7 @@
 	.A1(n_2465),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [6]),
 	.B1(n_2819),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3693), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198324,7 +194907,7 @@
 	.A1(n_2465),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [8]),
 	.B1(n_2819),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3692), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198334,7 +194917,7 @@
 	.A1(n_2725),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [2]),
 	.B1(n_2823),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN872_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3691), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198344,7 +194927,7 @@
 	.A1(n_2725),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [3]),
 	.B1(n_2823),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN869_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3690), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198354,7 +194937,7 @@
 	.A1(n_2725),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [4]),
 	.B1(n_2823),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3689), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198364,7 +194947,7 @@
 	.A1(n_2725),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [5]),
 	.B1(n_2823),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN863_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3688), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198374,7 +194957,7 @@
 	.A1(n_2725),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [6]),
 	.B1(n_2823),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3687), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198384,7 +194967,7 @@
 	.A1(n_2725),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [7]),
 	.B1(n_2823),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3686), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198394,7 +194977,7 @@
 	.A1(n_2725),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[174] [8]),
 	.B1(n_2823),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_3685), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198404,7 +194987,7 @@
 	.A1(n_2744),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [1]),
 	.B1(n_2824),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3684), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198414,7 +194997,7 @@
 	.A1(n_2744),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [2]),
 	.B1(n_2824),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN872_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3683), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198424,7 +195007,7 @@
 	.A1(n_2744),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [3]),
 	.B1(n_2824),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN869_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3682), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198434,7 +195017,7 @@
 	.A1(n_2744),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [5]),
 	.B1(n_2824),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN863_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3681), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198444,7 +195027,7 @@
 	.A1(n_2744),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [7]),
 	.B1(n_2824),
-	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3680), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198454,7 +195037,7 @@
 	.A1(n_2744),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[175] [8]),
 	.B1(n_2824),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_3679), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198463,8 +195046,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440808 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [1]),
 	.A2_N(n_2714),
-	.B1(n_2233),
-	.B2(FE_OFN1439_n_2110),
+	.B1(FE_OFN1109_n_2233),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_3678), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198473,8 +195056,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440809 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [3]),
 	.A2_N(n_2714),
-	.B1(FE_OFN913_n_2233),
-	.B2(FE_OFN1435_n_2100),
+	.B1(FE_OFN1109_n_2233),
+	.B2(n_2100),
 	.Y(n_3677), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198493,8 +195076,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440811 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [5]),
 	.A2_N(n_2714),
-	.B1(FE_OFN913_n_2233),
-	.B2(FE_OFN1434_n_2099),
+	.B1(FE_OFN1109_n_2233),
+	.B2(n_2099),
 	.Y(n_3675), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198503,8 +195086,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440812 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [7]),
 	.A2_N(n_2714),
-	.B1(FE_OFN913_n_2233),
-	.B2(FE_OFN1427_n_2079),
+	.B1(FE_OFN1109_n_2233),
+	.B2(n_2079),
 	.Y(n_3674), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198513,7 +195096,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440813 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[176] [8]),
 	.A2_N(n_2714),
-	.B1(n_2233),
+	.B1(FE_OFN1109_n_2233),
 	.B2(n_2128),
 	.Y(n_3673), 
 	.VPWR(vccd1), 
@@ -198524,7 +195107,7 @@
 	.A1(n_2764),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [1]),
 	.B1(n_2486),
-	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3672), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198534,7 +195117,7 @@
 	.A1(n_2764),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [2]),
 	.B1(n_2486),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3671), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198544,7 +195127,7 @@
 	.A1(n_2764),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [4]),
 	.B1(n_2486),
-	.B2(u_soc_u_uart_u_uart_core_rx[3]),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3670), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198554,7 +195137,7 @@
 	.A1(n_2764),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [6]),
 	.B1(n_2486),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3669), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198564,7 +195147,7 @@
 	.A1(n_2764),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[177] [8]),
 	.B1(n_2486),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3668), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198574,7 +195157,7 @@
 	.A1(n_2710),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [4]),
 	.B1(n_2691),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3667), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198584,7 +195167,7 @@
 	.A1(n_2710),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[178] [6]),
 	.B1(n_2691),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3666), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198594,7 +195177,7 @@
 	.A1(n_2826),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [2]),
 	.B1(n_2509),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3665), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198604,7 +195187,7 @@
 	.A1(n_2826),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [3]),
 	.B1(n_2509),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3664), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198614,7 +195197,7 @@
 	.A1(n_2826),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [5]),
 	.B1(n_2509),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3663), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198624,7 +195207,7 @@
 	.A1(n_2826),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [6]),
 	.B1(n_2509),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3662), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198634,7 +195217,7 @@
 	.A1(n_2826),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[179] [7]),
 	.B1(n_2509),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
 	.X(n_3661), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198644,7 +195227,7 @@
 	.A1(n_2441),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[180] [1]),
 	.B1(n_2531),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3660), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198664,7 +195247,7 @@
 	.A1(n_2760),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [6]),
 	.B1(n_2121),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3658), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198674,7 +195257,7 @@
 	.A1(n_2456),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [1]),
 	.B1(n_2435),
-	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3657), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198684,7 +195267,7 @@
 	.A1(n_2456),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [2]),
 	.B1(n_2435),
-	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3656), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198694,7 +195277,7 @@
 	.A1(n_2456),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [3]),
 	.B1(n_2435),
-	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3655), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198704,7 +195287,7 @@
 	.A1(n_2456),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [4]),
 	.B1(n_2435),
-	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3654), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198714,7 +195297,7 @@
 	.A1(n_2456),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [6]),
 	.B1(n_2435),
-	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3653), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198724,7 +195307,7 @@
 	.A1(n_2456),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [7]),
 	.B1(n_2435),
-	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3652), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198734,7 +195317,7 @@
 	.A1(n_2456),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[181] [8]),
 	.B1(n_2435),
-	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3651), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198744,7 +195327,7 @@
 	.A1(n_2518),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [1]),
 	.B1(n_2459),
-	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3650), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198754,7 +195337,7 @@
 	.A1(n_2518),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [2]),
 	.B1(n_2459),
-	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3649), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198764,7 +195347,7 @@
 	.A1(n_2518),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [4]),
 	.B1(n_2459),
-	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3648), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198774,7 +195357,7 @@
 	.A1(n_2518),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [5]),
 	.B1(n_2459),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3647), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198784,7 +195367,7 @@
 	.A1(n_2518),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [6]),
 	.B1(n_2459),
-	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3646), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198794,7 +195377,7 @@
 	.A1(n_2685),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [2]),
 	.B1(n_2493),
-	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3645), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198804,7 +195387,7 @@
 	.A1(n_2518),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[182] [8]),
 	.B1(n_2459),
-	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3644), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198814,7 +195397,7 @@
 	.A1(n_2735),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [3]),
 	.B1(n_2532),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3643), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198824,7 +195407,7 @@
 	.A1(n_2735),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [4]),
 	.B1(n_2532),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3642), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198834,7 +195417,7 @@
 	.A1(n_2735),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [5]),
 	.B1(n_2532),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3641), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198844,7 +195427,7 @@
 	.A1(n_2735),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [6]),
 	.B1(n_2532),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3640), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198854,7 +195437,7 @@
 	.A1(n_2735),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [7]),
 	.B1(n_2532),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3639), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198864,7 +195447,7 @@
 	.A1(n_2735),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[183] [8]),
 	.B1(n_2532),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3638), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198874,7 +195457,7 @@
 	.A1(n_2729),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [1]),
 	.B1(n_2460),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(u_soc_u_uart_u_uart_core_rx[0]),
 	.X(n_3637), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198884,7 +195467,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [1]),
 	.A2_N(n_2440),
 	.B1(n_2106),
-	.B2(FE_OFN913_n_2233),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3636), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198894,7 +195477,7 @@
 	.A1(n_2729),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [3]),
 	.B1(n_2460),
-	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3635), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198904,7 +195487,7 @@
 	.A1(n_2729),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [5]),
 	.B1(n_2460),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3634), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198914,7 +195497,7 @@
 	.A1(n_2729),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [7]),
 	.B1(n_2460),
-	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
 	.X(n_3633), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198924,7 +195507,7 @@
 	.A1(n_2729),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[184] [8]),
 	.B1(n_2460),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_3632), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198934,7 +195517,7 @@
 	.A1(n_2711),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [1]),
 	.B1(n_2500),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3631), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198944,7 +195527,7 @@
 	.A1(n_2711),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [2]),
 	.B1(n_2500),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3630), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198954,7 +195537,7 @@
 	.A1(n_2711),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [3]),
 	.B1(n_2500),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3629), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198964,7 +195547,7 @@
 	.A1(n_2711),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [4]),
 	.B1(n_2500),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3628), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198974,7 +195557,7 @@
 	.A1(n_2711),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [5]),
 	.B1(n_2500),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3627), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198984,7 +195567,7 @@
 	.A1(n_2711),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [6]),
 	.B1(n_2500),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3626), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -198994,7 +195577,7 @@
 	.A1(n_2711),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [7]),
 	.B1(n_2500),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3625), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199004,7 +195587,7 @@
 	.A1(n_2711),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[185] [8]),
 	.B1(n_2500),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3624), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199014,7 +195597,7 @@
 	.A1(n_2712),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [1]),
 	.B1(n_2706),
-	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3623), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199024,7 +195607,7 @@
 	.A1(n_2712),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [2]),
 	.B1(n_2706),
-	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3622), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199034,7 +195617,7 @@
 	.A1(n_2712),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [3]),
 	.B1(n_2706),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3621), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199044,7 +195627,7 @@
 	.A1(n_2712),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [4]),
 	.B1(n_2706),
-	.B2(u_soc_u_uart_u_uart_core_rx[3]),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3620), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199054,7 +195637,7 @@
 	.A1(n_2712),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [5]),
 	.B1(n_2706),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3619), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199064,7 +195647,7 @@
 	.A1(n_2712),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [6]),
 	.B1(n_2706),
-	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3618), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199074,7 +195657,7 @@
 	.A1(n_2712),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [7]),
 	.B1(n_2706),
-	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3617), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199084,7 +195667,7 @@
 	.A1(n_2712),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[186] [8]),
 	.B1(n_2706),
-	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3616), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199094,7 +195677,7 @@
 	.A1(n_2715),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [1]),
 	.B1(n_2445),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3615), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199104,7 +195687,7 @@
 	.A1(n_2715),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [3]),
 	.B1(n_2445),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3614), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199114,7 +195697,7 @@
 	.A1(n_2715),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [4]),
 	.B1(n_2445),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3613), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199124,7 +195707,7 @@
 	.A1(n_2715),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [5]),
 	.B1(n_2445),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3612), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199134,7 +195717,7 @@
 	.A1(n_2715),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [6]),
 	.B1(n_2445),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3611), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199144,7 +195727,7 @@
 	.A1(n_2715),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [7]),
 	.B1(n_2445),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
 	.X(n_3610), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199154,7 +195737,7 @@
 	.A1(n_2715),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[187] [8]),
 	.B1(n_2445),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3609), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199164,7 +195747,7 @@
 	.A1(n_2732),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [1]),
 	.B1(n_2434),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3608), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199184,7 +195767,7 @@
 	.A1(n_2732),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [4]),
 	.B1(n_2434),
-	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(u_soc_u_uart_u_uart_core_rx[3]),
 	.X(n_3606), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199194,7 +195777,7 @@
 	.A1(n_2732),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [5]),
 	.B1(n_2434),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(u_soc_u_uart_u_uart_core_rx[4]),
 	.X(n_3605), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199204,7 +195787,7 @@
 	.A1(n_2732),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [6]),
 	.B1(n_2434),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3604), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199214,7 +195797,7 @@
 	.A1(n_2732),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[188] [7]),
 	.B1(n_2434),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3603), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199224,7 +195807,7 @@
 	.A1(n_2517),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [1]),
 	.B1(n_2530),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3602), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199234,7 +195817,7 @@
 	.A1(n_2517),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [2]),
 	.B1(n_2530),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3601), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199244,7 +195827,7 @@
 	.A1(n_2517),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [3]),
 	.B1(n_2530),
-	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3600), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199254,7 +195837,7 @@
 	.A1(n_2517),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [4]),
 	.B1(n_2530),
-	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3599), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199264,7 +195847,7 @@
 	.A1(n_2517),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [5]),
 	.B1(n_2530),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3598), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199274,7 +195857,7 @@
 	.A1(n_2517),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [6]),
 	.B1(n_2530),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3597), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199284,7 +195867,7 @@
 	.A1(n_2517),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [7]),
 	.B1(n_2530),
-	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3596), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199294,7 +195877,7 @@
 	.A1(n_2517),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[189] [8]),
 	.B1(n_2530),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3595), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199304,7 +195887,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [3]),
 	.A2_N(n_2440),
 	.B1(n_2108),
-	.B2(FE_OFN913_n_2233),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3594), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199323,8 +195906,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440894 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [2]),
 	.A2_N(n_2825),
-	.B1(FE_OFN895_n_2012),
-	.B2(FE_OFN1430_n_2089),
+	.B1(n_2012),
+	.B2(n_2089),
 	.Y(n_3592), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199333,8 +195916,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440895 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [4]),
 	.A2_N(n_2825),
-	.B1(FE_OFN895_n_2012),
-	.B2(n_2093),
+	.B1(n_2012),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_3591), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199373,7 +195956,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440899 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [1]),
 	.A2_N(n_2774),
-	.B1(n_2233),
+	.B1(FE_OFN1109_n_2233),
 	.B2(n_2087),
 	.Y(n_3587), 
 	.VPWR(vccd1), 
@@ -199404,7 +195987,7 @@
 	.A1(n_2476),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [1]),
 	.B1(n_2423),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3584), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199414,7 +195997,7 @@
 	.A1(n_2476),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [3]),
 	.B1(n_2423),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3583), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199424,7 +196007,7 @@
 	.A1(n_2476),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [4]),
 	.B1(n_2423),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3582), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199434,7 +196017,7 @@
 	.A1(n_2476),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [5]),
 	.B1(n_2423),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3581), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199444,7 +196027,7 @@
 	.A1(n_2476),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [6]),
 	.B1(n_2423),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3580), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199454,7 +196037,7 @@
 	.A1(n_2476),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [7]),
 	.B1(n_2423),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3579), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199464,7 +196047,7 @@
 	.A1(n_2476),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[191] [8]),
 	.B1(n_2423),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3578), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199473,7 +196056,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440909 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [1]),
 	.A2_N(n_2755),
-	.B1(FE_OFN907_n_2226),
+	.B1(FE_OFN1503_n_2226),
 	.B2(n_2130),
 	.Y(n_3577), 
 	.VPWR(vccd1), 
@@ -199483,8 +196066,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440910 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [3]),
 	.A2_N(n_2755),
-	.B1(FE_OFN907_n_2226),
-	.B2(FE_OFN1407_n_2017),
+	.B1(FE_OFN1503_n_2226),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_3576), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199493,8 +196076,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440911 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [4]),
 	.A2_N(n_2755),
-	.B1(FE_OFN907_n_2226),
-	.B2(n_2035),
+	.B1(FE_OFN1503_n_2226),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_3575), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199503,8 +196086,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440912 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [5]),
 	.A2_N(n_2755),
-	.B1(FE_OFN907_n_2226),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1503_n_2226),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_3574), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199513,8 +196096,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440913 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [6]),
 	.A2_N(n_2755),
-	.B1(FE_OFN907_n_2226),
-	.B2(FE_OFN1422_n_2070),
+	.B1(FE_OFN1503_n_2226),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_3573), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199523,8 +196106,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440914 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[192] [7]),
 	.A2_N(n_2755),
-	.B1(FE_OFN907_n_2226),
-	.B2(FE_OFN1432_n_2095),
+	.B1(FE_OFN1503_n_2226),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_3572), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199533,7 +196116,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440915 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [1]),
 	.A2_N(n_2508),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2130),
 	.Y(n_3571), 
 	.VPWR(vccd1), 
@@ -199543,8 +196126,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440916 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [2]),
 	.A2_N(n_2508),
-	.B1(FE_OFN917_n_2238),
-	.B2(FE_OFN1408_n_2037),
+	.B1(FE_OFN1506_n_2238),
+	.B2(n_2037),
 	.Y(n_3570), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199553,7 +196136,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440917 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [3]),
 	.A2_N(n_2508),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2017),
 	.Y(n_3569), 
 	.VPWR(vccd1), 
@@ -199563,7 +196146,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440918 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [4]),
 	.A2_N(n_2508),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2035),
 	.Y(n_3568), 
 	.VPWR(vccd1), 
@@ -199573,8 +196156,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440919 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [5]),
 	.A2_N(n_2508),
-	.B1(FE_OFN917_n_2238),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1506_n_2238),
+	.B2(n_2071),
 	.Y(n_3567), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199583,7 +196166,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440920 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [6]),
 	.A2_N(n_2508),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2070),
 	.Y(n_3566), 
 	.VPWR(vccd1), 
@@ -199593,8 +196176,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440921 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [7]),
 	.A2_N(n_2508),
-	.B1(FE_OFN917_n_2238),
-	.B2(n_2095),
+	.B1(FE_OFN1506_n_2238),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_3565), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199603,7 +196186,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440922 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[193] [8]),
 	.A2_N(n_2508),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2091),
 	.Y(n_3564), 
 	.VPWR(vccd1), 
@@ -199614,7 +196197,7 @@
 	.A1(n_2462),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [1]),
 	.B1(n_2129),
-	.B2(FE_OFN915_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3563), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199624,7 +196207,7 @@
 	.A1(n_2462),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [2]),
 	.B1(n_2036),
-	.B2(FE_OFN915_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3562), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199634,7 +196217,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [3]),
 	.A2_N(n_2462),
 	.B1(n_2234),
-	.B2(FE_OFN1407_n_2017),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_3561), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199644,7 +196227,7 @@
 	.A1(n_2462),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [4]),
 	.B1(n_2034),
-	.B2(FE_OFN915_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3560), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199654,7 +196237,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [5]),
 	.A2_N(n_2462),
 	.B1(n_2234),
-	.B2(n_2071),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_3559), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199664,7 +196247,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [6]),
 	.A2_N(n_2462),
 	.B1(n_2234),
-	.B2(FE_OFN1422_n_2070),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_3558), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199674,7 +196257,7 @@
 	.A1(n_2462),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [7]),
 	.B1(n_2094),
-	.B2(FE_OFN915_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3557), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199684,7 +196267,7 @@
 	.A1(n_2462),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[194] [8]),
 	.B1(n_2090),
-	.B2(FE_OFN915_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3556), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199693,7 +196276,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440931 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [1]),
 	.A2_N(n_2489),
-	.B1(FE_OFN892_n_2004),
+	.B1(FE_OFN1098_n_2004),
 	.B2(n_2130),
 	.Y(n_3555), 
 	.VPWR(vccd1), 
@@ -199703,8 +196286,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440932 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [2]),
 	.A2_N(n_2489),
-	.B1(FE_OFN892_n_2004),
-	.B2(FE_OFN1408_n_2037),
+	.B1(FE_OFN1098_n_2004),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_3554), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199713,8 +196296,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440933 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [4]),
 	.A2_N(n_2489),
-	.B1(FE_OFN892_n_2004),
-	.B2(n_2035),
+	.B1(FE_OFN1098_n_2004),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_3553), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199723,8 +196306,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440934 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [5]),
 	.A2_N(n_2489),
-	.B1(FE_OFN892_n_2004),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1098_n_2004),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_3552), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199733,8 +196316,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440935 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [6]),
 	.A2_N(n_2489),
-	.B1(FE_OFN892_n_2004),
-	.B2(n_2070),
+	.B1(FE_OFN1098_n_2004),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_3551), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199743,8 +196326,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440936 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[195] [7]),
 	.A2_N(n_2489),
-	.B1(FE_OFN892_n_2004),
-	.B2(n_2095),
+	.B1(FE_OFN1098_n_2004),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_3550), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199754,7 +196337,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [2]),
 	.A2_N(n_2753),
 	.B1(n_2112),
-	.B2(FE_OFN907_n_2226),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3549), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199764,7 +196347,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [3]),
 	.A2_N(n_2753),
 	.B1(n_2108),
-	.B2(FE_OFN907_n_2226),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3548), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199774,7 +196357,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [4]),
 	.A2_N(n_2753),
 	.B1(n_2107),
-	.B2(FE_OFN907_n_2226),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3547), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199784,7 +196367,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [5]),
 	.A2_N(n_2753),
 	.B1(n_2102),
-	.B2(FE_OFN907_n_2226),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3546), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199793,8 +196376,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440941 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [6]),
 	.A2_N(n_2753),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN907_n_2226),
+	.B1(n_2016),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3545), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199804,7 +196387,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [7]),
 	.A2_N(n_2753),
 	.B1(n_2081),
-	.B2(FE_OFN907_n_2226),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3544), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199813,8 +196396,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440943 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[196] [8]),
 	.A2_N(n_2753),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN907_n_2226),
+	.B1(n_2134),
+	.B2(FE_OFN1503_n_2226),
 	.Y(n_3543), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199823,8 +196406,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440944 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [1]),
 	.A2_N(n_2507),
-	.B1(n_2106),
-	.B2(FE_OFN917_n_2238),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1506_n_2238),
 	.Y(n_3542), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199833,8 +196416,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440945 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [3]),
 	.A2_N(n_2507),
-	.B1(n_2108),
-	.B2(FE_OFN917_n_2238),
+	.B1(FE_OFN1483_n_2108),
+	.B2(FE_OFN1506_n_2238),
 	.Y(n_3541), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199843,8 +196426,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440946 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [4]),
 	.A2_N(n_2507),
-	.B1(FE_OFN1438_n_2107),
-	.B2(FE_OFN917_n_2238),
+	.B1(FE_OFN1482_n_2107),
+	.B2(FE_OFN1506_n_2238),
 	.Y(n_3540), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199853,8 +196436,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440947 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [5]),
 	.A2_N(n_2507),
-	.B1(FE_OFN1436_n_2102),
-	.B2(FE_OFN917_n_2238),
+	.B1(FE_OFN1479_n_2102),
+	.B2(FE_OFN1506_n_2238),
 	.Y(n_3539), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199863,8 +196446,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440948 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [6]),
 	.A2_N(n_2507),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN917_n_2238),
+	.B1(FE_OFN1456_n_2016),
+	.B2(FE_OFN1506_n_2238),
 	.Y(n_3538), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199873,8 +196456,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440949 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[197] [8]),
 	.A2_N(n_2507),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN917_n_2238),
+	.B1(FE_OFN1490_n_2134),
+	.B2(FE_OFN1506_n_2238),
 	.Y(n_3537), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199883,7 +196466,7 @@
    sky130_fd_sc_hd__a22o_1 g440950 (
 	.A1(n_2461),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [2]),
-	.B1(FE_OFN915_n_2235),
+	.B1(FE_OFN1110_n_2235),
 	.B2(n_2111),
 	.X(n_3536), 
 	.VPWR(vccd1), 
@@ -199893,7 +196476,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440951 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [3]),
 	.A2_N(n_2461),
-	.B1(n_2108),
+	.B1(FE_OFN1483_n_2108),
 	.B2(n_2234),
 	.Y(n_3535), 
 	.VPWR(vccd1), 
@@ -199903,7 +196486,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440952 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [4]),
 	.A2_N(n_2461),
-	.B1(n_2107),
+	.B1(FE_OFN1482_n_2107),
 	.B2(n_2234),
 	.Y(n_3534), 
 	.VPWR(vccd1), 
@@ -199913,7 +196496,7 @@
    sky130_fd_sc_hd__a22o_1 g440953 (
 	.A1(n_2461),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [5]),
-	.B1(FE_OFN915_n_2235),
+	.B1(FE_OFN1110_n_2235),
 	.B2(n_2101),
 	.X(n_3533), 
 	.VPWR(vccd1), 
@@ -199923,7 +196506,7 @@
    sky130_fd_sc_hd__a22o_1 g440954 (
 	.A1(n_2461),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [7]),
-	.B1(FE_OFN915_n_2235),
+	.B1(FE_OFN1110_n_2235),
 	.B2(n_2080),
 	.X(n_3532), 
 	.VPWR(vccd1), 
@@ -199933,7 +196516,7 @@
    sky130_fd_sc_hd__a22o_1 g440955 (
 	.A1(n_2461),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[198] [8]),
-	.B1(FE_OFN915_n_2235),
+	.B1(FE_OFN1110_n_2235),
 	.B2(n_2133),
 	.X(n_3531), 
 	.VPWR(vccd1), 
@@ -199943,8 +196526,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440956 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [1]),
 	.A2_N(n_2490),
-	.B1(n_2106),
-	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1481_n_2106),
+	.B2(FE_OFN1098_n_2004),
 	.Y(n_3530), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199953,8 +196536,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440957 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [4]),
 	.A2_N(n_2490),
-	.B1(FE_OFN1438_n_2107),
-	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1482_n_2107),
+	.B2(FE_OFN1098_n_2004),
 	.Y(n_3529), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199963,8 +196546,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440958 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [5]),
 	.A2_N(n_2490),
-	.B1(FE_OFN1436_n_2102),
-	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1479_n_2102),
+	.B2(FE_OFN1098_n_2004),
 	.Y(n_3528), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199973,8 +196556,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440959 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[199] [7]),
 	.A2_N(n_2490),
-	.B1(n_2081),
-	.B2(FE_OFN19466_FE_OFN892_n_2004),
+	.B1(FE_OFN1471_n_2081),
+	.B2(FE_OFN1098_n_2004),
 	.Y(n_3527), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199983,8 +196566,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440960 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [2]),
 	.A2_N(n_2833),
-	.B1(n_2237),
-	.B2(n_2037),
+	.B1(FE_OFN1505_n_2237),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_3526), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -199993,8 +196576,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440961 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [3]),
 	.A2_N(n_2833),
-	.B1(n_2237),
-	.B2(FE_OFN1407_n_2017),
+	.B1(FE_OFN1505_n_2237),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_3525), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200003,8 +196586,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440962 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [4]),
 	.A2_N(n_2833),
-	.B1(n_2237),
-	.B2(n_2035),
+	.B1(FE_OFN1505_n_2237),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_3524), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200013,8 +196596,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440963 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [5]),
 	.A2_N(n_2833),
-	.B1(n_2237),
-	.B2(FE_OFN1423_n_2071),
+	.B1(FE_OFN1505_n_2237),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_3523), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200023,8 +196606,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440964 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [6]),
 	.A2_N(n_2833),
-	.B1(n_2237),
-	.B2(FE_OFN1422_n_2070),
+	.B1(FE_OFN1505_n_2237),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_3522), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200033,8 +196616,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440965 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [7]),
 	.A2_N(n_2833),
-	.B1(n_2237),
-	.B2(FE_OFN1432_n_2095),
+	.B1(FE_OFN1505_n_2237),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_3521), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200043,8 +196626,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440966 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[200] [8]),
 	.A2_N(n_2833),
-	.B1(n_2237),
-	.B2(n_2091),
+	.B1(FE_OFN1505_n_2237),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_3520), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200053,7 +196636,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440967 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [1]),
 	.A2_N(n_2505),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2130),
 	.Y(n_3519), 
 	.VPWR(vccd1), 
@@ -200063,7 +196646,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440968 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [3]),
 	.A2_N(n_2505),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2017),
 	.Y(n_3518), 
 	.VPWR(vccd1), 
@@ -200073,7 +196656,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440969 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [4]),
 	.A2_N(n_2505),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2035),
 	.Y(n_3517), 
 	.VPWR(vccd1), 
@@ -200083,7 +196666,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440970 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [5]),
 	.A2_N(n_2505),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2071),
 	.Y(n_3516), 
 	.VPWR(vccd1), 
@@ -200093,7 +196676,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440971 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [6]),
 	.A2_N(n_2505),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2070),
 	.Y(n_3515), 
 	.VPWR(vccd1), 
@@ -200103,8 +196686,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440972 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [7]),
 	.A2_N(n_2505),
-	.B1(FE_OFN893_n_2007),
-	.B2(n_2095),
+	.B1(FE_OFN1455_n_2007),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_3514), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200113,7 +196696,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440973 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[201] [8]),
 	.A2_N(n_2505),
-	.B1(FE_OFN893_n_2007),
+	.B1(FE_OFN1455_n_2007),
 	.B2(n_2091),
 	.Y(n_3513), 
 	.VPWR(vccd1), 
@@ -200124,7 +196707,7 @@
 	.A1(n_2759),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [2]),
 	.B1(n_2036),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3512), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200134,7 +196717,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [3]),
 	.A2_N(n_2759),
 	.B1(n_2239),
-	.B2(FE_OFN1407_n_2017),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_3511), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200144,7 +196727,7 @@
 	.A1(n_2759),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [4]),
 	.B1(n_2034),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3510), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200154,7 +196737,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [5]),
 	.A2_N(n_2759),
 	.B1(n_2239),
-	.B2(FE_OFN1423_n_2071),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_3509), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200164,7 +196747,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [6]),
 	.A2_N(n_2759),
 	.B1(n_2239),
-	.B2(FE_OFN1422_n_2070),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_3508), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200174,7 +196757,7 @@
 	.A1(n_2759),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[202] [8]),
 	.B1(n_2090),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3507), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200194,7 +196777,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [2]),
 	.A2_N(n_2491),
 	.B1(n_2006),
-	.B2(FE_OFN1408_n_2037),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_3505), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200204,7 +196787,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [4]),
 	.A2_N(n_2491),
 	.B1(n_2006),
-	.B2(n_2035),
+	.B2(FE_OFN1458_n_2035),
 	.Y(n_3504), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200214,7 +196797,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [6]),
 	.A2_N(n_2491),
 	.B1(n_2006),
-	.B2(n_2070),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_3503), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200224,7 +196807,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[203] [8]),
 	.A2_N(n_2491),
 	.B1(n_2006),
-	.B2(n_2091),
+	.B2(FE_OFN1474_n_2091),
 	.Y(n_3502), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200234,7 +196817,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [2]),
 	.A2_N(n_2830),
 	.B1(n_2112),
-	.B2(FE_OFN916_n_2237),
+	.B2(FE_OFN1505_n_2237),
 	.Y(n_3501), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200244,7 +196827,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [3]),
 	.A2_N(n_2830),
 	.B1(n_2108),
-	.B2(FE_OFN916_n_2237),
+	.B2(FE_OFN1505_n_2237),
 	.Y(n_3500), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200254,7 +196837,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [4]),
 	.A2_N(n_2830),
 	.B1(n_2107),
-	.B2(FE_OFN916_n_2237),
+	.B2(FE_OFN1505_n_2237),
 	.Y(n_3499), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200264,7 +196847,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [5]),
 	.A2_N(n_2830),
 	.B1(n_2102),
-	.B2(FE_OFN916_n_2237),
+	.B2(FE_OFN1505_n_2237),
 	.Y(n_3498), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200273,8 +196856,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440989 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [6]),
 	.A2_N(n_2830),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN916_n_2237),
+	.B1(n_2016),
+	.B2(FE_OFN1505_n_2237),
 	.Y(n_3497), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200284,7 +196867,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [7]),
 	.A2_N(n_2830),
 	.B1(n_2081),
-	.B2(FE_OFN916_n_2237),
+	.B2(FE_OFN1505_n_2237),
 	.Y(n_3496), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200293,8 +196876,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g440991 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[204] [8]),
 	.A2_N(n_2830),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN916_n_2237),
+	.B1(n_2134),
+	.B2(FE_OFN1505_n_2237),
 	.Y(n_3495), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200304,7 +196887,7 @@
 	.A1(n_2504),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [1]),
 	.B1(n_2450),
-	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3494), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200314,7 +196897,7 @@
 	.A1(n_2504),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [3]),
 	.B1(n_2450),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3493), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200324,7 +196907,7 @@
 	.A1(n_2504),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [4]),
 	.B1(n_2450),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3492), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200334,7 +196917,7 @@
 	.A1(n_2504),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [5]),
 	.B1(n_2450),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3491), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200344,7 +196927,7 @@
 	.A1(n_2504),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[205] [7]),
 	.B1(n_2450),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3490), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200354,7 +196937,7 @@
 	.A1(n_2763),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [1]),
 	.B1(n_2528),
-	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3489), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200364,7 +196947,7 @@
 	.A1(n_2763),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [2]),
 	.B1(n_2528),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN872_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3488), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200374,7 +196957,7 @@
 	.A1(n_2763),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [3]),
 	.B1(n_2528),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN869_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3487), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200384,7 +196967,7 @@
 	.A1(n_2763),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [4]),
 	.B1(n_2528),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN866_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3486), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200394,7 +196977,7 @@
 	.A1(n_2763),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [5]),
 	.B1(n_2528),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN863_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3485), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200404,7 +196987,7 @@
 	.A1(n_2763),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [6]),
 	.B1(n_2528),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3484), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200414,7 +196997,7 @@
 	.A1(n_2763),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [7]),
 	.B1(n_2528),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3483), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200424,7 +197007,7 @@
 	.A1(n_2763),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[206] [8]),
 	.B1(n_2528),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_3482), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200434,7 +197017,7 @@
 	.A1(n_2492),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [1]),
 	.B1(n_2529),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3481), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200444,7 +197027,7 @@
 	.A1(n_2492),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [2]),
 	.B1(n_2529),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN872_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3480), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200454,7 +197037,7 @@
 	.A1(n_2492),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [4]),
 	.B1(n_2529),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN866_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3479), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200464,7 +197047,7 @@
 	.A1(n_2492),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[207] [6]),
 	.B1(n_2529),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3478), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200474,7 +197057,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [2]),
 	.A2_N(n_2784),
 	.B1(n_2226),
-	.B2(FE_OFN1430_n_2089),
+	.B2(n_2089),
 	.Y(n_3477), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200484,7 +197067,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[38] [1]),
 	.A2_N(n_2842),
 	.B1(n_2018),
-	.B2(FE_OFN909_n_2229),
+	.B2(FE_OFN1107_n_2229),
 	.Y(n_3476), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200494,7 +197077,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [3]),
 	.A2_N(n_2784),
 	.B1(n_2226),
-	.B2(FE_OFN1424_n_2073),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_3475), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200504,7 +197087,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [5]),
 	.A2_N(n_2784),
 	.B1(n_2226),
-	.B2(FE_OFN1441_n_2120),
+	.B2(n_2120),
 	.Y(n_3474), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200514,7 +197097,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [6]),
 	.A2_N(n_2784),
 	.B1(n_2226),
-	.B2(FE_OFN1443_n_2124),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_3473), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200524,7 +197107,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [7]),
 	.A2_N(n_2784),
 	.B1(n_2226),
-	.B2(FE_OFN1425_n_2075),
+	.B2(n_2075),
 	.Y(n_3472), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200533,8 +197116,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441015 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[208] [8]),
 	.A2_N(n_2784),
-	.B1(FE_OFN907_n_2226),
-	.B2(FE_OFN1445_n_2132),
+	.B1(FE_OFN1503_n_2226),
+	.B2(n_2132),
 	.Y(n_3471), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200544,7 +197127,7 @@
 	.A1(n_2778),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [1]),
 	.B1(n_2769),
-	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200564,7 +197147,7 @@
 	.A1(n_2778),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [2]),
 	.B1(n_2769),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3468), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200574,7 +197157,7 @@
 	.A1(n_2778),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [3]),
 	.B1(n_2769),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3467), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200583,8 +197166,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441020 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[63] [6]),
 	.A2_N(n_2789),
-	.B1(FE_OFN904_n_2222),
-	.B2(FE_OFN1442_n_2122),
+	.B1(n_2222),
+	.B2(FE_OFN1744_n_2122),
 	.Y(n_3466), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200594,7 +197177,7 @@
 	.A1(n_2778),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[209] [5]),
 	.B1(n_2769),
-	.B2(u_soc_u_uart_u_uart_core_rx[4]),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3465), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200624,7 +197207,7 @@
 	.A1(n_2781),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [2]),
 	.B1(n_2802),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3462), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200634,7 +197217,7 @@
 	.A1(n_2781),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [3]),
 	.B1(n_2802),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3461), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200644,7 +197227,7 @@
 	.A1(n_2781),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [4]),
 	.B1(n_2802),
-	.B2(u_soc_u_uart_u_uart_core_rx[3]),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3460), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200654,7 +197237,7 @@
 	.A1(n_2781),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [5]),
 	.B1(n_2802),
-	.B2(u_soc_u_uart_u_uart_core_rx[4]),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3459), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200664,7 +197247,7 @@
 	.A1(n_2781),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [6]),
 	.B1(n_2802),
-	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3458), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200684,7 +197267,7 @@
 	.A1(n_2781),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[210] [8]),
 	.B1(n_2802),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3456), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200694,7 +197277,7 @@
 	.A1(n_2799),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [1]),
 	.B1(n_2768),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3455), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200704,7 +197287,7 @@
 	.A1(n_2799),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [2]),
 	.B1(n_2768),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3454), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200714,7 +197297,7 @@
 	.A1(n_2799),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [4]),
 	.B1(n_2768),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3453), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200724,7 +197307,7 @@
 	.A1(n_2799),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [5]),
 	.B1(n_2768),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3452), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200734,7 +197317,7 @@
 	.A1(n_2799),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [6]),
 	.B1(n_2768),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3451), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200744,7 +197327,7 @@
 	.A1(n_2799),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[211] [8]),
 	.B1(n_2768),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3450), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200753,7 +197336,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441037 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [1]),
 	.A2_N(n_2754),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2059),
 	.Y(n_3449), 
 	.VPWR(vccd1), 
@@ -200763,7 +197346,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441038 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [2]),
 	.A2_N(n_2754),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2057),
 	.Y(n_3448), 
 	.VPWR(vccd1), 
@@ -200773,7 +197356,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441039 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [3]),
 	.A2_N(n_2754),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2054),
 	.Y(n_3447), 
 	.VPWR(vccd1), 
@@ -200783,7 +197366,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441040 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [4]),
 	.A2_N(n_2754),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2061),
 	.Y(n_3446), 
 	.VPWR(vccd1), 
@@ -200793,8 +197376,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441041 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [2]),
 	.A2_N(n_2862),
-	.B1(n_2230),
-	.B2(n_2037),
+	.B1(FE_OFN1504_n_2230),
+	.B2(FE_OFN1459_n_2037),
 	.Y(n_3445), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200803,7 +197386,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441042 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [5]),
 	.A2_N(n_2754),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2066),
 	.Y(n_3444), 
 	.VPWR(vccd1), 
@@ -200813,7 +197396,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441043 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [6]),
 	.A2_N(n_2754),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2063),
 	.Y(n_3443), 
 	.VPWR(vccd1), 
@@ -200823,7 +197406,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441044 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [7]),
 	.A2_N(n_2754),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2069),
 	.Y(n_3442), 
 	.VPWR(vccd1), 
@@ -200833,7 +197416,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441045 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[212] [8]),
 	.A2_N(n_2754),
-	.B1(FE_OFN907_n_2226),
+	.B1(n_2226),
 	.B2(n_2065),
 	.Y(n_3441), 
 	.VPWR(vccd1), 
@@ -200844,7 +197427,7 @@
 	.A1(n_2685),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [1]),
 	.B1(n_2493),
-	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3440), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200853,7 +197436,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441047 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [6]),
 	.A2_N(n_2695),
-	.B1(FE_OFN904_n_2222),
+	.B1(FE_OFN1103_n_2222),
 	.B2(n_2085),
 	.Y(n_3439), 
 	.VPWR(vccd1), 
@@ -200864,7 +197447,7 @@
 	.A1(n_2685),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [3]),
 	.B1(n_2493),
-	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3438), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200873,8 +197456,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441049 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[86] [4]),
 	.A2_N(n_2463),
-	.B1(FE_OFN906_n_2224),
-	.B2(FE_OFN1417_n_2061),
+	.B1(FE_OFN1105_n_2224),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_3437), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200884,7 +197467,7 @@
 	.A1(n_2685),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [5]),
 	.B1(n_2493),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3436), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200894,7 +197477,7 @@
 	.A1(n_2685),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[213] [7]),
 	.B1(n_2493),
-	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3435), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200904,7 +197487,7 @@
 	.A1(n_2687),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [1]),
 	.B1(n_2707),
-	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3434), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200914,7 +197497,7 @@
 	.A1(n_2687),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [2]),
 	.B1(n_2707),
-	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3433), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200924,7 +197507,7 @@
 	.A1(n_2687),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [3]),
 	.B1(n_2707),
-	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3432), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200934,7 +197517,7 @@
 	.A1(n_2687),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [4]),
 	.B1(n_2707),
-	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3431), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200944,7 +197527,7 @@
 	.A1(n_2687),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [5]),
 	.B1(n_2707),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3430), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200954,7 +197537,7 @@
 	.A1(n_2687),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [6]),
 	.B1(n_2707),
-	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3429), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200974,7 +197557,7 @@
 	.A1(n_2687),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[214] [8]),
 	.B1(n_2707),
-	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3427), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200984,7 +197567,7 @@
 	.A1(n_2716),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [1]),
 	.B1(n_2485),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3426), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -200994,7 +197577,7 @@
 	.A1(n_2716),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [2]),
 	.B1(n_2485),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3425), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201004,7 +197587,7 @@
 	.A1(n_2716),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[215] [6]),
 	.B1(n_2485),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3424), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201014,7 +197597,7 @@
 	.A1(n_2829),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [2]),
 	.B1(n_2787),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3423), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201034,7 +197617,7 @@
 	.A1(n_2829),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [4]),
 	.B1(n_2787),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3421), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201044,7 +197627,7 @@
 	.A1(n_2829),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [5]),
 	.B1(n_2787),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3420), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201054,7 +197637,7 @@
 	.A1(n_2829),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [6]),
 	.B1(n_2787),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3419), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201064,7 +197647,7 @@
 	.A1(n_2829),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[216] [7]),
 	.B1(n_2787),
-	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(u_soc_u_uart_u_uart_core_rx[6]),
 	.X(n_3418), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201074,7 +197657,7 @@
 	.A1(n_2840),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [1]),
 	.B1(n_2770),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3417), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201084,7 +197667,7 @@
 	.A1(n_2840),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [2]),
 	.B1(n_2770),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3416), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201094,7 +197677,7 @@
 	.A1(n_2840),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [4]),
 	.B1(n_2770),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3415), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201104,7 +197687,7 @@
 	.A1(n_2840),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [6]),
 	.B1(n_2770),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3414), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201114,7 +197697,7 @@
 	.A1(n_2840),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [8]),
 	.B1(n_2770),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3413), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201134,7 +197717,7 @@
 	.A1(n_2783),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [2]),
 	.B1(n_2839),
-	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201144,7 +197727,7 @@
 	.A1(n_2783),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [3]),
 	.B1(n_2839),
-	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3410), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201154,7 +197737,7 @@
 	.A1(n_2783),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [4]),
 	.B1(n_2839),
-	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3409), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201163,8 +197746,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441078 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[72] [6]),
 	.A2_N(n_2862),
-	.B1(n_2230),
-	.B2(FE_OFN1422_n_2070),
+	.B1(FE_OFN1504_n_2230),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_3408), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201174,7 +197757,7 @@
 	.A1(n_2783),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [5]),
 	.B1(n_2839),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201184,7 +197767,7 @@
 	.A1(n_2783),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [6]),
 	.B1(n_2839),
-	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3406), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201204,7 +197787,7 @@
 	.A1(n_2783),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[218] [8]),
 	.B1(n_2839),
-	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3404), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201214,7 +197797,7 @@
 	.A1(n_2777),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [1]),
 	.B1(n_2772),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3403), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201224,7 +197807,7 @@
 	.A1(n_2777),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [5]),
 	.B1(n_2772),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3402), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201234,7 +197817,7 @@
 	.A1(n_2777),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [8]),
 	.B1(n_2772),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3401), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201244,7 +197827,7 @@
 	.A1(n_2831),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [1]),
 	.B1(n_2692),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3400), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201254,7 +197837,7 @@
 	.A1(n_2831),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [2]),
 	.B1(n_2692),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
 	.X(n_3399), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201274,7 +197857,7 @@
 	.A1(n_2831),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [4]),
 	.B1(n_2692),
-	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(u_soc_u_uart_u_uart_core_rx[3]),
 	.X(n_3397), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201284,7 +197867,7 @@
 	.A1(n_2831),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [5]),
 	.B1(n_2692),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(u_soc_u_uart_u_uart_core_rx[4]),
 	.X(n_3396), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201294,7 +197877,7 @@
 	.A1(n_2831),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [6]),
 	.B1(n_2692),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3395), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201304,7 +197887,7 @@
 	.A1(n_2831),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [7]),
 	.B1(n_2692),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3394), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201314,7 +197897,7 @@
 	.A1(n_2831),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[220] [8]),
 	.B1(n_2692),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_3393), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201324,7 +197907,7 @@
 	.A1(n_2742),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [1]),
 	.B1(n_2484),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3392), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201334,7 +197917,7 @@
 	.A1(n_2742),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [2]),
 	.B1(n_2484),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3391), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201344,7 +197927,7 @@
 	.A1(n_2742),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [3]),
 	.B1(n_2484),
-	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3390), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201354,7 +197937,7 @@
 	.A1(n_2742),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [4]),
 	.B1(n_2484),
-	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3389), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201364,7 +197947,7 @@
 	.A1(n_2742),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[221] [7]),
 	.B1(n_2484),
-	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3388), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201374,7 +197957,7 @@
 	.A1(n_2762),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [1]),
 	.B1(n_2723),
-	.B2(u_soc_u_uart_u_uart_core_rx[0]),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3387), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201384,7 +197967,7 @@
 	.A1(n_2762),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [2]),
 	.B1(n_2723),
-	.B2(u_soc_u_uart_u_uart_core_rx[1]),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3386), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201394,7 +197977,7 @@
 	.A1(n_2762),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [3]),
 	.B1(n_2723),
-	.B2(u_soc_u_uart_u_uart_core_rx[2]),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3385), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201404,7 +197987,7 @@
 	.A1(n_2762),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [4]),
 	.B1(n_2723),
-	.B2(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3384), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201414,7 +197997,7 @@
 	.A1(n_2762),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [5]),
 	.B1(n_2723),
-	.B2(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3383), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201424,7 +198007,7 @@
 	.A1(n_2762),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [6]),
 	.B1(n_2723),
-	.B2(u_soc_u_uart_u_uart_core_rx[5]),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3382), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201433,8 +198016,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441105 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[59] [5]),
 	.A2_N(n_2695),
-	.B1(FE_OFN904_n_2222),
-	.B2(n_2099),
+	.B1(FE_OFN1103_n_2222),
+	.B2(FE_OFN1477_n_2099),
 	.Y(n_3381), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201444,7 +198027,7 @@
 	.A1(n_2762),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [7]),
 	.B1(n_2723),
-	.B2(u_soc_u_uart_u_uart_core_rx[6]),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3380), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201453,8 +198036,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441107 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[126] [2]),
 	.A2_N(n_2454),
-	.B1(n_1998),
-	.B2(n_2057),
+	.B1(FE_OFN1097_n_1998),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_3379), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201464,7 +198047,7 @@
 	.A1(n_2762),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[222] [8]),
 	.B1(n_2723),
-	.B2(u_soc_u_uart_u_uart_core_rx[7]),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3378), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201474,7 +198057,7 @@
 	.A1(n_2684),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [1]),
 	.B1(n_2479),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3377), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201484,7 +198067,7 @@
 	.A1(n_2684),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [3]),
 	.B1(n_2479),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3376), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201494,7 +198077,7 @@
 	.A1(n_2684),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [5]),
 	.B1(n_2479),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3375), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201504,7 +198087,7 @@
 	.A1(n_2684),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [6]),
 	.B1(n_2479),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3374), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201513,7 +198096,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441113 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [5]),
 	.A2_N(n_2798),
-	.B1(FE_OFN894_n_2011),
+	.B1(n_2011),
 	.B2(n_2066),
 	.Y(n_3373), 
 	.VPWR(vccd1), 
@@ -201524,7 +198107,7 @@
 	.A1(n_2684),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [7]),
 	.B1(n_2479),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3372), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201534,7 +198117,7 @@
 	.A1(n_2684),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[223] [8]),
 	.B1(n_2479),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3371), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201544,7 +198127,7 @@
 	.A1(n_2439),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [1]),
 	.B1(n_2503),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3370), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201554,7 +198137,7 @@
 	.A1(n_2439),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [2]),
 	.B1(n_2503),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(u_soc_u_uart_u_uart_core_rx[1]),
 	.X(n_3369), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201564,7 +198147,7 @@
 	.A1(n_2439),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [3]),
 	.B1(n_2503),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
 	.X(n_3368), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201574,7 +198157,7 @@
 	.A1(n_2439),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [5]),
 	.B1(n_2503),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(u_soc_u_uart_u_uart_core_rx[4]),
 	.X(n_3367), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201584,7 +198167,7 @@
 	.A1(n_2439),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [6]),
 	.B1(n_2503),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3366), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201594,7 +198177,7 @@
 	.A1(n_2439),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [7]),
 	.B1(n_2503),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3365), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201604,7 +198187,7 @@
 	.A1(n_2439),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[224] [8]),
 	.B1(n_2503),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3364), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201614,7 +198197,7 @@
 	.A1(n_2496),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [1]),
 	.B1(n_2520),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3363), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201624,7 +198207,7 @@
 	.A1(n_2496),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [2]),
 	.B1(n_2520),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3362), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201634,7 +198217,7 @@
 	.A1(n_2496),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [4]),
 	.B1(n_2520),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3361), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201644,7 +198227,7 @@
 	.A1(n_2496),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [5]),
 	.B1(n_2520),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3360), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201654,7 +198237,7 @@
 	.A1(n_2496),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[225] [7]),
 	.B1(n_2520),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3359), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201664,7 +198247,7 @@
 	.A1(n_2488),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [2]),
 	.B1(n_2458),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3358), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201674,7 +198257,7 @@
 	.A1(n_2488),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [3]),
 	.B1(n_2458),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3357), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201684,7 +198267,7 @@
 	.A1(n_2488),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [4]),
 	.B1(n_2458),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3356), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201694,7 +198277,7 @@
 	.A1(n_2488),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [5]),
 	.B1(n_2458),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3355), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201704,7 +198287,7 @@
 	.A1(n_2488),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [6]),
 	.B1(n_2458),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201714,7 +198297,7 @@
 	.A1(n_2488),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[226] [8]),
 	.B1(n_2458),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201724,7 +198307,7 @@
 	.A1(n_2468),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [1]),
 	.B1(n_2502),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201734,7 +198317,7 @@
 	.A1(n_2468),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [3]),
 	.B1(n_2502),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN869_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201744,7 +198327,7 @@
 	.A1(n_2468),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [4]),
 	.B1(n_2502),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN866_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201754,7 +198337,7 @@
 	.A1(n_2468),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [5]),
 	.B1(n_2502),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN863_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201764,7 +198347,7 @@
 	.A1(n_2468),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[227] [6]),
 	.B1(n_2502),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201774,7 +198357,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [2]),
 	.A2_N(n_2440),
 	.B1(n_2112),
-	.B2(FE_OFN913_n_2233),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201784,7 +198367,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [4]),
 	.A2_N(n_2440),
 	.B1(n_2107),
-	.B2(FE_OFN913_n_2233),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201793,8 +198376,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441141 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [6]),
 	.A2_N(n_2440),
-	.B1(FE_OFN1406_n_2016),
-	.B2(FE_OFN913_n_2233),
+	.B1(n_2016),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201803,8 +198386,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441142 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[228] [8]),
 	.A2_N(n_2440),
-	.B1(FE_OFN1446_n_2134),
-	.B2(FE_OFN913_n_2233),
+	.B1(n_2134),
+	.B2(FE_OFN1109_n_2233),
 	.Y(n_3344), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201813,8 +198396,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441143 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [1]),
 	.A2_N(n_2495),
-	.B1(FE_OFN895_n_2012),
-	.B2(n_2106),
+	.B1(n_2012),
+	.B2(FE_OFN1481_n_2106),
 	.Y(n_3343), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201823,8 +198406,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441144 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [2]),
 	.A2_N(n_2495),
-	.B1(FE_OFN895_n_2012),
-	.B2(FE_OFN1440_n_2112),
+	.B1(n_2012),
+	.B2(FE_OFN1485_n_2112),
 	.Y(n_3342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201833,8 +198416,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441145 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [3]),
 	.A2_N(n_2495),
-	.B1(FE_OFN895_n_2012),
-	.B2(n_2108),
+	.B1(n_2012),
+	.B2(FE_OFN1483_n_2108),
 	.Y(n_3341), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201843,8 +198426,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441146 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [4]),
 	.A2_N(n_2495),
-	.B1(FE_OFN895_n_2012),
-	.B2(FE_OFN1438_n_2107),
+	.B1(n_2012),
+	.B2(FE_OFN1482_n_2107),
 	.Y(n_3340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201853,8 +198436,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441147 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [5]),
 	.A2_N(n_2495),
-	.B1(FE_OFN895_n_2012),
-	.B2(FE_OFN1436_n_2102),
+	.B1(n_2012),
+	.B2(FE_OFN1479_n_2102),
 	.Y(n_3339), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201863,8 +198446,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441148 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [6]),
 	.A2_N(n_2495),
-	.B1(FE_OFN895_n_2012),
-	.B2(FE_OFN1406_n_2016),
+	.B1(n_2012),
+	.B2(FE_OFN1456_n_2016),
 	.Y(n_3338), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201873,8 +198456,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441149 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [7]),
 	.A2_N(n_2495),
-	.B1(FE_OFN895_n_2012),
-	.B2(n_2081),
+	.B1(n_2012),
+	.B2(FE_OFN1471_n_2081),
 	.Y(n_3337), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201883,8 +198466,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441150 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[229] [8]),
 	.A2_N(n_2495),
-	.B1(FE_OFN895_n_2012),
-	.B2(FE_OFN1446_n_2134),
+	.B1(n_2012),
+	.B2(FE_OFN1490_n_2134),
 	.Y(n_3336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201894,7 +198477,7 @@
 	.A1(n_2487),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [1]),
 	.B1(n_2105),
-	.B2(FE_OFN922_n_2250),
+	.B2(FE_OFN1115_n_2250),
 	.X(n_3335), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201904,7 +198487,7 @@
 	.A1(n_2487),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [2]),
 	.B1(n_2111),
-	.B2(FE_OFN922_n_2250),
+	.B2(FE_OFN1115_n_2250),
 	.X(n_3334), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201913,7 +198496,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441153 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [3]),
 	.A2_N(n_2487),
-	.B1(n_2108),
+	.B1(FE_OFN1483_n_2108),
 	.B2(n_2249),
 	.Y(n_3333), 
 	.VPWR(vccd1), 
@@ -201923,7 +198506,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441154 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [4]),
 	.A2_N(n_2487),
-	.B1(n_2107),
+	.B1(FE_OFN1482_n_2107),
 	.B2(n_2249),
 	.Y(n_3332), 
 	.VPWR(vccd1), 
@@ -201934,7 +198517,7 @@
 	.A1(n_2487),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [5]),
 	.B1(n_2101),
-	.B2(FE_OFN922_n_2250),
+	.B2(FE_OFN1115_n_2250),
 	.X(n_3331), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201944,7 +198527,7 @@
 	.A1(n_2487),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [6]),
 	.B1(n_2015),
-	.B2(FE_OFN922_n_2250),
+	.B2(FE_OFN1115_n_2250),
 	.X(n_3330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201954,7 +198537,7 @@
 	.A1(n_2487),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [7]),
 	.B1(n_2080),
-	.B2(FE_OFN922_n_2250),
+	.B2(FE_OFN1115_n_2250),
 	.X(n_3329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201964,7 +198547,7 @@
 	.A1(n_2487),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[230] [8]),
 	.B1(n_2133),
-	.B2(FE_OFN922_n_2250),
+	.B2(FE_OFN1115_n_2250),
 	.X(n_3328), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201974,7 +198557,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [1]),
 	.A2_N(n_2467),
 	.B1(n_2011),
-	.B2(n_2106),
+	.B2(FE_OFN1481_n_2106),
 	.Y(n_3327), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201984,7 +198567,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [3]),
 	.A2_N(n_2467),
 	.B1(n_2011),
-	.B2(n_2108),
+	.B2(FE_OFN1483_n_2108),
 	.Y(n_3326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -201994,7 +198577,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [4]),
 	.A2_N(n_2467),
 	.B1(n_2011),
-	.B2(FE_OFN1438_n_2107),
+	.B2(FE_OFN1482_n_2107),
 	.Y(n_3325), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202004,7 +198587,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [5]),
 	.A2_N(n_2467),
 	.B1(n_2011),
-	.B2(FE_OFN1436_n_2102),
+	.B2(FE_OFN1479_n_2102),
 	.Y(n_3324), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202014,7 +198597,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[231] [7]),
 	.A2_N(n_2467),
 	.B1(n_2011),
-	.B2(n_2081),
+	.B2(FE_OFN1471_n_2081),
 	.Y(n_3323), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202024,7 +198607,7 @@
 	.A1(n_2727),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [1]),
 	.B1(n_2129),
-	.B2(FE_OFN923_n_2252),
+	.B2(FE_OFN1116_n_2252),
 	.X(n_3322), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202034,7 +198617,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [3]),
 	.A2_N(n_2727),
 	.B1(n_2251),
-	.B2(FE_OFN1407_n_2017),
+	.B2(FE_OFN1457_n_2017),
 	.Y(n_3321), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202044,7 +198627,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [5]),
 	.A2_N(n_2727),
 	.B1(n_2251),
-	.B2(FE_OFN1423_n_2071),
+	.B2(FE_OFN1467_n_2071),
 	.Y(n_3320), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202054,7 +198637,7 @@
 	.A1(n_2727),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[232] [7]),
 	.B1(n_2094),
-	.B2(FE_OFN923_n_2252),
+	.B2(FE_OFN1116_n_2252),
 	.X(n_3319), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202064,7 +198647,7 @@
 	.A1(n_2499),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [1]),
 	.B1(n_2501),
-	.B2(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3318), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202074,7 +198657,7 @@
 	.A1(n_2499),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [2]),
 	.B1(n_2501),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3317), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202084,7 +198667,7 @@
 	.A1(n_2499),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [3]),
 	.B1(n_2501),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3316), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202094,7 +198677,7 @@
 	.A1(n_2499),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [4]),
 	.B1(n_2501),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3315), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202104,7 +198687,7 @@
 	.A1(n_2499),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [5]),
 	.B1(n_2501),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN864_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3314), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202114,7 +198697,7 @@
 	.A1(n_2499),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [6]),
 	.B1(n_2501),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3313), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202124,7 +198707,7 @@
 	.A1(n_2499),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [7]),
 	.B1(n_2501),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3312), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202134,7 +198717,7 @@
 	.A1(n_2499),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[233] [8]),
 	.B1(n_2501),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3311), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202144,7 +198727,7 @@
 	.A1(n_2452),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [1]),
 	.B1(n_2846),
-	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3310), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202154,7 +198737,7 @@
 	.A1(n_2452),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [2]),
 	.B1(n_2846),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN872_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3309), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202164,7 +198747,7 @@
 	.A1(n_2452),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [4]),
 	.B1(n_2846),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN866_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3308), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202174,7 +198757,7 @@
 	.A1(n_2452),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [6]),
 	.B1(n_2846),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3307), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202184,7 +198767,7 @@
 	.A1(n_2452),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[234] [8]),
 	.B1(n_2846),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_3306), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202194,7 +198777,7 @@
 	.A1(n_2478),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [2]),
 	.B1(n_2533),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN872_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3305), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202204,7 +198787,7 @@
 	.A1(n_2478),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [3]),
 	.B1(n_2533),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN869_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3304), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202214,7 +198797,7 @@
 	.A1(n_2478),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [4]),
 	.B1(n_2533),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN866_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3303), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202224,7 +198807,7 @@
 	.A1(n_2478),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [5]),
 	.B1(n_2533),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN863_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3302), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202234,7 +198817,7 @@
 	.A1(n_2478),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [6]),
 	.B1(n_2533),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3301), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202244,7 +198827,7 @@
 	.A1(n_2478),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [7]),
 	.B1(n_2533),
-	.B2(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3300), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202254,7 +198837,7 @@
 	.A1(n_2478),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[235] [8]),
 	.B1(n_2533),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_3299), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202264,7 +198847,7 @@
 	.A1(n_2728),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [1]),
 	.B1(n_2433),
-	.B2(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3298), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202274,7 +198857,7 @@
 	.A1(n_2728),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [3]),
 	.B1(n_2433),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(u_soc_u_uart_u_uart_core_rx[2]),
 	.X(n_3297), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202284,7 +198867,7 @@
 	.A1(n_2728),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [5]),
 	.B1(n_2433),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(u_soc_u_uart_u_uart_core_rx[4]),
 	.X(n_3296), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202294,7 +198877,7 @@
 	.A1(n_2728),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[236] [7]),
 	.B1(n_2433),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3295), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202304,7 +198887,7 @@
 	.A1(n_2498),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [1]),
 	.B1(n_2527),
-	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN875_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3294), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202314,7 +198897,7 @@
 	.A1(n_2498),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [2]),
 	.B1(n_2527),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3293), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202324,7 +198907,7 @@
 	.A1(n_2498),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [3]),
 	.B1(n_2527),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3292), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202334,7 +198917,7 @@
 	.A1(n_2498),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [4]),
 	.B1(n_2527),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3291), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202344,7 +198927,7 @@
 	.A1(n_2498),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [6]),
 	.B1(n_2527),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN861_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3290), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202354,7 +198937,7 @@
 	.A1(n_2498),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [7]),
 	.B1(n_2527),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3289), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202364,7 +198947,7 @@
 	.A1(n_2498),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[237] [8]),
 	.B1(n_2527),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.X(n_3288), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202374,7 +198957,7 @@
 	.A1(n_2455),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [1]),
 	.B1(n_2838),
-	.B2(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.B2(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.X(n_3287), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202384,7 +198967,7 @@
 	.A1(n_2455),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [2]),
 	.B1(n_2838),
-	.B2(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN872_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3286), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202394,7 +198977,7 @@
 	.A1(n_2455),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [4]),
 	.B1(n_2838),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3285), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202404,7 +198987,7 @@
 	.A1(n_2455),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [6]),
 	.B1(n_2838),
-	.B2(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3284), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202414,7 +198997,7 @@
 	.A1(n_2455),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[238] [8]),
 	.B1(n_2838),
-	.B2(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_3283), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202424,7 +199007,7 @@
 	.A1(n_2475),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [2]),
 	.B1(n_2422),
-	.B2(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B2(FE_OFN872_u_soc_u_uart_u_uart_core_rx_1),
 	.X(n_3282), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202434,7 +199017,7 @@
 	.A1(n_2475),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [3]),
 	.B1(n_2422),
-	.B2(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN869_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3281), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202444,7 +199027,7 @@
 	.A1(n_2475),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [4]),
 	.B1(n_2422),
-	.B2(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN866_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3280), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202454,7 +199037,7 @@
 	.A1(n_2475),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [5]),
 	.B1(n_2422),
-	.B2(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN863_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3279), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202464,7 +199047,7 @@
 	.A1(n_2475),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [6]),
 	.B1(n_2422),
-	.B2(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B2(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.X(n_3278), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202474,7 +199057,7 @@
 	.A1(n_2475),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [7]),
 	.B1(n_2422),
-	.B2(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3277), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202484,7 +199067,7 @@
 	.A1(n_2475),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[239] [8]),
 	.B1(n_2422),
-	.B2(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B2(u_soc_u_uart_u_uart_core_rx[7]),
 	.X(n_3276), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202493,8 +199076,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441211 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [3]),
 	.A2_N(n_2774),
-	.B1(FE_OFN913_n_2233),
-	.B2(FE_OFN1424_n_2073),
+	.B1(FE_OFN1109_n_2233),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_3275), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202503,8 +199086,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441212 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [4]),
 	.A2_N(n_2774),
-	.B1(n_2233),
-	.B2(FE_OFN1431_n_2093),
+	.B1(FE_OFN1109_n_2233),
+	.B2(n_2093),
 	.Y(n_3274), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202523,8 +199106,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441214 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [5]),
 	.A2_N(n_2774),
-	.B1(FE_OFN913_n_2233),
-	.B2(FE_OFN1441_n_2120),
+	.B1(FE_OFN1109_n_2233),
+	.B2(n_2120),
 	.Y(n_3272), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202533,8 +199116,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441215 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [7]),
 	.A2_N(n_2774),
-	.B1(FE_OFN913_n_2233),
-	.B2(FE_OFN1425_n_2075),
+	.B1(FE_OFN1109_n_2233),
+	.B2(n_2075),
 	.Y(n_3271), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202543,8 +199126,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441216 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[240] [8]),
 	.A2_N(n_2774),
-	.B1(n_2233),
-	.B2(FE_OFN1445_n_2132),
+	.B1(FE_OFN1109_n_2233),
+	.B2(n_2132),
 	.Y(n_3270), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202553,7 +199136,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441217 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [1]),
 	.A2_N(n_2825),
-	.B1(FE_OFN895_n_2012),
+	.B1(n_2012),
 	.B2(n_2087),
 	.Y(n_3269), 
 	.VPWR(vccd1), 
@@ -202563,8 +199146,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441218 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [3]),
 	.A2_N(n_2825),
-	.B1(FE_OFN895_n_2012),
-	.B2(FE_OFN1424_n_2073),
+	.B1(n_2012),
+	.B2(FE_OFN1468_n_2073),
 	.Y(n_3268), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202573,8 +199156,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441219 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [5]),
 	.A2_N(n_2825),
-	.B1(FE_OFN895_n_2012),
-	.B2(n_2120),
+	.B1(n_2012),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_3267), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202583,8 +199166,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441220 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [7]),
 	.A2_N(n_2825),
-	.B1(FE_OFN895_n_2012),
-	.B2(FE_OFN1425_n_2075),
+	.B1(n_2012),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_3266), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202594,7 +199177,7 @@
 	.A1(n_2776),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [1]),
 	.B1(n_2086),
-	.B2(FE_OFN922_n_2250),
+	.B2(n_2250),
 	.X(n_3265), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202604,7 +199187,7 @@
 	.A1(n_2776),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [2]),
 	.B1(n_2088),
-	.B2(FE_OFN922_n_2250),
+	.B2(n_2250),
 	.X(n_3264), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202614,7 +199197,7 @@
 	.A1(n_2776),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [3]),
 	.B1(n_2072),
-	.B2(FE_OFN922_n_2250),
+	.B2(n_2250),
 	.X(n_3263), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202624,7 +199207,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [4]),
 	.A2_N(n_2776),
 	.B1(n_2249),
-	.B2(FE_OFN1431_n_2093),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_3262), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202654,7 +199237,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [7]),
 	.A2_N(n_2776),
 	.B1(n_2249),
-	.B2(FE_OFN1425_n_2075),
+	.B2(n_2075),
 	.Y(n_3259), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202664,7 +199247,7 @@
 	.A1(n_2776),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[242] [8]),
 	.B1(n_2131),
-	.B2(FE_OFN922_n_2250),
+	.B2(n_2250),
 	.X(n_3258), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202673,7 +199256,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441229 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [1]),
 	.A2_N(n_2859),
-	.B1(FE_OFN894_n_2011),
+	.B1(n_2011),
 	.B2(n_2087),
 	.Y(n_3257), 
 	.VPWR(vccd1), 
@@ -202683,7 +199266,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441230 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [2]),
 	.A2_N(n_2859),
-	.B1(FE_OFN894_n_2011),
+	.B1(n_2011),
 	.B2(n_2089),
 	.Y(n_3256), 
 	.VPWR(vccd1), 
@@ -202693,8 +199276,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441231 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [4]),
 	.A2_N(n_2859),
-	.B1(FE_OFN894_n_2011),
-	.B2(n_2093),
+	.B1(n_2011),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_3255), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202703,8 +199286,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441232 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [5]),
 	.A2_N(n_2859),
-	.B1(FE_OFN894_n_2011),
-	.B2(FE_OFN1441_n_2120),
+	.B1(n_2011),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_3254), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202713,8 +199296,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441233 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [6]),
 	.A2_N(n_2859),
-	.B1(FE_OFN894_n_2011),
-	.B2(FE_OFN1443_n_2124),
+	.B1(n_2011),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_3253), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202723,8 +199306,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441234 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [7]),
 	.A2_N(n_2859),
-	.B1(FE_OFN894_n_2011),
-	.B2(n_2075),
+	.B1(n_2011),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_3252), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202733,8 +199316,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441235 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[243] [8]),
 	.A2_N(n_2859),
-	.B1(FE_OFN894_n_2011),
-	.B2(n_2132),
+	.B1(n_2011),
+	.B2(FE_OFN1489_n_2132),
 	.Y(n_3251), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202743,7 +199326,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441236 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [1]),
 	.A2_N(n_2837),
-	.B1(FE_OFN913_n_2233),
+	.B1(FE_OFN1109_n_2233),
 	.B2(n_2059),
 	.Y(n_3250), 
 	.VPWR(vccd1), 
@@ -202754,7 +199337,7 @@
 	.A1(n_2464),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[150] [8]),
 	.B1(n_2114),
-	.B2(FE_OFN914_n_2235),
+	.B2(FE_OFN1110_n_2235),
 	.X(n_3249), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202763,7 +199346,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441238 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [2]),
 	.A2_N(n_2837),
-	.B1(FE_OFN913_n_2233),
+	.B1(FE_OFN1109_n_2233),
 	.B2(n_2057),
 	.Y(n_3248), 
 	.VPWR(vccd1), 
@@ -202773,7 +199356,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441239 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [4]),
 	.A2_N(n_2837),
-	.B1(FE_OFN913_n_2233),
+	.B1(FE_OFN1109_n_2233),
 	.B2(n_2061),
 	.Y(n_3247), 
 	.VPWR(vccd1), 
@@ -202783,7 +199366,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441240 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [6]),
 	.A2_N(n_2837),
-	.B1(FE_OFN913_n_2233),
+	.B1(FE_OFN1109_n_2233),
 	.B2(n_2063),
 	.Y(n_3246), 
 	.VPWR(vccd1), 
@@ -202793,7 +199376,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441241 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [7]),
 	.A2_N(n_2837),
-	.B1(FE_OFN913_n_2233),
+	.B1(FE_OFN1109_n_2233),
 	.B2(n_2069),
 	.Y(n_3245), 
 	.VPWR(vccd1), 
@@ -202803,7 +199386,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441242 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[244] [8]),
 	.A2_N(n_2837),
-	.B1(FE_OFN913_n_2233),
+	.B1(FE_OFN1109_n_2233),
 	.B2(n_2065),
 	.Y(n_3244), 
 	.VPWR(vccd1), 
@@ -202814,7 +199397,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [2]),
 	.A2_N(n_2734),
 	.B1(n_2012),
-	.B2(FE_OFN1416_n_2057),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_3243), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202834,7 +199417,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [4]),
 	.A2_N(n_2734),
 	.B1(n_2012),
-	.B2(FE_OFN1417_n_2061),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_3241), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202844,7 +199427,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [6]),
 	.A2_N(n_2734),
 	.B1(n_2012),
-	.B2(FE_OFN1418_n_2063),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_3240), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202854,7 +199437,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [8]),
 	.A2_N(n_2734),
 	.B1(n_2012),
-	.B2(FE_OFN1419_n_2065),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_3239), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202864,7 +199447,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [2]),
 	.A2_N(n_2689),
 	.B1(n_2249),
-	.B2(FE_OFN1416_n_2057),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_3238), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202873,8 +199456,8 @@
    sky130_fd_sc_hd__a22o_1 g441249 (
 	.A1(n_2689),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [3]),
-	.B1(FE_OFN1415_n_2055),
-	.B2(FE_OFN922_n_2250),
+	.B1(n_2055),
+	.B2(FE_OFN1115_n_2250),
 	.X(n_3237), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202884,7 +199467,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [4]),
 	.A2_N(n_2689),
 	.B1(n_2249),
-	.B2(FE_OFN1417_n_2061),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_3236), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202893,8 +199476,8 @@
    sky130_fd_sc_hd__a22o_1 g441251 (
 	.A1(n_2689),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [5]),
-	.B1(FE_OFN1420_n_2067),
-	.B2(FE_OFN922_n_2250),
+	.B1(n_2067),
+	.B2(FE_OFN1115_n_2250),
 	.X(n_3235), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202904,7 +199487,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [6]),
 	.A2_N(n_2689),
 	.B1(n_2249),
-	.B2(FE_OFN1418_n_2063),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_3234), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202914,7 +199497,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [7]),
 	.A2_N(n_2689),
 	.B1(n_2249),
-	.B2(n_2069),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_3233), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202924,7 +199507,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [8]),
 	.A2_N(n_2689),
 	.B1(n_2249),
-	.B2(FE_OFN1419_n_2065),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_3232), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202933,8 +199516,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441255 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [1]),
 	.A2_N(n_2798),
-	.B1(FE_OFN894_n_2011),
-	.B2(n_2059),
+	.B1(n_2011),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_3231), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202943,8 +199526,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441256 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [2]),
 	.A2_N(n_2798),
-	.B1(FE_OFN894_n_2011),
-	.B2(FE_OFN1416_n_2057),
+	.B1(n_2011),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_3230), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202953,7 +199536,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441257 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [3]),
 	.A2_N(n_2798),
-	.B1(FE_OFN894_n_2011),
+	.B1(n_2011),
 	.B2(n_2054),
 	.Y(n_3229), 
 	.VPWR(vccd1), 
@@ -202963,8 +199546,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441258 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [4]),
 	.A2_N(n_2798),
-	.B1(FE_OFN894_n_2011),
-	.B2(FE_OFN1417_n_2061),
+	.B1(n_2011),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_3228), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202973,8 +199556,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441259 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[247] [6]),
 	.A2_N(n_2798),
-	.B1(FE_OFN894_n_2011),
-	.B2(FE_OFN1418_n_2063),
+	.B1(n_2011),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_3227), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202984,7 +199567,7 @@
 	.A1(n_2779),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [2]),
 	.B1(n_2088),
-	.B2(FE_OFN923_n_2252),
+	.B2(FE_OFN1116_n_2252),
 	.X(n_3226), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -202993,8 +199576,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441261 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[147] [2]),
 	.A2_N(n_2737),
-	.B1(FE_OFN892_n_2004),
-	.B2(n_2083),
+	.B1(n_2004),
+	.B2(FE_OFN1472_n_2083),
 	.Y(n_3225), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203004,7 +199587,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [4]),
 	.A2_N(n_2779),
 	.B1(n_2251),
-	.B2(FE_OFN1431_n_2093),
+	.B2(n_2093),
 	.Y(n_3224), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203014,7 +199597,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [5]),
 	.A2_N(n_2779),
 	.B1(n_2251),
-	.B2(FE_OFN1441_n_2120),
+	.B2(n_2120),
 	.Y(n_3223), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203024,7 +199607,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [6]),
 	.A2_N(n_2779),
 	.B1(n_2251),
-	.B2(FE_OFN1443_n_2124),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_3222), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203034,7 +199617,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[248] [7]),
 	.A2_N(n_2779),
 	.B1(n_2251),
-	.B2(FE_OFN1425_n_2075),
+	.B2(n_2075),
 	.Y(n_3221), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203054,7 +199637,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [2]),
 	.A2_N(n_2775),
 	.B1(n_2137),
-	.B2(FE_OFN1430_n_2089),
+	.B2(n_2089),
 	.Y(n_3219), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203064,7 +199647,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [5]),
 	.A2_N(n_2775),
 	.B1(n_2137),
-	.B2(n_2120),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_3218), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203074,7 +199657,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [7]),
 	.A2_N(n_2775),
 	.B1(n_2137),
-	.B2(FE_OFN1425_n_2075),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_3217), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203084,7 +199667,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[249] [8]),
 	.A2_N(n_2775),
 	.B1(n_2137),
-	.B2(n_2132),
+	.B2(FE_OFN1489_n_2132),
 	.Y(n_3216), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203124,7 +199707,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [4]),
 	.A2_N(n_2780),
 	.B1(n_2247),
-	.B2(FE_OFN1431_n_2093),
+	.B2(FE_OFN1475_n_2093),
 	.Y(n_3212), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203134,7 +199717,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [5]),
 	.A2_N(n_2780),
 	.B1(n_2247),
-	.B2(n_2120),
+	.B2(FE_OFN1486_n_2120),
 	.Y(n_3211), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203144,7 +199727,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [6]),
 	.A2_N(n_2780),
 	.B1(n_2247),
-	.B2(n_2124),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_3210), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203154,7 +199737,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[250] [7]),
 	.A2_N(n_2780),
 	.B1(n_2247),
-	.B2(FE_OFN1425_n_2075),
+	.B2(FE_OFN1469_n_2075),
 	.Y(n_3209), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203203,8 +199786,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441282 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[26] [1]),
 	.A2_N(n_2785),
-	.B1(FE_OFN889_n_1994),
-	.B2(FE_OFN1439_n_2110),
+	.B1(n_1994),
+	.B2(FE_OFN1484_n_2110),
 	.Y(n_3204), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203234,7 +199817,7 @@
 	.A1(n_2731),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [1]),
 	.B1(n_2058),
-	.B2(FE_OFN923_n_2252),
+	.B2(FE_OFN1116_n_2252),
 	.X(n_3201), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203244,7 +199827,7 @@
 	.A1(n_2731),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [3]),
 	.B1(n_2055),
-	.B2(FE_OFN923_n_2252),
+	.B2(FE_OFN1116_n_2252),
 	.X(n_3200), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203253,8 +199836,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441287 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[241] [6]),
 	.A2_N(n_2825),
-	.B1(FE_OFN895_n_2012),
-	.B2(n_2124),
+	.B1(n_2012),
+	.B2(FE_OFN1487_n_2124),
 	.Y(n_3199), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203274,7 +199857,7 @@
 	.A1(n_2731),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[252] [5]),
 	.B1(n_2067),
-	.B2(FE_OFN923_n_2252),
+	.B2(FE_OFN1116_n_2252),
 	.X(n_3197), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203304,7 +199887,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [2]),
 	.A2_N(n_2690),
 	.B1(n_2137),
-	.B2(FE_OFN1416_n_2057),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_3194), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203324,7 +199907,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [4]),
 	.A2_N(n_2690),
 	.B1(n_2137),
-	.B2(FE_OFN1417_n_2061),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_3192), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203334,7 +199917,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [6]),
 	.A2_N(n_2690),
 	.B1(n_2137),
-	.B2(FE_OFN1418_n_2063),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_3191), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203344,7 +199927,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [7]),
 	.A2_N(n_2690),
 	.B1(n_2137),
-	.B2(n_2069),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_3190), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203354,7 +199937,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [2]),
 	.A2_N(n_2686),
 	.B1(n_2247),
-	.B2(FE_OFN1416_n_2057),
+	.B2(FE_OFN1460_n_2057),
 	.Y(n_3189), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203363,7 +199946,7 @@
    sky130_fd_sc_hd__a22o_1 g441298 (
 	.A1(n_2686),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [3]),
-	.B1(FE_OFN1415_n_2055),
+	.B1(n_2055),
 	.B2(n_2248),
 	.X(n_3188), 
 	.VPWR(vccd1), 
@@ -203374,7 +199957,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [4]),
 	.A2_N(n_2686),
 	.B1(n_2247),
-	.B2(FE_OFN1417_n_2061),
+	.B2(FE_OFN1462_n_2061),
 	.Y(n_3187), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203383,7 +199966,7 @@
    sky130_fd_sc_hd__a22o_1 g441300 (
 	.A1(n_2686),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [5]),
-	.B1(FE_OFN1420_n_2067),
+	.B1(n_2067),
 	.B2(n_2248),
 	.X(n_3186), 
 	.VPWR(vccd1), 
@@ -203394,7 +199977,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [6]),
 	.A2_N(n_2686),
 	.B1(n_2247),
-	.B2(FE_OFN1418_n_2063),
+	.B2(FE_OFN1463_n_2063),
 	.Y(n_3185), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203404,7 +199987,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [7]),
 	.A2_N(n_2686),
 	.B1(n_2247),
-	.B2(n_2069),
+	.B2(FE_OFN1465_n_2069),
 	.Y(n_3184), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203414,7 +199997,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[254] [8]),
 	.A2_N(n_2686),
 	.B1(n_2247),
-	.B2(FE_OFN1419_n_2065),
+	.B2(FE_OFN1464_n_2065),
 	.Y(n_3183), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203424,7 +200007,7 @@
 	.A1(n_2777),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[219] [3]),
 	.B1(n_2772),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3182), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203453,7 +200036,7 @@
    sky130_fd_sc_hd__a22o_1 g441307 (
 	.A1(n_2688),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [3]),
-	.B1(FE_OFN1415_n_2055),
+	.B1(n_2055),
 	.B2(n_2256),
 	.X(n_3179), 
 	.VPWR(vccd1), 
@@ -203473,7 +200056,7 @@
    sky130_fd_sc_hd__a22o_1 g441309 (
 	.A1(n_2688),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[255] [5]),
-	.B1(FE_OFN1420_n_2067),
+	.B1(n_2067),
 	.B2(n_2256),
 	.X(n_3177), 
 	.VPWR(vccd1), 
@@ -203514,7 +200097,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[253] [1]),
 	.A2_N(n_2690),
 	.B1(n_2137),
-	.B2(n_2059),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_3173), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203524,7 +200107,7 @@
 	.A1(n_2438),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[162] [5]),
 	.B1(n_2795),
-	.B2(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B2(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.X(n_3172), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203534,7 +200117,7 @@
 	.A1(n_2465),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[173] [7]),
 	.B1(n_2819),
-	.B2(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B2(FE_OFN858_u_soc_u_uart_u_uart_core_rx_6),
 	.X(n_3171), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203544,7 +200127,7 @@
 	.A1(n_2840),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[217] [3]),
 	.B1(n_2770),
-	.B2(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B2(FE_OFN870_u_soc_u_uart_u_uart_core_rx_2),
 	.X(n_3170), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203574,7 +200157,7 @@
 	.A1(n_2689),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[246] [1]),
 	.B1(n_2058),
-	.B2(FE_OFN922_n_2250),
+	.B2(FE_OFN1115_n_2250),
 	.X(n_3167), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203583,7 +200166,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441320 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[149] [2]),
 	.A2_N(n_2519),
-	.B1(n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2117),
 	.Y(n_3166), 
 	.VPWR(vccd1), 
@@ -203594,7 +200177,7 @@
 	.A1(n_2743),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[171] [4]),
 	.B1(n_2790),
-	.B2(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B2(FE_OFN866_u_soc_u_uart_u_uart_core_rx_3),
 	.X(n_3165), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203613,7 +200196,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441323 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [8]),
 	.A2_N(n_2482),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2020),
 	.Y(n_3163), 
 	.VPWR(vccd1), 
@@ -203623,8 +200206,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441324 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[99] [7]),
 	.A2_N(n_2473),
-	.B1(FE_OFN896_n_2215),
-	.B2(n_2095),
+	.B1(FE_OFN1100_n_2215),
+	.B2(FE_OFN1476_n_2095),
 	.Y(n_3162), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203633,7 +200216,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441325 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [4]),
 	.A2_N(n_2482),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2030),
 	.Y(n_3161), 
 	.VPWR(vccd1), 
@@ -203643,7 +200226,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441326 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[133] [2]),
 	.A2_N(n_2482),
-	.B1(FE_OFN917_n_2238),
+	.B1(FE_OFN1506_n_2238),
 	.B2(n_2032),
 	.Y(n_3160), 
 	.VPWR(vccd1), 
@@ -203653,8 +200236,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441327 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[85] [1]),
 	.A2_N(n_2510),
-	.B1(FE_OFN897_n_2216),
-	.B2(n_2059),
+	.B1(FE_OFN1500_n_2216),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_3159), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203664,7 +200247,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[245] [1]),
 	.A2_N(n_2734),
 	.B1(n_2012),
-	.B2(n_2059),
+	.B2(FE_OFN1461_n_2059),
 	.Y(n_3158), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203673,7 +200256,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441329 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[121] [1]),
 	.A2_N(n_2696),
-	.B1(n_2223),
+	.B1(FE_OFN1104_n_2223),
 	.B2(n_2087),
 	.Y(n_3157), 
 	.VPWR(vccd1), 
@@ -203683,7 +200266,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441330 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [7]),
 	.A2_N(n_2860),
-	.B1(n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2044),
 	.Y(n_3156), 
 	.VPWR(vccd1), 
@@ -203694,7 +200277,7 @@
 	.A1(n_2760),
 	.A2(\u_soc_u_uart_u_uart_core_read_fifo_buffer[158] [8]),
 	.B1(n_2114),
-	.B2(FE_OFN918_n_2240),
+	.B2(FE_OFN1111_n_2240),
 	.X(n_3155), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203703,7 +200286,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441332 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [5]),
 	.A2_N(n_2860),
-	.B1(n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2048),
 	.Y(n_3154), 
 	.VPWR(vccd1), 
@@ -203713,7 +200296,7 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441333 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[136] [3]),
 	.A2_N(n_2860),
-	.B1(n_2237),
+	.B1(FE_OFN1505_n_2237),
 	.B2(n_2052),
 	.Y(n_3153), 
 	.VPWR(vccd1), 
@@ -203724,7 +200307,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[120] [8]),
 	.A2_N(n_2730),
 	.B1(n_1996),
-	.B2(FE_OFN1445_n_2132),
+	.B2(n_2132),
 	.Y(n_3152), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203733,8 +200316,8 @@
    sky130_fd_sc_hd__o2bb2ai_1 g441335 (
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[64] [6]),
 	.A2_N(n_2815),
-	.B1(n_2218),
-	.B2(FE_OFN1422_n_2070),
+	.B1(FE_OFN1502_n_2218),
+	.B2(FE_OFN1466_n_2070),
 	.Y(n_3151), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203744,7 +200327,7 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[45] [3]),
 	.A2_N(n_2849),
 	.B1(n_2022),
-	.B2(FE_OFN905_n_2223),
+	.B2(FE_OFN1104_n_2223),
 	.Y(n_3150), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203754,42 +200337,35 @@
 	.A1_N(\u_soc_u_uart_u_uart_core_read_fifo_buffer[15] [2]),
 	.A2_N(n_2844),
 	.B1(n_2032),
-	.B2(FE_OFN902_n_2219),
+	.B2(FE_OFN1101_n_2219),
 	.Y(n_3149), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441338 (
-	.A(n_3140),
+	.A(FE_OFN1757_n_3140),
 	.Y(n_3139), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441339 (
-	.A(n_3135),
+	.A(FE_OFN1824_n_3135),
 	.Y(n_3134), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g441340 (
-	.A(n_3133),
-	.Y(n_3132), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441341 (
-	.A(n_3131),
+	.A(FE_OFN1822_n_3131),
 	.Y(n_3130), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441342 (
-	.A(n_3128),
+	.A(FE_OFN1821_n_3128),
 	.Y(n_3127), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203803,14 +200379,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441344 (
-	.A(n_3122),
+	.A(FE_OFN1756_n_3122),
 	.Y(n_3121), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441345 (
-	.A(n_3120),
+	.A(FE_OFN1755_n_3120),
 	.Y(n_3119), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -203882,7 +200458,7 @@
    sky130_fd_sc_hd__a221oi_1 g441357 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[22]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[22]),
 	.C1(n_1950),
 	.Y(n_3073), 
@@ -203902,7 +200478,7 @@
    sky130_fd_sc_hd__a221oi_1 g441359 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[16]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[16]),
 	.C1(n_1974),
 	.Y(n_3071), 
@@ -203912,7 +200488,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a211o_1 g441360 (
 	.A1(n_15926),
-	.A2(FE_OFN31_io_out_37),
+	.A2(FE_OFN15_io_out_37),
 	.B1(n_626),
 	.C1(n_2158),
 	.X(n_3070), 
@@ -203921,7 +200497,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441361 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_2358),
 	.Y(n_3069), 
 	.VPWR(vccd1), 
@@ -203990,7 +200566,7 @@
    sky130_fd_sc_hd__a221oi_1 g441368 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[29]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[29]),
 	.C1(n_1957),
 	.Y(n_3062), 
@@ -204009,7 +200585,7 @@
    sky130_fd_sc_hd__a221oi_1 g441370 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[28]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[28]),
 	.C1(n_1979),
 	.Y(n_3060), 
@@ -204020,7 +200596,7 @@
    sky130_fd_sc_hd__a221oi_1 g441371 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[27]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[27]),
 	.C1(n_1956),
 	.Y(n_3059), 
@@ -204031,7 +200607,7 @@
    sky130_fd_sc_hd__a221oi_1 g441372 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[26]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[26]),
 	.C1(n_1983),
 	.Y(n_3058), 
@@ -204050,7 +200626,7 @@
    sky130_fd_sc_hd__a221oi_1 g441374 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[25]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[25]),
 	.C1(n_1955),
 	.Y(n_3056), 
@@ -204067,7 +200643,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g441376 (
-	.A(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B_N(n_1990),
 	.Y(n_3054), 
 	.VPWR(vccd1), 
@@ -204077,7 +200653,7 @@
    sky130_fd_sc_hd__a221oi_1 g441377 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[24]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[24]),
 	.C1(n_1954),
 	.Y(n_3053), 
@@ -204096,7 +200672,7 @@
    sky130_fd_sc_hd__a221oi_1 g441379 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[23]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[23]),
 	.C1(n_1953),
 	.Y(n_3051), 
@@ -204107,7 +200683,7 @@
    sky130_fd_sc_hd__a221oi_1 g441380 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[18]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[18]),
 	.C1(n_1947),
 	.Y(n_3050), 
@@ -204116,7 +200692,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441381 (
-	.A(FE_OFN18274_n_2418),
+	.A(n_2418),
 	.B(n_1391),
 	.Y(n_3049), 
 	.VPWR(vccd1), 
@@ -204126,7 +200702,7 @@
    sky130_fd_sc_hd__a221oi_1 g441382 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[19]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[19]),
 	.C1(n_1987),
 	.Y(n_3048), 
@@ -204160,7 +200736,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441386 (
-	.A(FE_OFN18274_n_2418),
+	.A(n_2418),
 	.B(n_1366),
 	.Y(n_3044), 
 	.VPWR(vccd1), 
@@ -204193,7 +200769,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441391 (
-	.A(FE_OFN1476_n_2542),
+	.A(FE_OFN1120_n_2542),
 	.B(n_13310),
 	.Y(n_3148), 
 	.VPWR(vccd1), 
@@ -204236,7 +200812,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g441396 (
-	.A(FE_OFN1639_n_16011),
+	.A(FE_OFN1807_n_16011),
 	.B_N(n_2608),
 	.Y(n_3144), 
 	.VPWR(vccd1), 
@@ -204252,7 +200828,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441402 (
-	.A(FE_OFN1639_n_16011),
+	.A(FE_OFN1807_n_16011),
 	.B(n_2603),
 	.Y(n_3142), 
 	.VPWR(vccd1), 
@@ -204269,9 +200845,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441404 (
 	.A1(n_1876),
-	.A2(FE_OFN18933_u_soc_u_iccm_rdata3_25),
-	.B1(n_1875),
-	.B2(FE_OFN19376_u_soc_u_iccm_rdata2_25),
+	.A2(u_soc_u_iccm_rdata3[25]),
+	.B1(FE_OFN1451_n_1875),
+	.B2(FE_OFN780_u_soc_u_iccm_rdata2_25),
 	.C1(n_2375),
 	.Y(n_3140), 
 	.VPWR(vccd1), 
@@ -204287,7 +200863,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441406 (
-	.A(FE_OFN1476_n_2542),
+	.A(FE_OFN1120_n_2542),
 	.B(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
 	.Y(n_3137), 
 	.VPWR(vccd1), 
@@ -204296,17 +200872,17 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441407 (
 	.A(n_2893),
-	.B(FE_OFN18249_n_183),
+	.B(FE_OFN18549_u_soc_u_top_u_core_multdiv_operator_ex_1),
 	.Y(n_3136), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g441408 (
+   sky130_fd_sc_hd__a221oi_1 g441408 (
 	.A1(n_1883),
-	.A2(FE_OFN19483_u_soc_u_iccm_rdata4_29),
+	.A2(FE_OFN791_u_soc_u_iccm_rdata4_29),
 	.B1(n_1876),
-	.B2(FE_OFN19383_u_soc_u_iccm_rdata3_29),
+	.B2(u_soc_u_iccm_rdata3[29]),
 	.C1(n_2352),
 	.Y(n_3135), 
 	.VPWR(vccd1), 
@@ -204315,9 +200891,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441410 (
 	.A1(n_1883),
-	.A2(FE_OFN19470_u_soc_u_iccm_rdata4_31),
+	.A2(FE_OFN789_u_soc_u_iccm_rdata4_31),
 	.B1(n_1876),
-	.B2(FE_OFN18958_u_soc_u_iccm_rdata3_31),
+	.B2(u_soc_u_iccm_rdata3[31]),
 	.C1(n_2353),
 	.Y(n_3133), 
 	.VPWR(vccd1), 
@@ -204326,10 +200902,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441411 (
 	.A1(n_1883),
-	.A2(FE_OFN19447_u_soc_u_iccm_rdata4_28),
+	.A2(FE_OFN792_u_soc_u_iccm_rdata4_28),
 	.B1(n_1876),
-	.B2(FE_OFN19420_u_soc_u_iccm_rdata3_28),
-	.C1(n_2363),
+	.B2(u_soc_u_iccm_rdata3[28]),
+	.C1(FE_PDN3854_n_2363),
 	.Y(n_3131), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -204345,9 +200921,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441413 (
 	.A1(n_1883),
-	.A2(FE_OFN19002_u_soc_u_iccm_rdata4_26),
+	.A2(FE_OFN794_u_soc_u_iccm_rdata4_26),
 	.B1(n_1876),
-	.B2(FE_OFN19415_u_soc_u_iccm_rdata3_26),
+	.B2(u_soc_u_iccm_rdata3[26]),
 	.C1(n_2360),
 	.Y(n_3128), 
 	.VPWR(vccd1), 
@@ -204355,7 +200931,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441416 (
-	.A(FE_COEN4282_n_13467),
+	.A(n_13467),
 	.B(n_2894),
 	.Y(n_3125), 
 	.VPWR(vccd1), 
@@ -204363,7 +200939,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441418 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(n_2537),
 	.Y(n_3124), 
 	.VPWR(vccd1), 
@@ -204371,7 +200947,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441419 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(n_2539),
 	.Y(n_3123), 
 	.VPWR(vccd1), 
@@ -204380,9 +200956,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441420 (
 	.A1(n_1880),
-	.A2(FE_OFN19424_u_soc_u_iccm_rdata4_8),
+	.A2(FE_OFN812_u_soc_u_iccm_rdata4_8),
 	.B1(n_1882),
-	.B2(FE_OFN18966_u_soc_u_iccm_rdata3_8),
+	.B2(u_soc_u_iccm_rdata3[8]),
 	.C1(n_2347),
 	.Y(n_3122), 
 	.VPWR(vccd1), 
@@ -204391,10 +200967,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441421 (
 	.A1(n_1880),
-	.A2(FE_OFN18886_u_soc_u_iccm_rdata4_12),
+	.A2(FE_OFN808_u_soc_u_iccm_rdata4_12),
 	.B1(n_1882),
-	.B2(FE_OFN19402_u_soc_u_iccm_rdata3_12),
-	.C1(n_2349),
+	.B2(u_soc_u_iccm_rdata3[12]),
+	.C1(FE_OFN1528_n_2349),
 	.Y(n_3120), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -204425,7 +201001,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441426 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(n_2889),
 	.Y(n_3114), 
 	.VPWR(vccd1), 
@@ -204433,7 +201009,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441427 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(n_2536),
 	.Y(n_3113), 
 	.VPWR(vccd1), 
@@ -204441,7 +201017,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441428 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(n_2535),
 	.Y(n_3112), 
 	.VPWR(vccd1), 
@@ -204492,7 +201068,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441437 (
-	.A(FE_COEN4282_n_13467),
+	.A(n_13467),
 	.B(n_2895),
 	.Y(n_3106), 
 	.VPWR(vccd1), 
@@ -204517,14 +201093,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441440 (
 	.A(n_2604),
-	.B(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.B(FE_OFN18193_u_soc_u_top_u_core_rf_waddr_wb_1),
 	.Y(n_3101), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441441 (
-	.A(FE_OFN1476_n_2542),
+	.A(FE_OFN1120_n_2542),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_rdata_outstanding_q [0]),
 	.Y(n_3100), 
 	.VPWR(vccd1), 
@@ -204596,7 +201172,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441454 (
-	.A(FE_OFN927_n_2421),
+	.A(FE_OFN1534_n_2421),
 	.B(n_1750),
 	.Y(n_3085), 
 	.VPWR(vccd1), 
@@ -204613,7 +201189,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441457 (
 	.A(n_2414),
-	.B(FE_OFN19443_n_1431),
+	.B(FE_OFN1728_n_1431),
 	.Y(n_3082), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -204630,7 +201206,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_2 g441459 (
-	.A(FE_OFN1639_n_16011),
+	.A(FE_OFN1807_n_16011),
 	.B(n_2414),
 	.Y(n_3078), 
 	.VPWR(vccd1), 
@@ -204653,17 +201229,17 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g441462 (
-	.A(n_2676),
-	.B(n_2674),
+   sky130_fd_sc_hd__and2_1 g441462 (
+	.A(FE_OFN1816_n_2676),
+	.B(FE_OFN1535_n_2674),
 	.X(n_3075), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_1 g441463 (
-	.A(n_2678),
-	.B(n_2680),
+	.A(FE_OFN1817_n_2678),
+	.B(FE_OFN1536_n_2680),
 	.X(n_3074), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -204719,98 +201295,84 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441471 (
-	.A(n_3032),
+	.A(FE_OFN1754_n_3032),
 	.Y(n_3031), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g441472 (
-	.A(n_3030),
-	.Y(n_3029), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441473 (
-	.A(n_3028),
+	.A(FE_OFN1752_n_3028),
 	.Y(n_3027), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441474 (
-	.A(n_3026),
+	.A(FE_OFN1751_n_3026),
 	.Y(n_3025), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441475 (
-	.A(n_3024),
+	.A(FE_OFN1819_n_3024),
 	.Y(n_3023), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441476 (
-	.A(n_3022),
+	.A(FE_OFN1750_n_3022),
 	.Y(n_3021), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g441477 (
-	.A(n_3020),
-	.Y(n_3019), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441478 (
-	.A(n_3018),
+	.A(FE_OFN1748_n_3018),
 	.Y(n_3017), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441479 (
-	.A(n_3016),
+	.A(FE_OFN1818_n_3016),
 	.Y(n_3015), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441480 (
-	.A(n_3013),
+	.A(FE_PSN3950_FE_OFN1549_n_3013),
 	.Y(n_3012), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441481 (
-	.A(FE_OFN1613_n_3011),
+	.A(FE_OFN1548_n_3011),
 	.Y(n_3010), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441482 (
-	.A(n_3009),
+	.A(FE_OFN1547_n_3009),
 	.Y(n_3008), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441483 (
-	.A(FE_OFN1612_n_3007),
+	.A(FE_OFN1546_n_3007),
 	.Y(n_3006), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441484 (
-	.A(n_3004),
+	.A(FE_OFN1545_n_3004),
 	.Y(n_3005), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -204824,54 +201386,61 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441486 (
-	.A(FE_OFN1611_n_3001),
+	.A(FE_OFN1544_n_3001),
 	.Y(n_3000), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441487 (
-	.A(n_2999),
+	.A(FE_OFN1543_n_2999),
 	.Y(n_2998), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441488 (
-	.A(n_2997),
+	.A(FE_OFN1542_n_2997),
 	.Y(n_2996), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441489 (
-	.A(FE_OFN18968_n_2994),
+	.A(FE_OFN1541_n_2994),
 	.Y(n_2995), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441490 (
-	.A(n_2992),
+	.A(FE_OFN1540_n_2992),
 	.Y(n_2993), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441491 (
-	.A(FE_OFN1610_n_2991),
+	.A(FE_OFN1539_n_2991),
 	.Y(n_2990), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441492 (
-	.A(FE_OFN1609_n_2989),
+	.A(FE_OFN1538_n_2989),
 	.Y(n_2988), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_1 g441493 (
+	.A(n_2984),
+	.Y(n_2983), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g441494 (
 	.A1(n_1070),
 	.A2(n_2290),
@@ -204932,7 +201501,7 @@
    sky130_fd_sc_hd__a22oi_1 g441500 (
 	.A1(n_2246),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
-	.B1(FE_OFN1605_n_1513),
+	.B1(FE_OFN1729_n_1513),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[14]),
 	.Y(n_2973), 
 	.VPWR(vccd1), 
@@ -204940,7 +201509,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441501 (
-	.A1(FE_OFN921_n_2243),
+	.A1(FE_OFN1113_n_2243),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[4]),
@@ -204952,7 +201521,7 @@
    sky130_fd_sc_hd__a22oi_1 g441502 (
 	.A1(n_2246),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[12]),
 	.Y(n_2971), 
 	.VPWR(vccd1), 
@@ -204960,9 +201529,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441503 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
-	.B1(FE_OFN920_n_2242),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
 	.Y(n_2970), 
 	.VPWR(vccd1), 
@@ -204970,7 +201539,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441504 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[3]),
@@ -204991,7 +201560,7 @@
    sky130_fd_sc_hd__a22oi_1 g441506 (
 	.A1(n_2246),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
-	.B1(FE_OFN1605_n_1513),
+	.B1(FE_OFN1729_n_1513),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[10]),
 	.Y(n_2967), 
 	.VPWR(vccd1), 
@@ -204999,9 +201568,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441507 (
-	.A1(FE_OFN920_n_2242),
+	.A1(FE_OFN1112_n_2242),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
-	.B1(FE_OFN1608_n_2244),
+	.B1(FE_OFN1747_n_2244),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
 	.Y(n_2966), 
 	.VPWR(vccd1), 
@@ -205009,9 +201578,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441508 (
-	.A1(FE_OFN921_n_2243),
+	.A1(FE_OFN1113_n_2243),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[2]),
 	.Y(n_2965), 
 	.VPWR(vccd1), 
@@ -205019,7 +201588,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441509 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
 	.B1(n_2246),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
@@ -205031,7 +201600,7 @@
    sky130_fd_sc_hd__a22oi_1 g441510 (
 	.A1(n_2246),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
-	.B1(FE_OFN1605_n_1513),
+	.B1(FE_OFN1729_n_1513),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[6]),
 	.Y(n_2963), 
 	.VPWR(vccd1), 
@@ -205042,7 +201611,7 @@
 	.A1(n_2149),
 	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [4]),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [3]),
+	.B2(FE_PDN4097_FE_OFN1035_u_soc_uart_to_xbar_d_data__3),
 	.Y(n_2962), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -205052,7 +201621,7 @@
 	.A1(n_2149),
 	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [3]),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [2]),
+	.B2(FE_PDN3821_FE_OFN1036_u_soc_uart_to_xbar_d_data__2),
 	.Y(n_2961), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -205062,14 +201631,14 @@
 	.A1(n_2149),
 	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [2]),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [1]),
+	.B2(FE_PDN3831_FE_OFN1037_u_soc_uart_to_xbar_d_data__1),
 	.Y(n_2960), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g441514 (
-	.A(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.A(FE_OFN18472_u_soc_u_top_u_core_alu_operand_b_ex_11),
 	.B(n_1776),
 	.C(n_1166),
 	.D(n_13482),
@@ -205080,10 +201649,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g441515 (
 	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[7]),
-	.A2(FE_OFN1605_n_1513),
+	.A2(FE_OFN1729_n_1513),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[7]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
 	.Y(n_2958), 
 	.VPWR(vccd1), 
@@ -205095,7 +201664,7 @@
 	.A2(n_1735),
 	.B1(n_1341),
 	.B2(u_soc_u_uart_u_uart_core_fifo_read_size[3]),
-	.C1(FE_OFN879_n_690),
+	.C1(n_690),
 	.C2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [0]),
 	.Y(n_2957), 
 	.VPWR(vccd1), 
@@ -205104,10 +201673,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g441517 (
 	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[30]),
-	.A2(FE_OFN1605_n_1513),
+	.A2(FE_OFN1729_n_1513),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[30]),
-	.C1(FE_OFN921_n_2243),
+	.C1(FE_OFN1113_n_2243),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
 	.Y(n_2956), 
 	.VPWR(vccd1), 
@@ -205139,7 +201708,7 @@
 	.A2(n_2147),
 	.B1(n_13303),
 	.B2(u_soc_u_uart_u_uart_core_rx_val[2]),
-	.C1(n_13558),
+	.C1(FE_OFN1657_n_13558),
 	.C2(\u_soc_uart_to_xbar[d_data] [2]),
 	.Y(n_2953), 
 	.VPWR(vccd1), 
@@ -205151,7 +201720,7 @@
 	.A2(n_2147),
 	.B1(n_13303),
 	.B2(u_soc_u_uart_u_uart_core_rx_val[4]),
-	.C1(n_13558),
+	.C1(FE_OFN1657_n_13558),
 	.C2(\u_soc_uart_to_xbar[d_data] [4]),
 	.Y(n_2952), 
 	.VPWR(vccd1), 
@@ -205163,7 +201732,7 @@
 	.A2(n_2147),
 	.B1(n_13303),
 	.B2(u_soc_u_uart_u_uart_core_rx_val[5]),
-	.C1(n_13558),
+	.C1(FE_OFN1657_n_13558),
 	.C2(\u_soc_uart_to_xbar[d_data] [5]),
 	.Y(n_2951), 
 	.VPWR(vccd1), 
@@ -205175,8 +201744,8 @@
 	.A2(n_2147),
 	.B1(n_13303),
 	.B2(u_soc_u_uart_u_uart_core_rx_val[6]),
-	.C1(n_13558),
-	.C2(\u_soc_uart_to_xbar[d_data] [6]),
+	.C1(FE_OFN1657_n_13558),
+	.C2(FE_OFN1032_u_soc_uart_to_xbar_d_data__6),
 	.Y(n_2950), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -205187,7 +201756,7 @@
 	.A2(n_2147),
 	.B1(n_13303),
 	.B2(u_soc_u_uart_u_uart_core_rx_val[8]),
-	.C1(n_13558),
+	.C1(FE_OFN1657_n_13558),
 	.C2(\u_soc_uart_to_xbar[d_data] [8]),
 	.Y(n_2949), 
 	.VPWR(vccd1), 
@@ -205205,7 +201774,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g441526 (
-	.A(FE_OFN18484_n_13416),
+	.A(FE_OFN18520_n),
 	.B(n_13410),
 	.C(n_13411),
 	.D(n_1690),
@@ -205216,10 +201785,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g441527 (
 	.A1(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[12]),
-	.A2(FE_OFN1605_n_1513),
-	.B1(FE_OFN1606_n_1516),
+	.A2(FE_OFN1729_n_1513),
+	.B1(FE_OFN1730_n_1516),
 	.B2(u_soc_u_top_u_core_csr_depc[12]),
-	.C1(FE_OFN920_n_2242),
+	.C1(FE_OFN1112_n_2242),
 	.C2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
 	.Y(n_2946), 
 	.VPWR(vccd1), 
@@ -205245,9 +201814,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441530 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
-	.B1(FE_OFN920_n_2242),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
 	.Y(n_2943), 
 	.VPWR(vccd1), 
@@ -205268,7 +201837,7 @@
 	.A2(n_2147),
 	.B1(n_13303),
 	.B2(u_soc_u_uart_u_uart_core_rx_val[1]),
-	.C1(n_13558),
+	.C1(FE_OFN1657_n_13558),
 	.C2(\u_soc_uart_to_xbar[d_data] [1]),
 	.Y(n_2941), 
 	.VPWR(vccd1), 
@@ -205280,7 +201849,7 @@
 	.A2(n_2147),
 	.B1(n_13303),
 	.B2(u_soc_u_uart_u_uart_core_rx_val[3]),
-	.C1(n_13558),
+	.C1(FE_OFN1657_n_13558),
 	.C2(\u_soc_uart_to_xbar[d_data] [3]),
 	.Y(n_2940), 
 	.VPWR(vccd1), 
@@ -205329,7 +201898,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441538 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[16]),
@@ -205339,9 +201908,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441539 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
-	.B1(FE_OFN920_n_2242),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
 	.Y(n_2934), 
 	.VPWR(vccd1), 
@@ -205352,16 +201921,16 @@
 	.A1(n_2149),
 	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [6]),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [5]),
+	.B2(FE_PDN3794_FE_OFN1033_u_soc_uart_to_xbar_d_data__5),
 	.Y(n_2933), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441541 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
-	.B1(FE_OFN1608_n_2244),
+	.B1(FE_OFN1747_n_2244),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
 	.Y(n_2932), 
 	.VPWR(vccd1), 
@@ -205372,7 +201941,7 @@
 	.A1(n_2149),
 	.A2(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.storage[3] [5]),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [4]),
+	.B2(FE_PDN4086_FE_OFN1034_u_soc_uart_to_xbar_d_data__4),
 	.Y(n_2931), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -205389,9 +201958,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441544 (
-	.A1(FE_OFN1608_n_2244),
+	.A1(FE_OFN1747_n_2244),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
-	.B1(n_1233),
+	.B1(FE_OFN1727_n_1233),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
 	.Y(n_2929), 
 	.VPWR(vccd1), 
@@ -205399,9 +201968,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441545 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
-	.B1(FE_OFN920_n_2242),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
 	.Y(n_2928), 
 	.VPWR(vccd1), 
@@ -205409,7 +201978,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441546 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[17]),
@@ -205439,7 +202008,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g441549 (
-	.A1(FE_OFN1608_n_2244),
+	.A1(FE_OFN1747_n_2244),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[31]),
@@ -205449,9 +202018,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441550 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
-	.B1(FE_OFN921_n_2243),
+	.B1(FE_OFN1113_n_2243),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
 	.Y(n_2923), 
 	.VPWR(vccd1), 
@@ -205459,9 +202028,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441551 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
-	.B1(FE_OFN920_n_2242),
+	.B1(FE_OFN1112_n_2242),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
 	.Y(n_2922), 
 	.VPWR(vccd1), 
@@ -205479,7 +202048,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441553 (
-	.A1(FE_OFN920_n_2242),
+	.A1(FE_OFN1112_n_2242),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[18]),
@@ -205489,7 +202058,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441554 (
-	.A1(FE_OFN920_n_2242),
+	.A1(FE_OFN1112_n_2242),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[19]),
@@ -205499,7 +202068,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441555 (
-	.A1(FE_OFN1608_n_2244),
+	.A1(FE_OFN1747_n_2244),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[4]),
@@ -205509,7 +202078,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441556 (
-	.A1(FE_OFN921_n_2243),
+	.A1(FE_OFN1113_n_2243),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
 	.B1(n_1749),
 	.B2(u_soc_u_top_u_core_csr_mtvec[20]),
@@ -205519,7 +202088,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441557 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[20]),
@@ -205529,7 +202098,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441558 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[21]),
@@ -205549,7 +202118,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441560 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[22]),
@@ -205559,7 +202128,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441561 (
-	.A1(FE_OFN920_n_2242),
+	.A1(FE_OFN1112_n_2242),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[23]),
@@ -205569,7 +202138,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441562 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[24]),
@@ -205579,7 +202148,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441563 (
-	.A1(FE_OFN920_n_2242),
+	.A1(FE_OFN1112_n_2242),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[25]),
@@ -205592,14 +202161,14 @@
 	.A1(n_2009),
 	.A2(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
 	.B1(n_1411),
-	.B2(\u_soc_uart_to_xbar[d_data] [0]),
+	.B2(FE_OFN1038_u_soc_uart_to_xbar_d_data__0),
 	.Y(n_2909), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441565 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[26]),
@@ -205619,7 +202188,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441567 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[27]),
@@ -205629,7 +202198,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441568 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[28]),
@@ -205639,7 +202208,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441569 (
-	.A1(FE_OFN1607_n_2241),
+	.A1(FE_OFN1746_n_2241),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[29]),
@@ -205649,9 +202218,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441570 (
-	.A1(FE_OFN920_n_2242),
+	.A1(FE_OFN1112_n_2242),
 	.A2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
-	.B1(FE_OFN1608_n_2244),
+	.B1(FE_OFN1747_n_2244),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
 	.Y(n_2903), 
 	.VPWR(vccd1), 
@@ -205661,8 +202230,8 @@
    sky130_fd_sc_hd__nand4_1 g441571 (
 	.A(n_1889),
 	.B(n_15936),
-	.C(FE_OFN31_io_out_37),
-	.D(FE_PSN4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.C(FE_OFN98_io_out_37),
+	.D(FE_OFN903_u_soc_xbar_to_dccm_a_address__5),
 	.Y(n_2902), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -205670,9 +202239,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441572 (
 	.A1(n_1880),
-	.A2(FE_OFN19129_u_soc_u_iccm_rdata4_11),
+	.A2(FE_OFN809_u_soc_u_iccm_rdata4_11),
 	.B1(n_1882),
-	.B2(FE_OFN19011_u_soc_u_iccm_rdata3_11),
+	.B2(u_soc_u_iccm_rdata3[11]),
 	.C1(n_2395),
 	.Y(n_3032), 
 	.VPWR(vccd1), 
@@ -205681,10 +202250,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441573 (
 	.A1(n_1880),
-	.A2(FE_OFN18900_u_soc_u_iccm_rdata4_15),
+	.A2(FE_OFN805_u_soc_u_iccm_rdata4_15),
 	.B1(n_1882),
-	.B2(FE_OFN19371_u_soc_u_iccm_rdata3_15),
-	.C1(n_2354),
+	.B2(u_soc_u_iccm_rdata3[15]),
+	.C1(FE_OFN1529_n_2354),
 	.Y(n_3030), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -205692,9 +202261,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441574 (
 	.A1(n_1876),
-	.A2(FE_OFN19018_u_soc_u_iccm_rdata3_27),
-	.B1(n_1875),
-	.B2(FE_OFN19368_u_soc_u_iccm_rdata2_27),
+	.A2(u_soc_u_iccm_rdata3[27]),
+	.B1(FE_OFN1451_n_1875),
+	.B2(FE_OFN779_u_soc_u_iccm_rdata2_27),
 	.C1(n_2362),
 	.Y(n_3028), 
 	.VPWR(vccd1), 
@@ -205703,9 +202272,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441575 (
 	.A1(n_1880),
-	.A2(FE_OFN19121_u_soc_u_iccm_rdata4_9),
+	.A2(FE_OFN811_u_soc_u_iccm_rdata4_9),
 	.B1(n_1882),
-	.B2(FE_OFN18867_u_soc_u_iccm_rdata3_9),
+	.B2(u_soc_u_iccm_rdata3[9]),
 	.C1(n_2409),
 	.Y(n_3026), 
 	.VPWR(vccd1), 
@@ -205714,9 +202283,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441576 (
 	.A1(n_1883),
-	.A2(FE_OFN19462_u_soc_u_iccm_rdata4_30),
+	.A2(FE_OFN790_u_soc_u_iccm_rdata4_30),
 	.B1(n_1876),
-	.B2(FE_OFN19416_u_soc_u_iccm_rdata3_30),
+	.B2(u_soc_u_iccm_rdata3[30]),
 	.C1(n_2348),
 	.Y(n_3024), 
 	.VPWR(vccd1), 
@@ -205725,10 +202294,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441577 (
 	.A1(n_1880),
-	.A2(FE_OFN19394_u_soc_u_iccm_rdata4_13),
+	.A2(FE_OFN807_u_soc_u_iccm_rdata4_13),
 	.B1(n_1882),
-	.B2(FE_OFN19359_u_soc_u_iccm_rdata3_13),
-	.C1(n_2388),
+	.B2(u_soc_u_iccm_rdata3[13]),
+	.C1(FE_OFN1531_n_2388),
 	.Y(n_3022), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -205736,10 +202305,10 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441578 (
 	.A1(n_1880),
-	.A2(FE_OFN19160_u_soc_u_iccm_rdata4_10),
+	.A2(FE_OFN810_u_soc_u_iccm_rdata4_10),
 	.B1(n_1882),
-	.B2(FE_OFN19362_u_soc_u_iccm_rdata3_10),
-	.C1(n_2374),
+	.B2(u_soc_u_iccm_rdata3[10]),
+	.C1(FE_OFN1530_n_2374),
 	.Y(n_3020), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -205747,9 +202316,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441579 (
 	.A1(n_1880),
-	.A2(FE_OFN19431_u_soc_u_iccm_rdata4_14),
+	.A2(FE_OFN806_u_soc_u_iccm_rdata4_14),
 	.B1(n_1882),
-	.B2(FE_OFN19356_u_soc_u_iccm_rdata3_14),
+	.B2(u_soc_u_iccm_rdata3[14]),
 	.C1(n_2345),
 	.Y(n_3018), 
 	.VPWR(vccd1), 
@@ -205758,9 +202327,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441580 (
 	.A1(n_1883),
-	.A2(FE_OFN19481_u_soc_u_iccm_rdata4_24),
+	.A2(FE_OFN796_u_soc_u_iccm_rdata4_24),
 	.B1(n_1876),
-	.B2(FE_OFN19411_u_soc_u_iccm_rdata3_24),
+	.B2(u_soc_u_iccm_rdata3[24]),
 	.C1(n_2381),
 	.Y(n_3016), 
 	.VPWR(vccd1), 
@@ -205777,9 +202346,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441582 (
-	.A1(n_13494),
+	.A1(FE_OFN1269_n_13494),
 	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
-	.B1(n_13493),
+	.B1(FE_OFN1268_n_13493),
 	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
 	.C1(n_2197),
 	.Y(n_3013), 
@@ -205788,9 +202357,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441583 (
-	.A1(n_13493),
+	.A1(FE_OFN1268_n_13493),
 	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
-	.B1(n_13494),
+	.B1(FE_OFN1269_n_13494),
 	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
 	.C1(n_2205),
 	.Y(n_3011), 
@@ -205799,9 +202368,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441584 (
-	.A1(n_13493),
+	.A1(FE_OFN1268_n_13493),
 	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
-	.B1(n_13494),
+	.B1(FE_OFN1269_n_13494),
 	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
 	.C1(n_2207),
 	.Y(n_3009), 
@@ -205810,9 +202379,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441585 (
-	.A1(n_13493),
+	.A1(FE_OFN1268_n_13493),
 	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
-	.B1(n_13494),
+	.B1(FE_OFN1269_n_13494),
 	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
 	.C1(n_2206),
 	.Y(n_3007), 
@@ -205821,9 +202390,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441586 (
-	.A1(n_13494),
+	.A1(FE_OFN1269_n_13494),
 	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
-	.B1(n_13493),
+	.B1(FE_OFN1268_n_13493),
 	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
 	.C1(n_2204),
 	.Y(n_3004), 
@@ -205842,9 +202411,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441588 (
-	.A1(n_13494),
+	.A1(FE_OFN1269_n_13494),
 	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
-	.B1(n_13493),
+	.B1(FE_OFN1268_n_13493),
 	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
 	.C1(n_2203),
 	.Y(n_3001), 
@@ -205853,9 +202422,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441589 (
-	.A1(n_13494),
+	.A1(FE_OFN1269_n_13494),
 	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
-	.B1(n_13493),
+	.B1(FE_OFN1268_n_13493),
 	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
 	.C1(n_2202),
 	.Y(n_2999), 
@@ -205864,9 +202433,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441590 (
-	.A1(n_13493),
+	.A1(FE_OFN1268_n_13493),
 	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
-	.B1(n_13494),
+	.B1(FE_OFN1269_n_13494),
 	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
 	.C1(n_2201),
 	.Y(n_2997), 
@@ -205875,9 +202444,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441591 (
-	.A1(n_13493),
+	.A1(FE_OFN1268_n_13493),
 	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
-	.B1(n_13494),
+	.B1(FE_OFN1269_n_13494),
 	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
 	.C1(n_2200),
 	.Y(n_2994), 
@@ -205886,9 +202455,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441592 (
-	.A1(n_13493),
+	.A1(FE_OFN1268_n_13493),
 	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
-	.B1(n_13494),
+	.B1(FE_OFN1269_n_13494),
 	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
 	.C1(n_2208),
 	.Y(n_2992), 
@@ -205897,9 +202466,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g441593 (
-	.A1(n_13494),
+	.A1(FE_OFN1269_n_13494),
 	.A2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
-	.B1(n_13493),
+	.B1(FE_OFN1268_n_13493),
 	.B2(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
 	.C1(n_2199),
 	.Y(n_2991), 
@@ -205927,7 +202496,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and3b_2 g441596 (
-	.A_N(FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1),
+	.A_N(FE_OFN18192_u_soc_u_top_u_core_alu_operator_ex_1),
 	.B(n_2292),
 	.C(n_621),
 	.X(n_2986), 
@@ -205943,10 +202512,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g441598 (
+   sky130_fd_sc_hd__and3_2 g441598 (
 	.A(n_2292),
 	.B(n_13343),
-	.C(FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2),
+	.C(u_soc_u_top_u_core_alu_operator_ex[2]),
 	.X(n_2984), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -205963,7 +202532,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21o_1 g441600 (
 	.A1(n_1926),
-	.A2(FE_OFN35_io_out_37),
+	.A2(FE_OFN5_io_out_37),
 	.B1(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
 	.X(n_2981), 
 	.VPWR(vccd1), 
@@ -206020,7 +202589,7 @@
    sky130_fd_sc_hd__a21oi_1 g441612 (
 	.A1(n_1485),
 	.A2(n_1835),
-	.B1(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.B1(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.Y(n_2670), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -206067,7 +202636,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441618 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
 	.Y(n_2664), 
 	.VPWR(vccd1), 
@@ -206075,7 +202644,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441619 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
 	.Y(n_2663), 
 	.VPWR(vccd1), 
@@ -206091,7 +202660,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441621 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
 	.Y(n_2661), 
 	.VPWR(vccd1), 
@@ -206107,7 +202676,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441623 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
 	.Y(n_2659), 
 	.VPWR(vccd1), 
@@ -206115,7 +202684,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441624 (
-	.A(FE_OFN1607_n_2241),
+	.A(FE_OFN1746_n_2241),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
 	.Y(n_2658), 
 	.VPWR(vccd1), 
@@ -206131,7 +202700,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441626 (
-	.A(FE_OFN920_n_2242),
+	.A(FE_OFN1112_n_2242),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
 	.Y(n_2656), 
 	.VPWR(vccd1), 
@@ -206139,7 +202708,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441627 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
 	.Y(n_2655), 
 	.VPWR(vccd1), 
@@ -206147,7 +202716,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441628 (
-	.A(FE_OFN921_n_2243),
+	.A(FE_OFN1113_n_2243),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
 	.Y(n_2654), 
 	.VPWR(vccd1), 
@@ -206155,7 +202724,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441629 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
 	.Y(n_2653), 
 	.VPWR(vccd1), 
@@ -206163,7 +202732,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441630 (
-	.A(FE_OFN1607_n_2241),
+	.A(FE_OFN1746_n_2241),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
 	.Y(n_2652), 
 	.VPWR(vccd1), 
@@ -206171,7 +202740,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441631 (
-	.A(FE_OFN920_n_2242),
+	.A(FE_OFN1112_n_2242),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
 	.Y(n_2651), 
 	.VPWR(vccd1), 
@@ -206179,7 +202748,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441632 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
 	.Y(n_2650), 
 	.VPWR(vccd1), 
@@ -206187,7 +202756,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441633 (
-	.A(FE_OFN1607_n_2241),
+	.A(FE_OFN1746_n_2241),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
 	.Y(n_2649), 
 	.VPWR(vccd1), 
@@ -206195,7 +202764,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441634 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
 	.Y(n_2648), 
 	.VPWR(vccd1), 
@@ -206203,7 +202772,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441635 (
-	.A(FE_OFN920_n_2242),
+	.A(FE_OFN1112_n_2242),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
 	.Y(n_2647), 
 	.VPWR(vccd1), 
@@ -206219,7 +202788,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441637 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
 	.Y(n_2645), 
 	.VPWR(vccd1), 
@@ -206227,7 +202796,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441638 (
-	.A(FE_OFN1607_n_2241),
+	.A(FE_OFN1746_n_2241),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
 	.Y(n_2644), 
 	.VPWR(vccd1), 
@@ -206235,7 +202804,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441639 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
 	.Y(n_2643), 
 	.VPWR(vccd1), 
@@ -206243,7 +202812,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441640 (
-	.A(FE_OFN920_n_2242),
+	.A(FE_OFN1112_n_2242),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
 	.Y(n_2642), 
 	.VPWR(vccd1), 
@@ -206251,7 +202820,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441641 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
 	.Y(n_2641), 
 	.VPWR(vccd1), 
@@ -206259,7 +202828,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441642 (
-	.A(FE_OFN920_n_2242),
+	.A(FE_OFN1112_n_2242),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
 	.Y(n_2640), 
 	.VPWR(vccd1), 
@@ -206267,7 +202836,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441643 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
 	.Y(n_2639), 
 	.VPWR(vccd1), 
@@ -206275,7 +202844,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441644 (
-	.A(FE_OFN920_n_2242),
+	.A(FE_OFN1112_n_2242),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
 	.Y(n_2638), 
 	.VPWR(vccd1), 
@@ -206283,7 +202852,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441645 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
 	.Y(n_2637), 
 	.VPWR(vccd1), 
@@ -206291,7 +202860,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441646 (
-	.A(FE_OFN920_n_2242),
+	.A(FE_OFN1112_n_2242),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
 	.Y(n_2636), 
 	.VPWR(vccd1), 
@@ -206299,7 +202868,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441647 (
-	.A(FE_OFN1607_n_2241),
+	.A(FE_OFN1746_n_2241),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
 	.Y(n_2635), 
 	.VPWR(vccd1), 
@@ -206315,7 +202884,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441649 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
 	.Y(n_2633), 
 	.VPWR(vccd1), 
@@ -206331,7 +202900,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441651 (
-	.A(FE_OFN921_n_2243),
+	.A(FE_OFN1113_n_2243),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
 	.Y(n_2631), 
 	.VPWR(vccd1), 
@@ -206339,7 +202908,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441652 (
-	.A(FE_OFN1608_n_2244),
+	.A(FE_OFN1747_n_2244),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
 	.Y(n_2630), 
 	.VPWR(vccd1), 
@@ -206347,7 +202916,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441653 (
-	.A(FE_OFN921_n_2243),
+	.A(FE_OFN1113_n_2243),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
 	.Y(n_2629), 
 	.VPWR(vccd1), 
@@ -206363,7 +202932,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441655 (
-	.A(FE_OFN920_n_2242),
+	.A(FE_OFN1112_n_2242),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
 	.Y(n_2627), 
 	.VPWR(vccd1), 
@@ -206387,7 +202956,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441658 (
-	.A(FE_OFN1607_n_2241),
+	.A(FE_OFN1746_n_2241),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
 	.Y(n_2624), 
 	.VPWR(vccd1), 
@@ -206395,7 +202964,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441659 (
-	.A(FE_OFN921_n_2243),
+	.A(FE_OFN1113_n_2243),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
 	.Y(n_2623), 
 	.VPWR(vccd1), 
@@ -206404,7 +202973,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441660 (
 	.A(u_soc_u_uart_u_uart_core_write_fifo_waddr[2]),
-	.B(n_2160),
+	.B(FE_PDN3788_FE_OFN1499_n_2160),
 	.Y(n_2622), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -206419,7 +202988,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441662 (
-	.A(FE_OFN921_n_2243),
+	.A(FE_OFN1113_n_2243),
 	.B(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
 	.Y(n_2620), 
 	.VPWR(vccd1), 
@@ -206532,7 +203101,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441681 (
 	.A(n_2163),
-	.B(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.B(FE_OFN18193_u_soc_u_top_u_core_rf_waddr_wb_1),
 	.Y(n_2895), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -206555,7 +203124,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441688 (
-	.A(FE_OFN18313_n_1223),
+	.A(n_1223),
 	.B(n_2135),
 	.Y(n_2892), 
 	.VPWR(vccd1), 
@@ -206571,7 +203140,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441691 (
-	.A(n_2160),
+	.A(FE_PDN3788_FE_OFN1499_n_2160),
 	.B(u_soc_u_uart_u_uart_core_write_fifo_waddr[2]),
 	.Y(n_2890), 
 	.VPWR(vccd1), 
@@ -206604,15 +203173,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441696 (
-	.A(FE_OFN1462_n_2266),
-	.B(FE_OFN1470_n_2276),
+	.A(FE_OFN1514_n_2266),
+	.B(FE_OFN1522_n_2276),
 	.Y(n_2885), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441697 (
-	.A(n_2272),
+	.A(FE_OFN1520_n_2272),
 	.B(n_2266),
 	.Y(n_2884), 
 	.VPWR(vccd1), 
@@ -206620,175 +203189,175 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441698 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1472_n_2278),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1524_n_2278),
 	.Y(n_2883), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441699 (
-	.A(n_2272),
-	.B(FE_OFN1459_n_2262),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1511_n_2262),
 	.Y(n_2882), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441700 (
-	.A(FE_OFN1459_n_2262),
-	.B(FE_OFN1470_n_2276),
+	.A(FE_OFN1511_n_2262),
+	.B(FE_OFN1522_n_2276),
 	.Y(n_2881), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441701 (
-	.A(FE_OFN1474_n_2280),
-	.B(FE_OFN1470_n_2276),
+	.A(FE_OFN1526_n_2280),
+	.B(FE_OFN1522_n_2276),
 	.Y(n_2880), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441702 (
-	.A(n_2272),
-	.B(FE_OFN1474_n_2280),
+	.A(FE_OFN1520_n_2272),
+	.B(n_2280),
 	.Y(n_2879), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441703 (
-	.A(FE_OFN1472_n_2278),
-	.B(FE_OFN1461_n_2265),
+	.A(FE_OFN1524_n_2278),
+	.B(FE_OFN1513_n_2265),
 	.Y(n_2878), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441704 (
-	.A(n_2272),
-	.B(FE_OFN1471_n_2277),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1523_n_2277),
 	.Y(n_2877), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441705 (
-	.A(FE_OFN1471_n_2277),
-	.B(FE_OFN1470_n_2276),
+	.A(FE_OFN1523_n_2277),
+	.B(FE_OFN1522_n_2276),
 	.Y(n_2876), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441706 (
-	.A(FE_OFN1462_n_2266),
-	.B(FE_OFN1465_n_2269),
+	.A(FE_OFN1514_n_2266),
+	.B(FE_OFN1517_n_2269),
 	.Y(n_2875), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441707 (
-	.A(FE_OFN1465_n_2269),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1517_n_2269),
+	.B(n_2144),
 	.Y(n_2874), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441708 (
-	.A(FE_OFN1452_n_2143),
-	.B(FE_OFN1465_n_2269),
+	.A(FE_OFN1496_n_2143),
+	.B(FE_OFN1517_n_2269),
 	.Y(n_2873), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441709 (
-	.A(FE_OFN924_n_2261),
-	.B(FE_OFN1466_n_2270),
+	.A(FE_OFN1117_n_2261),
+	.B(n_2270),
 	.Y(n_2872), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441710 (
-	.A(FE_OFN1468_n_2272),
-	.B(FE_OFN1450_n_2141),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2871), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441711 (
-	.A(FE_OFN1470_n_2276),
-	.B(FE_OFN1450_n_2141),
+	.A(FE_OFN1522_n_2276),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2870), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441712 (
-	.A(FE_OFN1468_n_2272),
-	.B(FE_OFN1464_n_2268),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1516_n_2268),
 	.Y(n_2869), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441713 (
-	.A(FE_OFN1464_n_2268),
-	.B(FE_OFN1470_n_2276),
+	.A(FE_OFN1516_n_2268),
+	.B(FE_OFN1522_n_2276),
 	.Y(n_2868), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441714 (
-	.A(n_2272),
-	.B(FE_OFN1473_n_2279),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1525_n_2279),
 	.Y(n_2867), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441715 (
-	.A(FE_OFN1473_n_2279),
-	.B(FE_OFN1470_n_2276),
+	.A(FE_OFN1525_n_2279),
+	.B(FE_OFN1522_n_2276),
 	.Y(n_2866), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441716 (
-	.A(n_2272),
-	.B(n_2270),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1518_n_2270),
 	.Y(n_2865), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441717 (
-	.A(FE_OFN1466_n_2270),
-	.B(FE_OFN1470_n_2276),
+	.A(FE_OFN1518_n_2270),
+	.B(FE_OFN1522_n_2276),
 	.Y(n_2864), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441718 (
-	.A(FE_OFN1462_n_2266),
-	.B(FE_OFN1461_n_2265),
+	.A(FE_OFN1514_n_2266),
+	.B(FE_OFN1513_n_2265),
 	.Y(n_2863), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441719 (
-	.A(FE_OFN1469_n_2275),
+	.A(FE_OFN1521_n_2275),
 	.B(n_2266),
 	.Y(n_2862), 
 	.VPWR(vccd1), 
@@ -206796,15 +203365,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441720 (
-	.A(FE_OFN1462_n_2266),
-	.B(FE_OFN1467_n_2271),
+	.A(FE_OFN1514_n_2266),
+	.B(FE_OFN1519_n_2271),
 	.Y(n_2861), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441721 (
-	.A(n_2263),
+	.A(FE_OFN1512_n_2263),
 	.B(n_2266),
 	.Y(n_2860), 
 	.VPWR(vccd1), 
@@ -206813,95 +203382,95 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441722 (
 	.A(n_2264),
-	.B(FE_OFN1463_n_2267),
+	.B(FE_OFN1515_n_2267),
 	.Y(n_2859), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441723 (
-	.A(FE_OFN1639_n_16011),
-	.B(FE_OFN19443_n_1431),
+	.A(FE_OFN1807_n_16011),
+	.B(FE_OFN1728_n_1431),
 	.Y(n_2858), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441724 (
-	.A(FE_OFN1455_n_2257),
-	.B(FE_OFN1465_n_2269),
+	.A(FE_OFN1507_n_2257),
+	.B(FE_OFN1517_n_2269),
 	.Y(n_2856), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441725 (
-	.A(FE_OFN1459_n_2262),
-	.B(FE_OFN1465_n_2269),
+	.A(FE_OFN1511_n_2262),
+	.B(FE_OFN1517_n_2269),
 	.Y(n_2855), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441726 (
-	.A(FE_OFN1474_n_2280),
-	.B(FE_OFN1465_n_2269),
+	.A(FE_OFN1526_n_2280),
+	.B(FE_OFN1517_n_2269),
 	.Y(n_2854), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441727 (
-	.A(FE_OFN1463_n_2267),
-	.B(FE_OFN1465_n_2269),
+	.A(FE_OFN1515_n_2267),
+	.B(FE_OFN1517_n_2269),
 	.Y(n_2853), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441728 (
-	.A(FE_OFN1468_n_2272),
-	.B(FE_OFN1472_n_2278),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1524_n_2278),
 	.Y(n_2852), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441729 (
-	.A(FE_OFN1472_n_2278),
-	.B(FE_OFN1470_n_2276),
+	.A(FE_OFN1524_n_2278),
+	.B(FE_OFN1522_n_2276),
 	.Y(n_2851), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441730 (
-	.A(FE_OFN924_n_2261),
-	.B(n_2266),
+	.A(FE_OFN1117_n_2261),
+	.B(FE_OFN1514_n_2266),
 	.Y(n_2850), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441731 (
-	.A(FE_OFN1470_n_2276),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1522_n_2276),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2849), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441732 (
-	.A(FE_OFN1468_n_2272),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2848), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441733 (
-	.A(FE_OFN1471_n_2277),
-	.B(FE_OFN1465_n_2269),
+	.A(FE_OFN1523_n_2277),
+	.B(FE_OFN1517_n_2269),
 	.Y(n_2847), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -206916,32 +203485,32 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441735 (
-	.A(FE_OFN1468_n_2272),
-	.B(FE_OFN1454_n_2145),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2845), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441736 (
-	.A(FE_OFN1470_n_2276),
-	.B(FE_OFN1454_n_2145),
+	.A(FE_OFN1522_n_2276),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441737 (
-	.A(FE_OFN1468_n_2272),
-	.B(FE_OFN1449_n_2140),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1493_n_2140),
 	.Y(n_2843), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441738 (
-	.A(FE_OFN1449_n_2140),
-	.B(FE_OFN1470_n_2276),
+	.A(FE_OFN1493_n_2140),
+	.B(FE_OFN1522_n_2276),
 	.Y(n_2842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -206949,7 +203518,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441739 (
 	.A(n_1855),
-	.B(FE_OFN895_n_2012),
+	.B(n_2012),
 	.Y(n_2841), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -206957,7 +203526,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441740 (
 	.A(n_2264),
-	.B(FE_OFN1453_n_2144),
+	.B(n_2144),
 	.Y(n_2840), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -206980,39 +203549,39 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441743 (
-	.A(FE_OFN1466_n_2270),
-	.B(FE_OFN1456_n_2258),
+	.A(n_2270),
+	.B(n_2258),
 	.Y(n_2837), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441744 (
-	.A(FE_OFN1462_n_2266),
-	.B(FE_OFN1451_n_2142),
+	.A(FE_OFN1514_n_2266),
+	.B(FE_OFN1495_n_2142),
 	.Y(n_2836), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441745 (
-	.A(FE_OFN926_n_2274),
-	.B(n_2266),
+	.A(n_2274),
+	.B(FE_OFN1514_n_2266),
 	.Y(n_2835), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441746 (
-	.A(FE_OFN1462_n_2266),
-	.B(FE_OFN1447_n_2138),
+	.A(FE_OFN1514_n_2266),
+	.B(FE_OFN1491_n_2138),
 	.Y(n_2834), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441747 (
-	.A(n_2260),
+	.A(FE_OFN1510_n_2260),
 	.B(n_2266),
 	.Y(n_2833), 
 	.VPWR(vccd1), 
@@ -207020,24 +203589,24 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441748 (
-	.A(FE_OFN925_n_2273),
-	.B(n_2266),
+	.A(FE_OFN1118_n_2273),
+	.B(FE_OFN1514_n_2266),
 	.Y(n_2832), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441749 (
-	.A(FE_OFN1462_n_2266),
-	.B(FE_OFN1456_n_2258),
+	.A(FE_OFN1514_n_2266),
+	.B(n_2258),
 	.Y(n_2831), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441750 (
-	.A(FE_OFN1462_n_2266),
-	.B(FE_OFN1457_n_2259),
+	.A(FE_OFN1514_n_2266),
+	.B(FE_OFN1509_n_2259),
 	.Y(n_2830), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207045,31 +203614,31 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441751 (
 	.A(n_2264),
-	.B(n_2266),
+	.B(FE_OFN1514_n_2266),
 	.Y(n_2829), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441752 (
-	.A(FE_OFN1459_n_2262),
-	.B(FE_OFN1461_n_2265),
+	.A(FE_OFN1511_n_2262),
+	.B(FE_OFN1513_n_2265),
 	.Y(n_2828), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441753 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1459_n_2262),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1511_n_2262),
 	.Y(n_2827), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441754 (
-	.A(FE_OFN926_n_2274),
-	.B(FE_OFN1463_n_2267),
+	.A(FE_OFN1119_n_2274),
+	.B(FE_OFN1515_n_2267),
 	.Y(n_2826), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207077,7 +203646,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441755 (
 	.A(n_2264),
-	.B(FE_OFN1455_n_2257),
+	.B(FE_OFN1507_n_2257),
 	.Y(n_2825), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207132,104 +203701,104 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441762 (
-	.A(FE_OFN1459_n_2262),
-	.B(FE_OFN1467_n_2271),
+	.A(FE_OFN1511_n_2262),
+	.B(FE_OFN1519_n_2271),
 	.Y(n_2818), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441763 (
-	.A(n_2263),
-	.B(FE_OFN1459_n_2262),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1511_n_2262),
 	.Y(n_2817), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441764 (
-	.A(FE_OFN1474_n_2280),
-	.B(FE_OFN1461_n_2265),
+	.A(FE_OFN1526_n_2280),
+	.B(FE_OFN1513_n_2265),
 	.Y(n_2816), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441765 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1474_n_2280),
+	.A(FE_OFN1521_n_2275),
+	.B(n_2280),
 	.Y(n_2815), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441766 (
-	.A(FE_OFN925_n_2273),
-	.B(FE_OFN1463_n_2267),
+	.A(FE_OFN1118_n_2273),
+	.B(FE_OFN1515_n_2267),
 	.Y(n_2814), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441767 (
-	.A(FE_OFN1470_n_2276),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1522_n_2276),
+	.B(FE_OFN1497_n_2144),
 	.Y(n_2813), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441768 (
-	.A(FE_OFN1455_n_2257),
-	.B(FE_OFN1470_n_2276),
+	.A(FE_OFN1507_n_2257),
+	.B(FE_OFN1522_n_2276),
 	.Y(n_2812), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441769 (
-	.A(FE_OFN1452_n_2143),
-	.B(FE_OFN1470_n_2276),
+	.A(FE_OFN1496_n_2143),
+	.B(FE_OFN1522_n_2276),
 	.Y(n_2811), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441770 (
-	.A(FE_OFN1468_n_2272),
-	.B(FE_OFN1452_n_2143),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1496_n_2143),
 	.Y(n_2810), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441771 (
-	.A(FE_OFN1468_n_2272),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1497_n_2144),
 	.Y(n_2809), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441772 (
-	.A(FE_OFN1468_n_2272),
-	.B(FE_OFN1455_n_2257),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1507_n_2257),
 	.Y(n_2808), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441773 (
-	.A(FE_OFN1468_n_2272),
-	.B(FE_OFN1463_n_2267),
+	.A(FE_OFN1520_n_2272),
+	.B(FE_OFN1515_n_2267),
 	.Y(n_2807), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441774 (
-	.A(FE_OFN1463_n_2267),
-	.B(FE_OFN1470_n_2276),
+	.A(FE_OFN1515_n_2267),
+	.B(FE_OFN1522_n_2276),
 	.Y(n_2806), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207237,23 +203806,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441775 (
 	.A(n_1870),
-	.B(FE_OFN895_n_2012),
+	.B(n_2012),
 	.Y(n_2805), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441776 (
-	.A(n_2263),
-	.B(FE_OFN1474_n_2280),
+	.A(FE_OFN1512_n_2263),
+	.B(n_2280),
 	.Y(n_2804), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441777 (
-	.A(FE_OFN1474_n_2280),
-	.B(FE_OFN1467_n_2271),
+	.A(FE_OFN1526_n_2280),
+	.B(FE_OFN1519_n_2271),
 	.Y(n_2803), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207268,16 +203837,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441779 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1471_n_2277),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1523_n_2277),
 	.Y(n_2801), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441780 (
-	.A(FE_OFN1471_n_2277),
-	.B(FE_OFN1461_n_2265),
+	.A(FE_OFN1523_n_2277),
+	.B(FE_OFN1513_n_2265),
 	.Y(n_2800), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207285,31 +203854,31 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441781 (
 	.A(n_2264),
-	.B(FE_OFN1452_n_2143),
+	.B(FE_OFN1496_n_2143),
 	.Y(n_2799), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441782 (
-	.A(FE_OFN1463_n_2267),
-	.B(FE_OFN1456_n_2258),
+	.A(FE_OFN1515_n_2267),
+	.B(FE_OFN1508_n_2258),
 	.Y(n_2798), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441783 (
-	.A(FE_OFN1471_n_2277),
-	.B(FE_OFN1467_n_2271),
+	.A(FE_OFN1523_n_2277),
+	.B(FE_OFN1519_n_2271),
 	.Y(n_2797), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441784 (
-	.A(n_2263),
-	.B(FE_OFN1471_n_2277),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1523_n_2277),
 	.Y(n_2796), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207341,7 +203910,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441788 (
 	.A(n_1870),
-	.B(FE_OFN894_n_2011),
+	.B(n_2011),
 	.Y(n_2792), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207364,16 +203933,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441791 (
-	.A(FE_OFN1465_n_2269),
-	.B(FE_OFN1450_n_2141),
+	.A(FE_OFN1517_n_2269),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2789), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441792 (
-	.A(FE_OFN1464_n_2268),
-	.B(FE_OFN1465_n_2269),
+	.A(FE_OFN1516_n_2268),
+	.B(FE_OFN1517_n_2269),
 	.Y(n_2788), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207381,23 +203950,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441793 (
 	.A(n_1866),
-	.B(FE_OFN916_n_2237),
+	.B(n_2237),
 	.Y(n_2787), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441794 (
-	.A(FE_OFN1473_n_2279),
-	.B(FE_OFN1465_n_2269),
+	.A(FE_OFN1525_n_2279),
+	.B(FE_OFN1517_n_2269),
 	.Y(n_2786), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441795 (
-	.A(FE_OFN924_n_2261),
-	.B(FE_OFN1459_n_2262),
+	.A(FE_OFN1117_n_2261),
+	.B(FE_OFN1511_n_2262),
 	.Y(n_2785), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207405,7 +203974,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441796 (
 	.A(n_2264),
-	.B(FE_OFN1474_n_2280),
+	.B(n_2280),
 	.Y(n_2784), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207413,7 +203982,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441797 (
 	.A(n_2264),
-	.B(FE_OFN1459_n_2262),
+	.B(FE_OFN1511_n_2262),
 	.Y(n_2783), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207421,7 +203990,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441798 (
 	.A(n_2264),
-	.B(n_2141),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2782), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207429,7 +203998,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441799 (
 	.A(n_2264),
-	.B(FE_OFN1464_n_2268),
+	.B(n_2268),
 	.Y(n_2781), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207437,7 +204006,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441800 (
 	.A(n_2264),
-	.B(FE_OFN1473_n_2279),
+	.B(n_2279),
 	.Y(n_2780), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207445,7 +204014,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441801 (
 	.A(n_2264),
-	.B(FE_OFN1471_n_2277),
+	.B(FE_OFN1523_n_2277),
 	.Y(n_2779), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207453,7 +204022,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441802 (
 	.A(n_2264),
-	.B(FE_OFN1472_n_2278),
+	.B(FE_OFN1524_n_2278),
 	.Y(n_2778), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207461,7 +204030,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441803 (
 	.A(n_2264),
-	.B(n_2145),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2777), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207469,7 +204038,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441804 (
 	.A(n_2264),
-	.B(FE_OFN1449_n_2140),
+	.B(n_2140),
 	.Y(n_2776), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207477,7 +204046,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441805 (
 	.A(n_2264),
-	.B(FE_OFN1448_n_2139),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2775), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207485,15 +204054,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441806 (
 	.A(n_2264),
-	.B(n_2270),
+	.B(FE_OFN1518_n_2270),
 	.Y(n_2774), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441807 (
-	.A(FE_OFN924_n_2261),
-	.B(FE_OFN1474_n_2280),
+	.A(FE_OFN1117_n_2261),
+	.B(FE_OFN1526_n_2280),
 	.Y(n_2773), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207501,15 +204070,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441808 (
 	.A(n_1866),
-	.B(n_2006),
+	.B(FE_OFN1099_n_2006),
 	.Y(n_2772), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441809 (
-	.A(FE_OFN1466_n_2270),
-	.B(FE_OFN1465_n_2269),
+	.A(n_2270),
+	.B(FE_OFN1517_n_2269),
 	.Y(n_2771), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207517,7 +204086,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441810 (
 	.A(n_1866),
-	.B(FE_OFN893_n_2007),
+	.B(n_2007),
 	.Y(n_2770), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207533,359 +204102,359 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441812 (
 	.A(n_1866),
-	.B(FE_OFN892_n_2004),
+	.B(n_2004),
 	.Y(n_2768), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441813 (
-	.A(FE_OFN924_n_2261),
-	.B(FE_OFN1471_n_2277),
+	.A(FE_OFN1117_n_2261),
+	.B(FE_OFN1523_n_2277),
 	.Y(n_2767), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441814 (
-	.A(FE_OFN926_n_2274),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1119_n_2274),
+	.B(n_2144),
 	.Y(n_2766), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441815 (
-	.A(FE_OFN925_n_2273),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1118_n_2273),
+	.B(n_2144),
 	.Y(n_2765), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441816 (
-	.A(FE_OFN926_n_2274),
-	.B(FE_OFN1455_n_2257),
+	.A(FE_OFN1119_n_2274),
+	.B(FE_OFN1507_n_2257),
 	.Y(n_2764), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441817 (
-	.A(FE_OFN1459_n_2262),
-	.B(FE_OFN1457_n_2259),
+	.A(FE_OFN1511_n_2262),
+	.B(FE_OFN1509_n_2259),
 	.Y(n_2763), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441818 (
-	.A(FE_OFN1459_n_2262),
-	.B(FE_OFN1456_n_2258),
+	.A(FE_OFN1511_n_2262),
+	.B(FE_OFN1508_n_2258),
 	.Y(n_2762), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441819 (
-	.A(FE_OFN925_n_2273),
-	.B(FE_OFN1459_n_2262),
+	.A(FE_OFN1118_n_2273),
+	.B(FE_OFN1511_n_2262),
 	.Y(n_2761), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441820 (
-	.A(FE_OFN1459_n_2262),
-	.B(FE_OFN1451_n_2142),
+	.A(FE_OFN1511_n_2262),
+	.B(FE_OFN1495_n_2142),
 	.Y(n_2760), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441821 (
-	.A(n_2260),
-	.B(FE_OFN1459_n_2262),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1511_n_2262),
 	.Y(n_2759), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441822 (
-	.A(FE_OFN926_n_2274),
-	.B(FE_OFN1459_n_2262),
+	.A(FE_OFN1119_n_2274),
+	.B(FE_OFN1511_n_2262),
 	.Y(n_2758), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441823 (
-	.A(FE_OFN1459_n_2262),
-	.B(FE_OFN1447_n_2138),
+	.A(FE_OFN1511_n_2262),
+	.B(FE_OFN1491_n_2138),
 	.Y(n_2757), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441824 (
-	.A(FE_OFN925_n_2273),
-	.B(FE_OFN1455_n_2257),
+	.A(FE_OFN1118_n_2273),
+	.B(FE_OFN1507_n_2257),
 	.Y(n_2756), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441825 (
-	.A(n_2260),
-	.B(FE_OFN1474_n_2280),
+	.A(FE_OFN1510_n_2260),
+	.B(n_2280),
 	.Y(n_2755), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441826 (
-	.A(FE_OFN1474_n_2280),
-	.B(FE_OFN1456_n_2258),
+	.A(FE_OFN1526_n_2280),
+	.B(n_2258),
 	.Y(n_2754), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441827 (
-	.A(FE_OFN1474_n_2280),
-	.B(FE_OFN1457_n_2259),
+	.A(FE_OFN1526_n_2280),
+	.B(FE_OFN1509_n_2259),
 	.Y(n_2753), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441828 (
-	.A(FE_OFN1474_n_2280),
-	.B(FE_OFN1447_n_2138),
+	.A(FE_OFN1526_n_2280),
+	.B(FE_OFN1491_n_2138),
 	.Y(n_2752), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441829 (
-	.A(FE_OFN926_n_2274),
-	.B(FE_OFN1474_n_2280),
+	.A(n_2274),
+	.B(n_2280),
 	.Y(n_2751), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441830 (
-	.A(FE_OFN1474_n_2280),
-	.B(FE_OFN1451_n_2142),
+	.A(FE_OFN1526_n_2280),
+	.B(FE_OFN1495_n_2142),
 	.Y(n_2750), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441831 (
-	.A(FE_OFN925_n_2273),
-	.B(FE_OFN1474_n_2280),
+	.A(FE_OFN1118_n_2273),
+	.B(FE_OFN1526_n_2280),
 	.Y(n_2749), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441832 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1450_n_2141),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2748), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441833 (
-	.A(FE_OFN1461_n_2265),
-	.B(FE_OFN1450_n_2141),
+	.A(FE_OFN1513_n_2265),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2747), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441834 (
-	.A(FE_OFN1464_n_2268),
-	.B(FE_OFN1461_n_2265),
+	.A(FE_OFN1516_n_2268),
+	.B(FE_OFN1513_n_2265),
 	.Y(n_2746), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441835 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1464_n_2268),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1516_n_2268),
 	.Y(n_2745), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441836 (
-	.A(FE_OFN1467_n_2271),
-	.B(FE_OFN1450_n_2141),
+	.A(FE_OFN1519_n_2271),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2744), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441837 (
-	.A(FE_OFN1460_n_2263),
-	.B(FE_OFN1450_n_2141),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2743), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441838 (
-	.A(FE_OFN1456_n_2258),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1508_n_2258),
+	.B(n_2144),
 	.Y(n_2742), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441839 (
-	.A(FE_OFN1460_n_2263),
-	.B(FE_OFN1464_n_2268),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1516_n_2268),
 	.Y(n_2741), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441840 (
-	.A(FE_OFN1464_n_2268),
-	.B(FE_OFN1467_n_2271),
+	.A(FE_OFN1516_n_2268),
+	.B(FE_OFN1519_n_2271),
 	.Y(n_2740), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441841 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1473_n_2279),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1525_n_2279),
 	.Y(n_2739), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441842 (
-	.A(FE_OFN1473_n_2279),
-	.B(FE_OFN1461_n_2265),
+	.A(FE_OFN1525_n_2279),
+	.B(FE_OFN1513_n_2265),
 	.Y(n_2738), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441843 (
-	.A(FE_OFN926_n_2274),
-	.B(FE_OFN1452_n_2143),
+	.A(FE_OFN1119_n_2274),
+	.B(FE_OFN1496_n_2143),
 	.Y(n_2737), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441844 (
-	.A(FE_OFN1472_n_2278),
-	.B(FE_OFN1465_n_2269),
+	.A(FE_OFN1524_n_2278),
+	.B(FE_OFN1517_n_2269),
 	.Y(n_2736), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441845 (
-	.A(FE_OFN1463_n_2267),
-	.B(FE_OFN1451_n_2142),
+	.A(FE_OFN1515_n_2267),
+	.B(FE_OFN1495_n_2142),
 	.Y(n_2735), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441846 (
-	.A(FE_OFN1455_n_2257),
-	.B(FE_OFN1456_n_2258),
+	.A(FE_OFN1507_n_2257),
+	.B(FE_OFN1508_n_2258),
 	.Y(n_2734), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441847 (
-	.A(FE_OFN1471_n_2277),
-	.B(FE_OFN1447_n_2138),
+	.A(FE_OFN1523_n_2277),
+	.B(FE_OFN1491_n_2138),
 	.Y(n_2733), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441848 (
-	.A(FE_OFN1471_n_2277),
-	.B(FE_OFN1451_n_2142),
+	.A(FE_OFN1523_n_2277),
+	.B(FE_OFN1495_n_2142),
 	.Y(n_2732), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441849 (
-	.A(FE_OFN1471_n_2277),
-	.B(FE_OFN1456_n_2258),
+	.A(FE_OFN1523_n_2277),
+	.B(n_2258),
 	.Y(n_2731), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441850 (
-	.A(FE_OFN925_n_2273),
-	.B(FE_OFN1471_n_2277),
+	.A(FE_OFN1118_n_2273),
+	.B(FE_OFN1523_n_2277),
 	.Y(n_2730), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441851 (
-	.A(FE_OFN926_n_2274),
-	.B(FE_OFN1471_n_2277),
+	.A(n_2274),
+	.B(FE_OFN1523_n_2277),
 	.Y(n_2729), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441852 (
-	.A(FE_OFN1471_n_2277),
-	.B(FE_OFN1457_n_2259),
+	.A(FE_OFN1523_n_2277),
+	.B(FE_OFN1509_n_2259),
 	.Y(n_2728), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441853 (
-	.A(n_2260),
-	.B(FE_OFN1471_n_2277),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1523_n_2277),
 	.Y(n_2727), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441854 (
-	.A(n_2263),
-	.B(FE_OFN1473_n_2279),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1525_n_2279),
 	.Y(n_2726), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441855 (
-	.A(FE_OFN1473_n_2279),
-	.B(FE_OFN1467_n_2271),
+	.A(FE_OFN1525_n_2279),
+	.B(FE_OFN1519_n_2271),
 	.Y(n_2725), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441856 (
-	.A(FE_OFN925_n_2273),
-	.B(FE_OFN1452_n_2143),
+	.A(FE_OFN1118_n_2273),
+	.B(FE_OFN1496_n_2143),
 	.Y(n_2724), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -207900,71 +204469,71 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441858 (
-	.A(FE_OFN1463_n_2267),
-	.B(FE_OFN1447_n_2138),
+	.A(FE_OFN1515_n_2267),
+	.B(FE_OFN1491_n_2138),
 	.Y(n_2722), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441859 (
-	.A(FE_OFN1465_n_2269),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1517_n_2269),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2721), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441860 (
-	.A(FE_OFN1466_n_2270),
-	.B(FE_OFN1461_n_2265),
+	.A(FE_OFN1518_n_2270),
+	.B(FE_OFN1513_n_2265),
 	.Y(n_2720), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441861 (
-	.A(FE_OFN1469_n_2275),
-	.B(n_2270),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1518_n_2270),
 	.Y(n_2719), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441862 (
-	.A(n_2263),
-	.B(n_2270),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1518_n_2270),
 	.Y(n_2718), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441863 (
-	.A(FE_OFN1466_n_2270),
-	.B(FE_OFN1467_n_2271),
+	.A(FE_OFN1518_n_2270),
+	.B(FE_OFN1519_n_2271),
 	.Y(n_2717), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441864 (
-	.A(FE_OFN1452_n_2143),
-	.B(FE_OFN1456_n_2258),
+	.A(FE_OFN1496_n_2143),
+	.B(FE_OFN1508_n_2258),
 	.Y(n_2716), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441865 (
-	.A(FE_OFN926_n_2274),
-	.B(n_2141),
+	.A(FE_OFN1119_n_2274),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2715), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441866 (
-	.A(FE_OFN926_n_2274),
+	.A(n_2274),
 	.B(n_2270),
 	.Y(n_2714), 
 	.VPWR(vccd1), 
@@ -207972,48 +204541,48 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441867 (
-	.A(FE_OFN926_n_2274),
-	.B(FE_OFN1472_n_2278),
+	.A(FE_OFN1119_n_2274),
+	.B(FE_OFN1524_n_2278),
 	.Y(n_2713), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441868 (
-	.A(FE_OFN926_n_2274),
-	.B(FE_OFN1473_n_2279),
+	.A(FE_OFN1119_n_2274),
+	.B(n_2279),
 	.Y(n_2712), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441869 (
-	.A(FE_OFN926_n_2274),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1119_n_2274),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2711), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441870 (
-	.A(FE_OFN926_n_2274),
-	.B(FE_OFN1449_n_2140),
+	.A(FE_OFN1119_n_2274),
+	.B(n_2140),
 	.Y(n_2710), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441871 (
-	.A(FE_OFN926_n_2274),
-	.B(n_2145),
+	.A(FE_OFN1119_n_2274),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2709), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441872 (
-	.A(FE_OFN926_n_2274),
-	.B(FE_OFN1464_n_2268),
+	.A(FE_OFN1119_n_2274),
+	.B(n_2268),
 	.Y(n_2708), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208036,104 +204605,104 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441875 (
-	.A(FE_OFN1465_n_2269),
-	.B(n_2145),
+	.A(FE_OFN1517_n_2269),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2705), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441876 (
-	.A(FE_OFN1449_n_2140),
-	.B(FE_OFN1465_n_2269),
+	.A(FE_OFN1493_n_2140),
+	.B(FE_OFN1517_n_2269),
 	.Y(n_2704), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441877 (
-	.A(FE_OFN925_n_2273),
-	.B(FE_OFN1473_n_2279),
+	.A(FE_OFN1118_n_2273),
+	.B(n_2279),
 	.Y(n_2703), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441878 (
-	.A(FE_OFN925_n_2273),
-	.B(FE_OFN1449_n_2140),
+	.A(FE_OFN1118_n_2273),
+	.B(FE_OFN1493_n_2140),
 	.Y(n_2702), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441879 (
-	.A(FE_OFN925_n_2273),
-	.B(FE_OFN1472_n_2278),
+	.A(FE_OFN1118_n_2273),
+	.B(FE_OFN1524_n_2278),
 	.Y(n_2701), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441880 (
-	.A(FE_OFN925_n_2273),
-	.B(n_2145),
+	.A(FE_OFN1118_n_2273),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2700), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441881 (
-	.A(FE_OFN925_n_2273),
-	.B(n_2141),
+	.A(FE_OFN1118_n_2273),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2699), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441882 (
-	.A(FE_OFN925_n_2273),
-	.B(FE_OFN1464_n_2268),
+	.A(FE_OFN1118_n_2273),
+	.B(n_2268),
 	.Y(n_2698), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441883 (
-	.A(FE_OFN925_n_2273),
-	.B(FE_OFN1466_n_2270),
+	.A(FE_OFN1118_n_2273),
+	.B(n_2270),
 	.Y(n_2697), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441884 (
-	.A(FE_OFN925_n_2273),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1118_n_2273),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2696), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441885 (
-	.A(FE_OFN924_n_2261),
-	.B(n_2141),
+	.A(FE_OFN1117_n_2261),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2695), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441886 (
-	.A(FE_OFN924_n_2261),
-	.B(FE_OFN1464_n_2268),
+	.A(FE_OFN1117_n_2261),
+	.B(n_2268),
 	.Y(n_2694), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441887 (
-	.A(FE_OFN924_n_2261),
-	.B(FE_OFN1473_n_2279),
+	.A(FE_OFN1117_n_2261),
+	.B(n_2279),
 	.Y(n_2693), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208141,7 +204710,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441888 (
 	.A(n_1861),
-	.B(FE_OFN916_n_2237),
+	.B(FE_OFN1505_n_2237),
 	.Y(n_2692), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208156,56 +204725,56 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441890 (
-	.A(FE_OFN1456_n_2258),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1508_n_2258),
+	.B(n_2139),
 	.Y(n_2690), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441891 (
-	.A(FE_OFN1449_n_2140),
-	.B(FE_OFN1456_n_2258),
+	.A(FE_OFN1493_n_2140),
+	.B(n_2258),
 	.Y(n_2689), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441892 (
-	.A(FE_OFN1456_n_2258),
-	.B(n_2141),
+	.A(FE_OFN1508_n_2258),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2688), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441893 (
-	.A(FE_OFN1464_n_2268),
-	.B(FE_OFN1456_n_2258),
+	.A(FE_OFN1516_n_2268),
+	.B(FE_OFN1508_n_2258),
 	.Y(n_2687), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441894 (
-	.A(FE_OFN1473_n_2279),
-	.B(FE_OFN1456_n_2258),
+	.A(n_2279),
+	.B(FE_OFN1508_n_2258),
 	.Y(n_2686), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441895 (
-	.A(FE_OFN1472_n_2278),
-	.B(FE_OFN1456_n_2258),
+	.A(FE_OFN1524_n_2278),
+	.B(FE_OFN1508_n_2258),
 	.Y(n_2685), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441896 (
-	.A(FE_OFN1456_n_2258),
-	.B(n_2145),
+	.A(FE_OFN1508_n_2258),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2684), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208213,7 +204782,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g441901 (
 	.A(n_1776),
-	.B(n_2284),
+	.B(FE_OFN18141_n_2285),
 	.Y(n_2683), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208228,32 +204797,32 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441903 (
-	.A(FE_OFN18313_n_1223),
-	.B(FE_OFN921_n_2243),
+	.A(n_1223),
+	.B(FE_OFN1113_n_2243),
 	.Y(n_2680), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441904 (
-	.A(FE_OFN18313_n_1223),
-	.B(FE_OFN1608_n_2244),
+	.A(n_1223),
+	.B(FE_OFN1747_n_2244),
 	.Y(n_2678), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441905 (
-	.A(FE_OFN18313_n_1223),
-	.B(FE_OFN1607_n_2241),
+	.A(n_1223),
+	.B(FE_OFN1746_n_2241),
 	.Y(n_2676), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g441906 (
-	.A(FE_OFN18313_n_1223),
-	.B(FE_OFN920_n_2242),
+	.A(n_1223),
+	.B(FE_OFN1112_n_2242),
 	.Y(n_2674), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208274,7 +204843,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g441910 (
-	.A(FE_OFN1476_n_2542),
+	.A(FE_OFN1120_n_2542),
 	.Y(n_2541), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208294,6 +204863,13 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__inv_2 g441914 (
+	.A(n_2418),
+	.Y(n_2417), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g441915 (
 	.A(n_2414),
 	.Y(n_2413), 
@@ -208304,25 +204880,25 @@
    sky130_fd_sc_hd__a22oi_1 g441916 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[12]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[12]),
 	.Y(n_2410), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g441917 (
+   sky130_fd_sc_hd__a22o_2 g441917 (
 	.A1(n_1881),
-	.A2(FE_OFN19417_u_soc_u_iccm_rdata2_9),
-	.B1(FE_COEN4318_n_1877),
-	.B2(FE_OFN608_u_soc_u_iccm_rdata1_9),
+	.A2(u_soc_u_iccm_rdata2[9]),
+	.B1(n_1877),
+	.B2(FE_PDN3740_FE_OFN764_u_soc_u_iccm_rdata1_9),
 	.X(n_2409), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441918 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[20]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[20]),
@@ -208340,7 +204916,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441920 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[27]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[27]),
@@ -208359,9 +204935,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441922 (
-	.A1(n_1852),
+	.A1(FE_OFN1094_n_1852),
 	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[21]),
-	.B1(FE_OFN887_n_1753),
+	.B1(FE_OFN1092_n_1753),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[29]),
 	.Y(n_2404), 
 	.VPWR(vccd1), 
@@ -208369,9 +204945,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441923 (
-	.A1(n_1852),
+	.A1(FE_OFN1094_n_1852),
 	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[17]),
-	.B1(FE_OFN887_n_1753),
+	.B1(FE_OFN1092_n_1753),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[25]),
 	.Y(n_2403), 
 	.VPWR(vccd1), 
@@ -208390,7 +204966,7 @@
    sky130_fd_sc_hd__a22o_1 g441925 (
 	.A1(n_1741),
 	.A2(n_1479),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1582),
 	.X(n_2401), 
 	.VPWR(vccd1), 
@@ -208398,7 +204974,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441926 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[28]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[28]),
@@ -208417,7 +204993,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441928 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[12]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[12]),
@@ -208445,11 +205021,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g441931 (
+   sky130_fd_sc_hd__a22o_2 g441931 (
 	.A1(n_1881),
-	.A2(FE_OFN19401_u_soc_u_iccm_rdata2_11),
-	.B1(FE_COEN4318_n_1877),
-	.B2(FE_OFN606_u_soc_u_iccm_rdata1_11),
+	.A2(u_soc_u_iccm_rdata2[11]),
+	.B1(n_1877),
+	.B2(FE_OFN762_u_soc_u_iccm_rdata1_11),
 	.X(n_2395), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208476,7 +205052,7 @@
    sky130_fd_sc_hd__a22oi_1 g441934 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[17]),
-	.B1(FE_OFN884_n_1413),
+	.B1(FE_OFN1087_n_1413),
 	.B2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
 	.Y(n_2392), 
 	.VPWR(vccd1), 
@@ -208513,9 +205089,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g441938 (
 	.A1(n_1881),
-	.A2(FE_OFN19341_u_soc_u_iccm_rdata2_13),
-	.B1(FE_COEN4318_n_1877),
-	.B2(FE_OFN604_u_soc_u_iccm_rdata1_13),
+	.A2(u_soc_u_iccm_rdata2[13]),
+	.B1(n_1877),
+	.B2(FE_OFN760_u_soc_u_iccm_rdata1_13),
 	.X(n_2388), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208549,7 +205125,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441942 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[22]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[22]),
@@ -208559,7 +205135,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441943 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[17]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[17]),
@@ -208569,8 +205145,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g441944 (
-	.A(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
-	.B(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.A(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.B(FE_OFN18472_u_soc_u_top_u_core_alu_operand_b_ex_11),
 	.C(n_1428),
 	.D(n_13473),
 	.X(n_2382), 
@@ -208578,11 +205154,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g441945 (
-	.A1(n_1875),
-	.A2(FE_OFN19342_u_soc_u_iccm_rdata2_24),
-	.B1(n_1879),
-	.B2(FE_OFN593_u_soc_u_iccm_rdata1_24),
+   sky130_fd_sc_hd__a22o_2 g441945 (
+	.A1(FE_OFN1451_n_1875),
+	.A2(u_soc_u_iccm_rdata2[24]),
+	.B1(FE_OFN1452_n_1879),
+	.B2(FE_OFN746_u_soc_u_iccm_rdata1_24),
 	.X(n_2381), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208602,9 +205178,9 @@
    sky130_fd_sc_hd__a222oi_1 g441947 (
 	.A1(u_soc_u_top_u_core_cs_registers_i_mcause_q[4]),
 	.A2(n_1527),
-	.B1(FE_OFN1606_n_1516),
+	.B1(FE_OFN1730_n_1516),
 	.B2(u_soc_u_top_u_core_csr_depc[4]),
-	.C1(FE_OFN1605_n_1513),
+	.C1(FE_OFN1729_n_1513),
 	.C2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[4]),
 	.Y(n_2379), 
 	.VPWR(vccd1), 
@@ -208639,19 +205215,19 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g441951 (
 	.A1(n_1883),
-	.A2(FE_OFN19128_u_soc_u_iccm_rdata4_25),
-	.B1(n_1879),
-	.B2(FE_OFN592_u_soc_u_iccm_rdata1_25),
+	.A2(FE_OFN795_u_soc_u_iccm_rdata4_25),
+	.B1(FE_PDN3850_FE_OFN1452_n_1879),
+	.B2(FE_PDN3876_FE_OFN745_u_soc_u_iccm_rdata1_25),
 	.X(n_2375), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g441952 (
+   sky130_fd_sc_hd__a22o_1 g441952 (
 	.A1(n_1881),
-	.A2(FE_OFN19354_u_soc_u_iccm_rdata2_10),
-	.B1(FE_COEN4318_n_1877),
-	.B2(FE_OFN607_u_soc_u_iccm_rdata1_10),
+	.A2(u_soc_u_iccm_rdata2[10]),
+	.B1(n_1877),
+	.B2(FE_PDN3835_FE_OFN763_u_soc_u_iccm_rdata1_10),
 	.X(n_2374), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208676,7 +205252,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441955 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[8]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[8]),
@@ -208708,7 +205284,7 @@
    sky130_fd_sc_hd__a22oi_1 g441958 (
 	.A1(n_1852),
 	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[23]),
-	.B1(FE_OFN887_n_1753),
+	.B1(FE_OFN1092_n_1753),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[31]),
 	.Y(n_2368), 
 	.VPWR(vccd1), 
@@ -208728,7 +205304,7 @@
    sky130_fd_sc_hd__a22oi_1 g441960 (
 	.A1(n_1852),
 	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[20]),
-	.B1(FE_OFN887_n_1753),
+	.B1(FE_OFN1092_n_1753),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[28]),
 	.Y(n_2366), 
 	.VPWR(vccd1), 
@@ -208748,7 +205324,7 @@
    sky130_fd_sc_hd__o221ai_1 g441962 (
 	.A1(n_494),
 	.A2(n_1427),
-	.B1(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.B1(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7),
 	.B2(n_1426),
 	.C1(n_1385),
 	.Y(n_2364), 
@@ -208756,11 +205332,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g441963 (
-	.A1(n_1875),
-	.A2(FE_OFN19301_u_soc_u_iccm_rdata2_28),
-	.B1(n_1879),
-	.B2(FE_OFN589_u_soc_u_iccm_rdata1_28),
+   sky130_fd_sc_hd__a22o_4 g441963 (
+	.A1(FE_OFN1451_n_1875),
+	.A2(u_soc_u_iccm_rdata2[28]),
+	.B1(FE_OFN1452_n_1879),
+	.B2(FE_PDN3735_FE_OFN741_u_soc_u_iccm_rdata1_28),
 	.X(n_2363), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208768,29 +205344,29 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g441964 (
 	.A1(n_1883),
-	.A2(FE_OFN19458_u_soc_u_iccm_rdata4_27),
-	.B1(n_1879),
-	.B2(FE_OFN590_u_soc_u_iccm_rdata1_27),
+	.A2(FE_OFN793_u_soc_u_iccm_rdata4_27),
+	.B1(FE_PDN3850_FE_OFN1452_n_1879),
+	.B2(FE_PDN3667_FE_OFN742_u_soc_u_iccm_rdata1_27),
 	.X(n_2362), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441965 (
-	.A1(n_1852),
+	.A1(FE_OFN1094_n_1852),
 	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[22]),
-	.B1(FE_OFN887_n_1753),
+	.B1(FE_OFN1092_n_1753),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[30]),
 	.Y(n_2361), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g441966 (
-	.A1(n_1875),
-	.A2(FE_OFN19315_u_soc_u_iccm_rdata2_26),
-	.B1(n_1879),
-	.B2(FE_OFN591_u_soc_u_iccm_rdata1_26),
+   sky130_fd_sc_hd__a22o_2 g441966 (
+	.A1(FE_OFN1451_n_1875),
+	.A2(u_soc_u_iccm_rdata2[26]),
+	.B1(FE_OFN1452_n_1879),
+	.B2(FE_OFN743_u_soc_u_iccm_rdata1_26),
 	.X(n_2360), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208818,7 +205394,7 @@
    sky130_fd_sc_hd__a22oi_1 g441969 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[13]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[13]),
 	.Y(n_2357), 
 	.VPWR(vccd1), 
@@ -208828,7 +205404,7 @@
    sky130_fd_sc_hd__a22oi_1 g441970 (
 	.A1(n_1745),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [31]),
-	.B1(n_475),
+	.B1(FE_OFN18084_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[31]),
 	.Y(n_2356), 
 	.VPWR(vccd1), 
@@ -208838,7 +205414,7 @@
    sky130_fd_sc_hd__a22oi_1 g441971 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[21]),
-	.B1(FE_OFN884_n_1413),
+	.B1(FE_OFN1087_n_1413),
 	.B2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
 	.Y(n_2355), 
 	.VPWR(vccd1), 
@@ -208847,38 +205423,38 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g441972 (
 	.A1(n_1881),
-	.A2(FE_OFN19311_u_soc_u_iccm_rdata2_15),
+	.A2(u_soc_u_iccm_rdata2[15]),
 	.B1(n_1877),
-	.B2(FE_OFN602_u_soc_u_iccm_rdata1_15),
+	.B2(FE_OFN758_u_soc_u_iccm_rdata1_15),
 	.X(n_2354), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g441973 (
-	.A1(n_1875),
-	.A2(FE_OFN19343_u_soc_u_iccm_rdata2_31),
-	.B1(n_1879),
-	.B2(FE_OFN586_u_soc_u_iccm_rdata1_31),
+   sky130_fd_sc_hd__a22o_2 g441973 (
+	.A1(FE_OFN1451_n_1875),
+	.A2(u_soc_u_iccm_rdata2[31]),
+	.B1(FE_OFN1452_n_1879),
+	.B2(FE_OFN738_u_soc_u_iccm_rdata1_31),
 	.X(n_2353), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g441974 (
-	.A1(n_1875),
-	.A2(FE_OFN19338_u_soc_u_iccm_rdata2_29),
-	.B1(n_1879),
-	.B2(FE_OFN588_u_soc_u_iccm_rdata1_29),
+   sky130_fd_sc_hd__a22o_2 g441974 (
+	.A1(FE_OFN1451_n_1875),
+	.A2(u_soc_u_iccm_rdata2[29]),
+	.B1(FE_OFN1452_n_1879),
+	.B2(FE_OFN740_u_soc_u_iccm_rdata1_29),
 	.X(n_2352), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441975 (
-	.A1(n_1852),
+	.A1(FE_OFN1094_n_1852),
 	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]),
-	.B1(FE_OFN887_n_1753),
+	.B1(FE_OFN1092_n_1753),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]),
 	.Y(n_2351), 
 	.VPWR(vccd1), 
@@ -208888,38 +205464,38 @@
    sky130_fd_sc_hd__a22oi_1 g441976 (
 	.A1(n_1852),
 	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[18]),
-	.B1(FE_OFN887_n_1753),
+	.B1(FE_OFN1092_n_1753),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[26]),
 	.Y(n_2350), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_2 g441977 (
+   sky130_fd_sc_hd__a22o_1 g441977 (
 	.A1(n_1881),
-	.A2(FE_OFN19438_u_soc_u_iccm_rdata2_12),
-	.B1(FE_COEN4318_n_1877),
-	.B2(FE_OFN605_u_soc_u_iccm_rdata1_12),
+	.A2(u_soc_u_iccm_rdata2[12]),
+	.B1(n_1877),
+	.B2(FE_PDN3706_FE_OFN761_u_soc_u_iccm_rdata1_12),
 	.X(n_2349), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g441978 (
-	.A1(n_1875),
-	.A2(FE_OFN19360_u_soc_u_iccm_rdata2_30),
-	.B1(n_1879),
-	.B2(FE_COEN4878_FE_OFN587_u_soc_u_iccm_rdata1_30),
+   sky130_fd_sc_hd__a22o_2 g441978 (
+	.A1(FE_OFN1451_n_1875),
+	.A2(u_soc_u_iccm_rdata2[30]),
+	.B1(FE_OFN1452_n_1879),
+	.B2(FE_PDN3748_FE_OFN739_u_soc_u_iccm_rdata1_30),
 	.X(n_2348), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g441979 (
+   sky130_fd_sc_hd__a22o_2 g441979 (
 	.A1(n_1881),
-	.A2(FE_OFN19339_u_soc_u_iccm_rdata2_8),
-	.B1(FE_COEN4318_n_1877),
-	.B2(FE_OFN609_u_soc_u_iccm_rdata1_8),
+	.A2(u_soc_u_iccm_rdata2[8]),
+	.B1(n_1877),
+	.B2(FE_PDN3728_FE_OFN765_u_soc_u_iccm_rdata1_8),
 	.X(n_2347), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -208928,25 +205504,25 @@
    sky130_fd_sc_hd__a22oi_1 g441980 (
 	.A1(n_1749),
 	.A2(u_soc_u_top_u_core_csr_mtvec[8]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[8]),
 	.Y(n_2346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g441981 (
+   sky130_fd_sc_hd__a22o_2 g441981 (
 	.A1(n_1881),
-	.A2(FE_OFN19327_u_soc_u_iccm_rdata2_14),
-	.B1(FE_COEN4318_n_1877),
-	.B2(FE_OFN603_u_soc_u_iccm_rdata1_14),
+	.A2(u_soc_u_iccm_rdata2[14]),
+	.B1(n_1877),
+	.B2(FE_PDN3715_FE_OFN759_u_soc_u_iccm_rdata1_14),
 	.X(n_2345), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441982 (
-	.A1(n_1852),
+	.A1(FE_OFN1094_n_1852),
 	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[24]),
 	.B1(n_1849),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[16]),
@@ -208956,9 +205532,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441983 (
-	.A1(n_1852),
+	.A1(FE_OFN1094_n_1852),
 	.A2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[19]),
-	.B1(FE_OFN887_n_1753),
+	.B1(FE_OFN1092_n_1753),
 	.B2(u_soc_u_top_u_core_load_store_unit_i_rdata_q[27]),
 	.Y(n_2343), 
 	.VPWR(vccd1), 
@@ -208966,7 +205542,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441984 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[5]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[5]),
@@ -208976,7 +205552,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441985 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[6]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[6]),
@@ -208986,7 +205562,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441986 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[9]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[9]),
@@ -208996,7 +205572,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441987 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[10]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[10]),
@@ -209006,7 +205582,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441988 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[11]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[11]),
@@ -209016,7 +205592,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441989 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[13]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[13]),
@@ -209026,7 +205602,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441990 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[14]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[14]),
@@ -209036,7 +205612,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441991 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[15]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[15]),
@@ -209046,7 +205622,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441992 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[16]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[16]),
@@ -209056,7 +205632,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441993 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[18]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[18]),
@@ -209066,7 +205642,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441994 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[19]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[19]),
@@ -209076,7 +205652,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441995 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[21]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[21]),
@@ -209087,8 +205663,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441996 (
 	.A1(n_1746),
-	.A2(FE_OFN770_u_soc_xbar_to_dccm_a_address__7),
-	.B1(n_475),
+	.A2(\u_soc_xbar_to_dccm[a_address] [7]),
+	.B1(FE_OFN18084_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[8]),
 	.Y(n_2330), 
 	.VPWR(vccd1), 
@@ -209096,7 +205672,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441997 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[23]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[23]),
@@ -209107,8 +205683,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441998 (
 	.A1(n_1746),
-	.A2(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
-	.B1(n_475),
+	.A2(\u_soc_xbar_to_dccm[a_address] [12]),
+	.B1(FE_OFN18084_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[13]),
 	.Y(n_2328), 
 	.VPWR(vccd1), 
@@ -209117,8 +205693,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g441999 (
 	.A1(n_1746),
-	.A2(FE_OFN769_u_soc_xbar_to_dccm_a_address__8),
-	.B1(n_475),
+	.A2(\u_soc_xbar_to_dccm[a_address] [8]),
+	.B1(FE_OFN18084_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[9]),
 	.Y(n_2327), 
 	.VPWR(vccd1), 
@@ -209126,7 +205702,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442000 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[24]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[24]),
@@ -209136,7 +205712,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442001 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[25]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[25]),
@@ -209146,7 +205722,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442002 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[26]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[26]),
@@ -209157,8 +205733,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442003 (
 	.A1(n_1746),
-	.A2(FE_OFN768_u_soc_xbar_to_dccm_a_address__9),
-	.B1(n_475),
+	.A2(FE_OFN19045_u_soc_xbar_to_dccm_a_address__9),
+	.B1(FE_OFN18084_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[10]),
 	.Y(n_2323), 
 	.VPWR(vccd1), 
@@ -209167,8 +205743,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442004 (
 	.A1(n_1746),
-	.A2(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
-	.B1(n_475),
+	.A2(\u_soc_xbar_to_dccm[a_address] [10]),
+	.B1(FE_OFN18084_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[11]),
 	.Y(n_2322), 
 	.VPWR(vccd1), 
@@ -209176,7 +205752,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442005 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[29]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[29]),
@@ -209186,7 +205762,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442006 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[30]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[30]),
@@ -209207,8 +205783,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442008 (
 	.A1(n_1746),
-	.A2(FE_OFN766_u_soc_xbar_to_dccm_a_address__11),
-	.B1(n_475),
+	.A2(\u_soc_xbar_to_dccm[a_address] [11]),
+	.B1(FE_OFN18084_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[12]),
 	.Y(n_2318), 
 	.VPWR(vccd1), 
@@ -209227,8 +205803,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442010 (
 	.A1(n_1746),
-	.A2(FE_COEN4267_u_soc_lsu_to_xbar_a_address_25),
-	.B1(FE_OFN18196_n_13380),
+	.A2(FE_OFN883_u_soc_lsu_to_xbar_a_address__25),
+	.B1(FE_OFN18083_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[26]),
 	.Y(n_2316), 
 	.VPWR(vccd1), 
@@ -209238,8 +205814,8 @@
    sky130_fd_sc_hd__a22oi_1 g442011 (
 	.A1(n_1745),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [27]),
-	.B1(FE_OFN18196_n_13380),
-	.B2(FE_OFN778_u_soc_u_top_u_core_rf_wdata_fwd_wb_27),
+	.B1(FE_OFN18084_n_13380),
+	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[27]),
 	.Y(n_2315), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -209247,8 +205823,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442012 (
 	.A1(n_1746),
-	.A2(FE_OFN750_u_soc_lsu_to_xbar_a_address__27),
-	.B1(FE_OFN18196_n_13380),
+	.A2(FE_OFN881_u_soc_lsu_to_xbar_a_address__27),
+	.B1(FE_OFN18084_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[28]),
 	.Y(n_2314), 
 	.VPWR(vccd1), 
@@ -209257,8 +205833,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442013 (
 	.A1(n_1746),
-	.A2(FE_OFN18222_u_soc_lsu_to_xbar_a_address__28),
-	.B1(n_475),
+	.A2(\u_soc_lsu_to_xbar[a_address] [28]),
+	.B1(FE_OFN18084_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[29]),
 	.Y(n_2313), 
 	.VPWR(vccd1), 
@@ -209268,7 +205844,7 @@
    sky130_fd_sc_hd__a22oi_1 g442014 (
 	.A1(n_1745),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [30]),
-	.B1(n_475),
+	.B1(FE_OFN18084_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[30]),
 	.Y(n_2312), 
 	.VPWR(vccd1), 
@@ -209276,7 +205852,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442015 (
-	.A1(FE_OFN1405_n_1850),
+	.A1(FE_OFN1450_n_1850),
 	.A2(u_soc_u_top_u_core_pc_id[7]),
 	.B1(n_1851),
 	.B2(u_soc_u_top_u_core_pc_if[7]),
@@ -209308,7 +205884,7 @@
    sky130_fd_sc_hd__a22oi_1 g442018 (
 	.A1(n_1745),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [25]),
-	.B1(FE_OFN18196_n_13380),
+	.B1(FE_OFN18083_n_13380),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[25]),
 	.Y(n_2308), 
 	.VPWR(vccd1), 
@@ -209318,7 +205894,7 @@
    sky130_fd_sc_hd__a22oi_1 g442019 (
 	.A1(n_1741),
 	.A2(n_1570),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1568),
 	.Y(n_2610), 
 	.VPWR(vccd1), 
@@ -209328,7 +205904,7 @@
    sky130_fd_sc_hd__a22oi_1 g442020 (
 	.A1(n_1741),
 	.A2(n_1587),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1623),
 	.Y(n_2609), 
 	.VPWR(vccd1), 
@@ -209337,7 +205913,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g442021 (
 	.A(n_1052),
-	.B(FE_OFN886_n_1742),
+	.B(n_1742),
 	.C(n_1744),
 	.Y(n_2608), 
 	.VPWR(vccd1), 
@@ -209347,7 +205923,7 @@
    sky130_fd_sc_hd__nor3b_1 g442023 (
 	.A(u_soc_u_uart_u_uart_core_write_fifo_waddr[2]),
 	.B(n_1446),
-	.C_N(n_2160),
+	.C_N(FE_PDN3788_FE_OFN1499_n_2160),
 	.Y(n_2605), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -209374,7 +205950,7 @@
    sky130_fd_sc_hd__a22oi_1 g442026 (
 	.A1(n_1741),
 	.A2(n_1572),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1570),
 	.Y(n_2602), 
 	.VPWR(vccd1), 
@@ -209383,7 +205959,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g442027 (
 	.A1(n_1457),
-	.A2(n_1742),
+	.A2(FE_OFN1091_n_1742),
 	.B1(n_2167),
 	.Y(n_2600), 
 	.VPWR(vccd1), 
@@ -209423,7 +205999,7 @@
    sky130_fd_sc_hd__a22oi_1 g442031 (
 	.A1(n_1741),
 	.A2(n_1566),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1583),
 	.Y(n_2596), 
 	.VPWR(vccd1), 
@@ -209433,7 +206009,7 @@
    sky130_fd_sc_hd__a22oi_1 g442032 (
 	.A1(n_1741),
 	.A2(n_1613),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1591),
 	.Y(n_2595), 
 	.VPWR(vccd1), 
@@ -209443,7 +206019,7 @@
    sky130_fd_sc_hd__a22oi_1 g442033 (
 	.A1(n_1741),
 	.A2(n_1606),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1611),
 	.Y(n_2594), 
 	.VPWR(vccd1), 
@@ -209453,7 +206029,7 @@
    sky130_fd_sc_hd__a22oi_1 g442034 (
 	.A1(n_1741),
 	.A2(n_1623),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1601),
 	.Y(n_2593), 
 	.VPWR(vccd1), 
@@ -209463,7 +206039,7 @@
    sky130_fd_sc_hd__a22oi_1 g442035 (
 	.A1(n_1741),
 	.A2(n_1589),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1610),
 	.Y(n_2592), 
 	.VPWR(vccd1), 
@@ -209471,7 +206047,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442036 (
-	.A1(n_1742),
+	.A1(FE_OFN1091_n_1742),
 	.A2(n_15925),
 	.B1(n_1741),
 	.B2(n_1609),
@@ -209503,7 +206079,7 @@
    sky130_fd_sc_hd__a22oi_1 g442039 (
 	.A1(n_1741),
 	.A2(n_1614),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1579),
 	.Y(n_2588), 
 	.VPWR(vccd1), 
@@ -209513,7 +206089,7 @@
    sky130_fd_sc_hd__a22oi_1 g442040 (
 	.A1(n_1741),
 	.A2(n_1583),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1618),
 	.Y(n_2587), 
 	.VPWR(vccd1), 
@@ -209523,7 +206099,7 @@
    sky130_fd_sc_hd__a22oi_1 g442041 (
 	.A1(n_1741),
 	.A2(n_1591),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1566),
 	.Y(n_2586), 
 	.VPWR(vccd1), 
@@ -209533,7 +206109,7 @@
    sky130_fd_sc_hd__a22oi_1 g442042 (
 	.A1(n_1741),
 	.A2(n_1579),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1456),
 	.Y(n_2585), 
 	.VPWR(vccd1), 
@@ -209553,7 +206129,7 @@
    sky130_fd_sc_hd__nand3_1 g442044 (
 	.A(n_1778),
 	.B(n_13325),
-	.C(FE_OFN878_n_641),
+	.C(n_641),
 	.Y(n_2583), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -209582,7 +206158,7 @@
    sky130_fd_sc_hd__a22oi_1 g442047 (
 	.A1(n_1741),
 	.A2(n_1611),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1589),
 	.Y(n_2580), 
 	.VPWR(vccd1), 
@@ -209592,7 +206168,7 @@
    sky130_fd_sc_hd__a22oi_1 g442048 (
 	.A1(n_1741),
 	.A2(n_1601),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1606),
 	.Y(n_2579), 
 	.VPWR(vccd1), 
@@ -209602,7 +206178,7 @@
    sky130_fd_sc_hd__a22oi_1 g442049 (
 	.A1(n_1741),
 	.A2(n_1610),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1609),
 	.Y(n_2578), 
 	.VPWR(vccd1), 
@@ -209622,7 +206198,7 @@
    sky130_fd_sc_hd__a22oi_1 g442051 (
 	.A1(n_1741),
 	.A2(n_1598),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1596),
 	.Y(n_2576), 
 	.VPWR(vccd1), 
@@ -209632,7 +206208,7 @@
    sky130_fd_sc_hd__a22oi_1 g442052 (
 	.A1(n_1741),
 	.A2(n_1573),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1598),
 	.Y(n_2575), 
 	.VPWR(vccd1), 
@@ -209650,7 +206226,7 @@
    sky130_fd_sc_hd__a22oi_1 g442054 (
 	.A1(n_1741),
 	.A2(n_1569),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1573),
 	.Y(n_2574), 
 	.VPWR(vccd1), 
@@ -209660,7 +206236,7 @@
    sky130_fd_sc_hd__a22oi_1 g442055 (
 	.A1(n_1741),
 	.A2(n_1571),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1569),
 	.Y(n_2573), 
 	.VPWR(vccd1), 
@@ -209670,7 +206246,7 @@
    sky130_fd_sc_hd__a22oi_1 g442056 (
 	.A1(n_1741),
 	.A2(n_1576),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1575),
 	.Y(n_2572), 
 	.VPWR(vccd1), 
@@ -209750,7 +206326,7 @@
    sky130_fd_sc_hd__nand3b_1 g442064 (
 	.A_N(n_1909),
 	.B(n_1432),
-	.C(FE_OFN31_io_out_37),
+	.C(FE_OFN98_io_out_37),
 	.Y(n_2306), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -209760,7 +206336,7 @@
 	.A1(n_1754),
 	.A2(\u_soc_u_top_u_core_imd_val_q_ex[0] [0]),
 	.B1(n_1755),
-	.B2(FE_OFN18279_n_16012),
+	.B2(FE_OFN1272_n_16012),
 	.Y(n_2563), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -209769,7 +206345,7 @@
    sky130_fd_sc_hd__a22oi_1 g442066 (
 	.A1(n_1741),
 	.A2(n_1599),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1619),
 	.Y(n_2562), 
 	.VPWR(vccd1), 
@@ -209799,7 +206375,7 @@
    sky130_fd_sc_hd__a22oi_1 g442069 (
 	.A1(n_1741),
 	.A2(n_1618),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.B2(n_1614),
 	.Y(n_2559), 
 	.VPWR(vccd1), 
@@ -209829,7 +206405,7 @@
    sky130_fd_sc_hd__a22oi_1 g442072 (
 	.A1(n_1741),
 	.A2(n_1567),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1585),
 	.Y(n_2556), 
 	.VPWR(vccd1), 
@@ -209839,7 +206415,7 @@
    sky130_fd_sc_hd__a22oi_1 g442073 (
 	.A1(n_1741),
 	.A2(n_1605),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1576),
 	.Y(n_2555), 
 	.VPWR(vccd1), 
@@ -209859,7 +206435,7 @@
    sky130_fd_sc_hd__a22oi_1 g442075 (
 	.A1(n_1741),
 	.A2(n_1568),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1567),
 	.Y(n_2553), 
 	.VPWR(vccd1), 
@@ -209869,7 +206445,7 @@
    sky130_fd_sc_hd__a22oi_1 g442076 (
 	.A1(n_1741),
 	.A2(n_1619),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1572),
 	.Y(n_2552), 
 	.VPWR(vccd1), 
@@ -209889,7 +206465,7 @@
    sky130_fd_sc_hd__a22oi_1 g442078 (
 	.A1(n_1741),
 	.A2(n_1575),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1571),
 	.Y(n_2550), 
 	.VPWR(vccd1), 
@@ -209898,7 +206474,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g442079 (
 	.A1(n_1597),
-	.A2(FE_OFN886_n_1742),
+	.A2(n_1742),
 	.B1(n_1052),
 	.B2(n_1741),
 	.Y(n_2549), 
@@ -209907,9 +206483,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3_1 g442080 (
-	.A(FE_PSN4114_u_soc_iccm_adapter_inst_mem_wmask_int_0_30),
+	.A(FE_OFN18253_u_soc_iccm_adapter_inst_mem_wmask_int_0__30),
 	.B(n_1778),
-	.C(FE_OFN878_n_641),
+	.C(n_641),
 	.Y(n_2548), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -209918,7 +206494,7 @@
    sky130_fd_sc_hd__a22oi_1 g442081 (
 	.A1(n_1741),
 	.A2(n_1585),
-	.B1(FE_OFN886_n_1742),
+	.B1(n_1742),
 	.B2(n_1548),
 	.Y(n_2547), 
 	.VPWR(vccd1), 
@@ -209936,15 +206512,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442083 (
 	.A(n_2154),
-	.B(FE_OFN35_io_out_37),
+	.B(FE_OFN5_io_out_37),
 	.Y(n_2545), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or3_1 g442084 (
-	.A(FE_OFN876_n_471),
-	.B(FE_OFN1142_u_soc_u_top_data_we),
+	.A(FE_OFN1078_n_471),
+	.B(FE_OFN1290_u_soc_u_top_data_we),
 	.C(n_1762),
 	.X(n_2544), 
 	.VPWR(vccd1), 
@@ -209952,8 +206528,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or3_1 g442085 (
-	.A(FE_OFN876_n_471),
-	.B(FE_OFN1142_u_soc_u_top_data_we),
+	.A(FE_OFN1078_n_471),
+	.B(FE_OFN1290_u_soc_u_top_data_we),
 	.C(n_1761),
 	.X(n_2543), 
 	.VPWR(vccd1), 
@@ -209963,7 +206539,7 @@
    sky130_fd_sc_hd__a211oi_1 g442086 (
 	.A1(n_1311),
 	.A2(n_1018),
-	.B1(FE_OFN1130_u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo_under_rst),
+	.B1(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
 	.C1(n_1464),
 	.Y(n_2542), 
 	.VPWR(vccd1), 
@@ -210026,7 +206602,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442093 (
 	.A(n_1859),
-	.B(FE_OFN894_n_2011),
+	.B(n_2011),
 	.Y(n_2532), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210034,7 +206610,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442094 (
 	.A(n_1859),
-	.B(FE_OFN913_n_2233),
+	.B(FE_OFN1109_n_2233),
 	.Y(n_2531), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210073,48 +206649,48 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442099 (
-	.A(FE_OFN1460_n_2263),
-	.B(FE_OFN1455_n_2257),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1507_n_2257),
 	.Y(n_2526), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442100 (
-	.A(FE_OFN1452_n_2143),
-	.B(FE_OFN1467_n_2271),
+	.A(FE_OFN1496_n_2143),
+	.B(FE_OFN1519_n_2271),
 	.Y(n_2525), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442101 (
-	.A(FE_OFN1467_n_2271),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1519_n_2271),
+	.B(FE_OFN1497_n_2144),
 	.Y(n_2524), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442102 (
-	.A(FE_OFN1460_n_2263),
-	.B(FE_OFN1452_n_2143),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1496_n_2143),
 	.Y(n_2523), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442103 (
-	.A(FE_OFN1455_n_2257),
-	.B(FE_OFN1467_n_2271),
+	.A(FE_OFN1507_n_2257),
+	.B(FE_OFN1519_n_2271),
 	.Y(n_2522), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442104 (
-	.A(FE_OFN1460_n_2263),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1497_n_2144),
 	.Y(n_2521), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210122,87 +206698,87 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442105 (
 	.A(n_1857),
-	.B(FE_OFN895_n_2012),
+	.B(n_2012),
 	.Y(n_2520), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442106 (
-	.A(FE_OFN1472_n_2278),
-	.B(FE_OFN1451_n_2142),
+	.A(FE_OFN1524_n_2278),
+	.B(FE_OFN1495_n_2142),
 	.Y(n_2519), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442107 (
-	.A(FE_OFN1449_n_2140),
-	.B(FE_OFN1451_n_2142),
+	.A(FE_OFN1493_n_2140),
+	.B(FE_OFN1495_n_2142),
 	.Y(n_2518), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442108 (
-	.A(FE_OFN1451_n_2142),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1495_n_2142),
+	.B(n_2139),
 	.Y(n_2517), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442109 (
-	.A(FE_OFN1451_n_2142),
-	.B(FE_OFN1454_n_2145),
+	.A(FE_OFN1495_n_2142),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2516), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442110 (
-	.A(FE_OFN924_n_2261),
-	.B(n_2145),
+	.A(FE_OFN1117_n_2261),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2515), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442111 (
-	.A(FE_OFN924_n_2261),
-	.B(FE_OFN1449_n_2140),
+	.A(FE_OFN1117_n_2261),
+	.B(n_2140),
 	.Y(n_2514), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442112 (
-	.A(FE_OFN1449_n_2140),
-	.B(FE_OFN1447_n_2138),
+	.A(FE_OFN1493_n_2140),
+	.B(FE_OFN1491_n_2138),
 	.Y(n_2513), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442113 (
-	.A(FE_OFN1447_n_2138),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1491_n_2138),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2512), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442114 (
-	.A(FE_OFN1447_n_2138),
-	.B(FE_OFN1454_n_2145),
+	.A(FE_OFN1491_n_2138),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2511), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442115 (
-	.A(FE_OFN1472_n_2278),
-	.B(FE_OFN1447_n_2138),
+	.A(FE_OFN1524_n_2278),
+	.B(FE_OFN1491_n_2138),
 	.Y(n_2510), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210210,47 +206786,47 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442116 (
 	.A(n_1862),
-	.B(FE_OFN894_n_2011),
+	.B(n_2011),
 	.Y(n_2509), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442117 (
-	.A(FE_OFN1458_n_2260),
-	.B(FE_OFN1472_n_2278),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1524_n_2278),
 	.Y(n_2508), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442118 (
-	.A(FE_OFN1472_n_2278),
-	.B(FE_OFN1457_n_2259),
+	.A(FE_OFN1524_n_2278),
+	.B(FE_OFN1509_n_2259),
 	.Y(n_2507), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442119 (
-	.A(FE_OFN924_n_2261),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1117_n_2261),
+	.B(FE_OFN1497_n_2144),
 	.Y(n_2506), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442120 (
-	.A(FE_OFN1458_n_2260),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1497_n_2144),
 	.Y(n_2505), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442121 (
-	.A(FE_OFN1457_n_2259),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1509_n_2259),
+	.B(FE_OFN1497_n_2144),
 	.Y(n_2504), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210258,7 +206834,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442122 (
 	.A(n_1857),
-	.B(n_2233),
+	.B(FE_OFN1109_n_2233),
 	.Y(n_2503), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210266,7 +206842,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442123 (
 	.A(n_1857),
-	.B(FE_OFN894_n_2011),
+	.B(n_2011),
 	.Y(n_2502), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210289,48 +206865,48 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442126 (
-	.A(FE_OFN1458_n_2260),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2499), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442127 (
-	.A(FE_OFN1457_n_2259),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1509_n_2259),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2498), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442128 (
-	.A(FE_OFN924_n_2261),
-	.B(FE_OFN1455_n_2257),
+	.A(FE_OFN1117_n_2261),
+	.B(FE_OFN1507_n_2257),
 	.Y(n_2497), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442129 (
-	.A(FE_OFN1458_n_2260),
-	.B(FE_OFN1455_n_2257),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1507_n_2257),
 	.Y(n_2496), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442130 (
-	.A(FE_OFN1455_n_2257),
-	.B(FE_OFN1457_n_2259),
+	.A(FE_OFN1507_n_2257),
+	.B(FE_OFN1509_n_2259),
 	.Y(n_2495), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442131 (
-	.A(FE_OFN924_n_2261),
-	.B(FE_OFN1452_n_2143),
+	.A(FE_OFN1117_n_2261),
+	.B(FE_OFN1496_n_2143),
 	.Y(n_2494), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210338,55 +206914,55 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442132 (
 	.A(n_1861),
-	.B(n_2238),
+	.B(FE_OFN1506_n_2238),
 	.Y(n_2493), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442133 (
-	.A(FE_OFN1457_n_2259),
-	.B(FE_OFN1454_n_2145),
+	.A(FE_OFN1509_n_2259),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2492), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442134 (
-	.A(FE_OFN1458_n_2260),
-	.B(FE_OFN1454_n_2145),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2491), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442135 (
-	.A(FE_OFN1452_n_2143),
-	.B(FE_OFN1457_n_2259),
+	.A(FE_OFN1496_n_2143),
+	.B(FE_OFN1509_n_2259),
 	.Y(n_2490), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442136 (
-	.A(FE_OFN1458_n_2260),
-	.B(FE_OFN1452_n_2143),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1496_n_2143),
 	.Y(n_2489), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442137 (
-	.A(FE_OFN1458_n_2260),
-	.B(FE_OFN1449_n_2140),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1493_n_2140),
 	.Y(n_2488), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442138 (
-	.A(FE_OFN1449_n_2140),
-	.B(FE_OFN1457_n_2259),
+	.A(FE_OFN1493_n_2140),
+	.B(FE_OFN1509_n_2259),
 	.Y(n_2487), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210394,7 +206970,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442139 (
 	.A(n_1862),
-	.B(FE_OFN895_n_2012),
+	.B(n_2012),
 	.Y(n_2486), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210417,32 +206993,32 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442142 (
-	.A(FE_OFN1460_n_2263),
-	.B(FE_OFN1472_n_2278),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1524_n_2278),
 	.Y(n_2483), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442143 (
-	.A(FE_OFN1472_n_2278),
-	.B(FE_OFN1467_n_2271),
+	.A(FE_OFN1524_n_2278),
+	.B(FE_OFN1519_n_2271),
 	.Y(n_2482), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442144 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2481), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442145 (
-	.A(FE_OFN1461_n_2265),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1513_n_2265),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2480), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210450,151 +207026,151 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442146 (
 	.A(n_1861),
-	.B(n_2006),
+	.B(FE_OFN1099_n_2006),
 	.Y(n_2479), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442147 (
-	.A(FE_OFN1458_n_2260),
-	.B(FE_OFN1450_n_2141),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2478), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442148 (
-	.A(FE_OFN1447_n_2138),
-	.B(FE_OFN1450_n_2141),
+	.A(FE_OFN1491_n_2138),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2477), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442149 (
-	.A(FE_OFN1451_n_2142),
-	.B(n_2141),
+	.A(FE_OFN1495_n_2142),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2476), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442150 (
-	.A(FE_OFN1457_n_2259),
-	.B(FE_OFN1450_n_2141),
+	.A(FE_OFN1509_n_2259),
+	.B(FE_OFN1494_n_2141),
 	.Y(n_2475), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442151 (
-	.A(FE_OFN1451_n_2142),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1495_n_2142),
+	.B(n_2144),
 	.Y(n_2474), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442152 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1463_n_2267),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1515_n_2267),
 	.Y(n_2473), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442153 (
-	.A(FE_OFN1460_n_2263),
-	.B(FE_OFN1463_n_2267),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1515_n_2267),
 	.Y(n_2472), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442154 (
-	.A(FE_OFN924_n_2261),
-	.B(FE_OFN1463_n_2267),
+	.A(FE_OFN1117_n_2261),
+	.B(FE_OFN1515_n_2267),
 	.Y(n_2471), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442155 (
-	.A(FE_OFN1463_n_2267),
-	.B(FE_OFN1461_n_2265),
+	.A(FE_OFN1515_n_2267),
+	.B(FE_OFN1513_n_2265),
 	.Y(n_2470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442156 (
-	.A(FE_OFN1463_n_2267),
-	.B(FE_OFN1467_n_2271),
+	.A(FE_OFN1515_n_2267),
+	.B(FE_OFN1519_n_2271),
 	.Y(n_2469), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442157 (
-	.A(FE_OFN1458_n_2260),
-	.B(FE_OFN1463_n_2267),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1515_n_2267),
 	.Y(n_2468), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442158 (
-	.A(FE_OFN1463_n_2267),
-	.B(FE_OFN1457_n_2259),
+	.A(FE_OFN1515_n_2267),
+	.B(FE_OFN1509_n_2259),
 	.Y(n_2467), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442159 (
-	.A(FE_OFN1460_n_2263),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2466), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442160 (
-	.A(FE_OFN1467_n_2271),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1519_n_2271),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2465), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442161 (
-	.A(FE_OFN1464_n_2268),
-	.B(FE_OFN1451_n_2142),
+	.A(FE_OFN1516_n_2268),
+	.B(FE_OFN1495_n_2142),
 	.Y(n_2464), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442162 (
-	.A(FE_OFN1464_n_2268),
-	.B(FE_OFN1447_n_2138),
+	.A(FE_OFN1516_n_2268),
+	.B(FE_OFN1491_n_2138),
 	.Y(n_2463), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442163 (
-	.A(n_2260),
-	.B(FE_OFN1464_n_2268),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1516_n_2268),
 	.Y(n_2462), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442164 (
-	.A(FE_OFN1464_n_2268),
-	.B(FE_OFN1457_n_2259),
+	.A(FE_OFN1516_n_2268),
+	.B(FE_OFN1509_n_2259),
 	.Y(n_2461), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210625,56 +207201,56 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442168 (
-	.A(FE_OFN1447_n_2138),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1491_n_2138),
+	.B(n_2144),
 	.Y(n_2457), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442169 (
-	.A(FE_OFN1455_n_2257),
-	.B(FE_OFN1451_n_2142),
+	.A(FE_OFN1507_n_2257),
+	.B(FE_OFN1495_n_2142),
 	.Y(n_2456), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442170 (
-	.A(FE_OFN1473_n_2279),
-	.B(FE_OFN1457_n_2259),
+	.A(FE_OFN1525_n_2279),
+	.B(FE_OFN1509_n_2259),
 	.Y(n_2455), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442171 (
-	.A(FE_OFN1473_n_2279),
-	.B(FE_OFN1447_n_2138),
+	.A(FE_OFN1525_n_2279),
+	.B(FE_OFN1491_n_2138),
 	.Y(n_2454), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442172 (
-	.A(FE_OFN1473_n_2279),
-	.B(FE_OFN1451_n_2142),
+	.A(n_2279),
+	.B(FE_OFN1495_n_2142),
 	.Y(n_2453), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442173 (
-	.A(n_2260),
-	.B(FE_OFN1473_n_2279),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1525_n_2279),
 	.Y(n_2452), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442174 (
-	.A(FE_OFN1455_n_2257),
-	.B(FE_OFN1447_n_2138),
+	.A(FE_OFN1507_n_2257),
+	.B(FE_OFN1491_n_2138),
 	.Y(n_2451), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210682,39 +207258,39 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442175 (
 	.A(n_1865),
-	.B(n_2007),
+	.B(FE_OFN1455_n_2007),
 	.Y(n_2450), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442176 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1454_n_2145),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2449), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442177 (
-	.A(FE_OFN1461_n_2265),
-	.B(FE_OFN1454_n_2145),
+	.A(FE_OFN1513_n_2265),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2448), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442178 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1449_n_2140),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1493_n_2140),
 	.Y(n_2447), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442179 (
-	.A(FE_OFN1449_n_2140),
-	.B(FE_OFN1461_n_2265),
+	.A(FE_OFN1493_n_2140),
+	.B(FE_OFN1513_n_2265),
 	.Y(n_2446), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210729,72 +207305,72 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442181 (
-	.A(FE_OFN1460_n_2263),
-	.B(FE_OFN1454_n_2145),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2444), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442182 (
-	.A(FE_OFN1467_n_2271),
-	.B(FE_OFN1454_n_2145),
+	.A(FE_OFN1519_n_2271),
+	.B(FE_OFN1498_n_2145),
 	.Y(n_2443), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442183 (
-	.A(FE_OFN1466_n_2270),
-	.B(FE_OFN1447_n_2138),
+	.A(n_2270),
+	.B(FE_OFN1491_n_2138),
 	.Y(n_2442), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442184 (
-	.A(FE_OFN1466_n_2270),
-	.B(FE_OFN1451_n_2142),
+	.A(n_2270),
+	.B(FE_OFN1495_n_2142),
 	.Y(n_2441), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442185 (
-	.A(FE_OFN1466_n_2270),
-	.B(FE_OFN1457_n_2259),
+	.A(FE_OFN1518_n_2270),
+	.B(FE_OFN1509_n_2259),
 	.Y(n_2440), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442186 (
-	.A(n_2260),
-	.B(n_2270),
+	.A(FE_OFN1510_n_2260),
+	.B(FE_OFN1518_n_2270),
 	.Y(n_2439), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442187 (
-	.A(FE_OFN1460_n_2263),
-	.B(FE_OFN1449_n_2140),
+	.A(FE_OFN1512_n_2263),
+	.B(FE_OFN1493_n_2140),
 	.Y(n_2438), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442188 (
-	.A(FE_OFN1449_n_2140),
-	.B(FE_OFN1467_n_2271),
+	.A(FE_OFN1493_n_2140),
+	.B(FE_OFN1519_n_2271),
 	.Y(n_2437), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442189 (
-	.A(FE_OFN1452_n_2143),
-	.B(FE_OFN1451_n_2142),
+	.A(FE_OFN1496_n_2143),
+	.B(FE_OFN1495_n_2142),
 	.Y(n_2436), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210825,72 +207401,72 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442193 (
-	.A(FE_OFN924_n_2261),
-	.B(FE_OFN1472_n_2278),
+	.A(FE_OFN1117_n_2261),
+	.B(FE_OFN1524_n_2278),
 	.Y(n_2432), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442194 (
-	.A(FE_OFN1452_n_2143),
-	.B(FE_OFN1447_n_2138),
+	.A(FE_OFN1496_n_2143),
+	.B(FE_OFN1491_n_2138),
 	.Y(n_2431), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442195 (
-	.A(FE_OFN924_n_2261),
-	.B(FE_OFN1448_n_2139),
+	.A(FE_OFN1117_n_2261),
+	.B(FE_OFN1492_n_2139),
 	.Y(n_2430), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442196 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1452_n_2143),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1496_n_2143),
 	.Y(n_2429), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442197 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1497_n_2144),
 	.Y(n_2428), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442198 (
-	.A(FE_OFN1469_n_2275),
-	.B(FE_OFN1455_n_2257),
+	.A(FE_OFN1521_n_2275),
+	.B(FE_OFN1507_n_2257),
 	.Y(n_2427), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442199 (
-	.A(FE_OFN1461_n_2265),
-	.B(FE_OFN1453_n_2144),
+	.A(FE_OFN1513_n_2265),
+	.B(FE_OFN1497_n_2144),
 	.Y(n_2426), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442200 (
-	.A(FE_OFN1455_n_2257),
-	.B(FE_OFN1461_n_2265),
+	.A(FE_OFN1507_n_2257),
+	.B(FE_OFN1513_n_2265),
 	.Y(n_2425), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442201 (
-	.A(FE_OFN1452_n_2143),
-	.B(FE_OFN1461_n_2265),
+	.A(FE_OFN1496_n_2143),
+	.B(FE_OFN1513_n_2265),
 	.Y(n_2424), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -210915,7 +207491,7 @@
    sky130_fd_sc_hd__a211oi_1 g442204 (
 	.A1(n_1494),
 	.A2(n_13342),
-	.B1(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.B1(FE_PDN4101_u_soc_xbar_to_lsu_d_valid),
 	.C1(n_164),
 	.Y(n_2421), 
 	.VPWR(vccd1), 
@@ -210931,7 +207507,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_1 g442206 (
+   sky130_fd_sc_hd__and3_2 g442206 (
 	.A(n_2292),
 	.B(n_1381),
 	.C(n_13459),
@@ -210958,9 +207534,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a2bb2o_2 g442209 (
-	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.A1_N(FE_OFN18101_n_577),
 	.A2_N(n_1777),
-	.B1(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.B1(FE_OFN18101_n_577),
 	.B2(n_1777),
 	.X(n_2414), 
 	.VPWR(vccd1), 
@@ -210970,8 +207546,8 @@
    sky130_fd_sc_hd__nand4_1 g442210 (
 	.A(n_1532),
 	.B(n_1432),
-	.C(FE_OFN31_io_out_37),
-	.D(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.C(FE_OFN98_io_out_37),
+	.D(FE_OFN18213_u_soc_xbar_to_dccm_a_address__3),
 	.Y(n_2412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -211042,14 +207618,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442221 (
-	.A(FE_OFN923_n_2252),
+	.A(FE_OFN1116_n_2252),
 	.Y(n_2251), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442222 (
-	.A(FE_OFN922_n_2250),
+	.A(FE_OFN1115_n_2250),
 	.Y(n_2249), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -211063,49 +207639,49 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442224 (
-	.A(FE_OFN919_n_2240),
+	.A(FE_OFN1111_n_2240),
 	.Y(n_2239), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442225 (
-	.A(FE_OFN916_n_2237),
+	.A(FE_OFN1505_n_2237),
 	.Y(n_2236), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442226 (
-	.A(FE_OFN914_n_2235),
+	.A(FE_OFN1110_n_2235),
 	.Y(n_2234), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442227 (
-	.A(FE_OFN913_n_2233),
+	.A(FE_OFN1109_n_2233),
 	.Y(n_2232), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442228 (
-	.A(FE_OFN909_n_2229),
+	.A(FE_OFN1107_n_2229),
 	.Y(n_2228), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442230 (
-	.A(FE_OFN904_n_2222),
+	.A(FE_OFN1103_n_2222),
 	.Y(n_2221), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442231 (
-	.A(FE_OFN896_n_2215),
+	.A(FE_OFN1100_n_2215),
 	.Y(n_2214), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -211137,7 +207713,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442266 (
 	.A(n_1746),
-	.B(\u_soc_lsu_to_xbar[a_address] [16]),
+	.B(FE_OFN18195_u_soc_lsu_to_xbar_a_address__16),
 	.Y(n_2210), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -211145,7 +207721,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442267 (
 	.A(n_1746),
-	.B(FE_OFN759_u_soc_lsu_to_xbar_a_address__18),
+	.B(FE_OFN890_u_soc_lsu_to_xbar_a_address__18),
 	.Y(n_2209), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -211258,7 +207834,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442281 (
 	.A(n_1376),
-	.B(n_1751),
+	.B(FE_OFN1447_n_1751),
 	.Y(n_2195), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -211419,7 +207995,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442303 (
-	.A(FE_COEN4290_FE_OFN18250_n_183),
+	.A(FE_OFN19026_u_soc_u_top_u_core_multdiv_operator_ex_1),
 	.B(n_1754),
 	.Y(n_2302), 
 	.VPWR(vccd1), 
@@ -211443,7 +208019,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442317 (
-	.A(FE_COEN4270_n_13378),
+	.A(FE_OFN19039_n_13378),
 	.B(n_1909),
 	.Y(n_2176), 
 	.VPWR(vccd1), 
@@ -211531,7 +208107,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442353 (
-	.A(FE_OFN18313_n_1223),
+	.A(n_1223),
 	.B(n_1884),
 	.Y(n_2285), 
 	.VPWR(vccd1), 
@@ -211755,7 +208331,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_2 g442385 (
-	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A(FE_OCPN18892_FE_OFN18258_u_soc_u_top_u_core_pc_set),
 	.B(n_1281),
 	.X(n_2254), 
 	.VPWR(vccd1), 
@@ -211794,8 +208370,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g442390 (
-	.A(FE_COEN4270_n_13378),
+   sky130_fd_sc_hd__nor2b_1 g442390 (
+	.A(FE_OFN19039_n_13378),
 	.B_N(n_1889),
 	.Y(n_2245), 
 	.VPWR(vccd1), 
@@ -211803,7 +208379,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442391 (
-	.A(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.A(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7),
 	.B(n_1916),
 	.Y(n_2244), 
 	.VPWR(vccd1), 
@@ -211827,7 +208403,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442394 (
-	.A(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.A(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7),
 	.B(n_1913),
 	.Y(n_2241), 
 	.VPWR(vccd1), 
@@ -211842,7 +208418,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442397 (
+   sky130_fd_sc_hd__nand2_1 g442397 (
 	.A(n_1887),
 	.B(n_1237),
 	.Y(n_2238), 
@@ -211890,7 +208466,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442403 (
+   sky130_fd_sc_hd__nand2_1 g442403 (
 	.A(n_1896),
 	.B(n_1234),
 	.Y(n_2229), 
@@ -211898,7 +208474,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g442404 (
+   sky130_fd_sc_hd__nand2_2 g442404 (
 	.A(n_1896),
 	.B(n_1031),
 	.Y(n_2227), 
@@ -211906,7 +208482,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g442405 (
+   sky130_fd_sc_hd__nand2_2 g442405 (
 	.A(n_1894),
 	.B(n_1237),
 	.Y(n_2226), 
@@ -211914,7 +208490,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442406 (
+   sky130_fd_sc_hd__nand2_1 g442406 (
 	.A(n_1896),
 	.B(n_1034),
 	.Y(n_2224), 
@@ -211922,7 +208498,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442407 (
+   sky130_fd_sc_hd__nand2_1 g442407 (
 	.A(n_1890),
 	.B(n_1031),
 	.Y(n_2223), 
@@ -211946,7 +208522,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g442410 (
+   sky130_fd_sc_hd__nand2_2 g442410 (
 	.A(n_1890),
 	.B(n_1034),
 	.Y(n_2219), 
@@ -211954,7 +208530,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g442411 (
+   sky130_fd_sc_hd__nand2_2 g442411 (
 	.A(n_1896),
 	.B(n_1237),
 	.Y(n_2218), 
@@ -211962,7 +208538,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g442412 (
+   sky130_fd_sc_hd__nand2_2 g442412 (
 	.A(n_1890),
 	.B(n_1237),
 	.Y(n_2217), 
@@ -212008,14 +208584,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442420 (
-	.A(FE_OFN1446_n_2134),
+	.A(FE_OFN1490_n_2134),
 	.Y(n_2133), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442421 (
-	.A(FE_OFN1445_n_2132),
+	.A(FE_OFN1489_n_2132),
 	.Y(n_2131), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212029,7 +208605,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442423 (
-	.A(n_2128),
+	.A(FE_OFN1488_n_2128),
 	.Y(n_2127), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212043,21 +208619,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442425 (
-	.A(FE_OFN1443_n_2124),
+	.A(FE_OFN1487_n_2124),
 	.Y(n_2123), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442426 (
-	.A(FE_OFN1442_n_2122),
+	.A(FE_OFN1744_n_2122),
 	.Y(n_2121), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442427 (
-	.A(FE_OFN1441_n_2120),
+	.A(FE_OFN1486_n_2120),
 	.Y(n_2119), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212071,7 +208647,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442429 (
-	.A(n_2116),
+	.A(FE_OFN1743_n_2116),
 	.Y(n_2115), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212085,28 +208661,28 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442431 (
-	.A(FE_OFN1440_n_2112),
+	.A(FE_OFN1485_n_2112),
 	.Y(n_2111), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442432 (
-	.A(FE_OFN1439_n_2110),
+	.A(FE_OFN1484_n_2110),
 	.Y(n_2109), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442433 (
-	.A(n_2106),
+	.A(FE_OFN1481_n_2106),
 	.Y(n_2105), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442434 (
-	.A(FE_OFN1437_n_2104),
+	.A(FE_OFN1480_n_2104),
 	.Y(n_2103), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212120,42 +208696,42 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442436 (
-	.A(FE_OFN1434_n_2099),
+	.A(FE_OFN1477_n_2099),
 	.Y(n_2098), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442437 (
-	.A(FE_OFN1433_n_2097),
+	.A(FE_OFN1742_n_2097),
 	.Y(n_2096), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442438 (
-	.A(FE_OFN1432_n_2095),
+	.A(FE_OFN1476_n_2095),
 	.Y(n_2094), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442439 (
-	.A(n_2093),
+	.A(FE_OFN1475_n_2093),
 	.Y(n_2092), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442440 (
-	.A(n_2091),
+	.A(FE_OFN1474_n_2091),
 	.Y(n_2090), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442441 (
-	.A(FE_OFN1430_n_2089),
+	.A(n_2089),
 	.Y(n_2088), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212169,14 +208745,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442443 (
-	.A(n_2085),
+	.A(FE_OFN1473_n_2085),
 	.Y(n_2084), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442444 (
-	.A(FE_OFN1428_n_2083),
+	.A(n_2083),
 	.Y(n_2082), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212190,35 +208766,35 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442446 (
-	.A(FE_OFN1427_n_2079),
+	.A(FE_OFN1470_n_2079),
 	.Y(n_2078), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442447 (
-	.A(FE_OFN1426_n_2077),
+	.A(FE_OFN1741_n_2077),
 	.Y(n_2076), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442448 (
-	.A(n_2075),
+	.A(FE_OFN1469_n_2075),
 	.Y(n_2074), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442449 (
-	.A(FE_OFN1424_n_2073),
+	.A(FE_OFN1468_n_2073),
 	.Y(n_2072), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442450 (
-	.A(FE_OFN1421_n_2069),
+	.A(FE_OFN1465_n_2069),
 	.Y(n_2068), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212232,35 +208808,35 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442452 (
-	.A(FE_OFN1419_n_2065),
+	.A(FE_OFN1464_n_2065),
 	.Y(n_2064), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442453 (
-	.A(FE_OFN1418_n_2063),
+	.A(FE_OFN1463_n_2063),
 	.Y(n_2062), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442454 (
-	.A(FE_OFN1417_n_2061),
+	.A(FE_OFN1462_n_2061),
 	.Y(n_2060), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442455 (
-	.A(n_2059),
+	.A(FE_OFN1461_n_2059),
 	.Y(n_2058), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442456 (
-	.A(FE_OFN1416_n_2057),
+	.A(FE_OFN1460_n_2057),
 	.Y(n_2056), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212274,7 +208850,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442458 (
-	.A(FE_OFN19413_n_2053),
+	.A(n_2053),
 	.Y(n_2052), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212288,7 +208864,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442460 (
-	.A(FE_OFN1413_n_2049),
+	.A(FE_OFN1740_n_2049),
 	.Y(n_2048), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212302,49 +208878,49 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442462 (
-	.A(FE_OFN1412_n_2045),
+	.A(n_2045),
 	.Y(n_2044), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442463 (
-	.A(FE_OFN19728_n_2043),
+	.A(n_2043),
 	.Y(n_2042), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442464 (
-	.A(FE_OFN18842_n_2041),
+	.A(n_2041),
 	.Y(n_2040), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442465 (
-	.A(FE_OFN1409_n_2039),
+	.A(n_2039),
 	.Y(n_2038), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442466 (
-	.A(n_2037),
+	.A(FE_OFN1459_n_2037),
 	.Y(n_2036), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442467 (
-	.A(n_2035),
+	.A(FE_OFN1458_n_2035),
 	.Y(n_2034), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442468 (
-	.A(n_2033),
+	.A(FE_OFN1738_n_2033),
 	.Y(n_2032), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212358,7 +208934,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442470 (
-	.A(n_2029),
+	.A(FE_OFN1736_n_2029),
 	.Y(n_2028), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212372,7 +208948,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442472 (
-	.A(n_2025),
+	.A(FE_OFN1734_n_2025),
 	.Y(n_2024), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212386,21 +208962,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442474 (
-	.A(n_2021),
+	.A(FE_OFN1732_n_2021),
 	.Y(n_2020), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442475 (
-	.A(n_2019),
+	.A(FE_OFN1731_n_2019),
 	.Y(n_2018), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442476 (
-	.A(FE_OFN1406_n_2016),
+	.A(FE_OFN1456_n_2016),
 	.Y(n_2015), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212428,35 +209004,35 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g442480 (
-	.A(FE_OFN892_n_2004),
+	.A(FE_OFN1098_n_2004),
 	.Y(n_2003), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442481 (
-	.A(FE_OFN1639_n_16011),
+	.A(FE_OFN1807_n_16011),
 	.Y(n_2001), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442482 (
-	.A(FE_OFN891_n_1998),
+	.A(FE_OFN1097_n_1998),
 	.Y(n_1997), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442483 (
-	.A(FE_OFN890_n_1996),
+	.A(n_1996),
 	.Y(n_1995), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442484 (
-	.A(n_1994),
+	.A(FE_OFN1095_n_1994),
 	.Y(n_1993), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -212489,7 +209065,7 @@
    sky130_fd_sc_hd__a22oi_1 g442488 (
 	.A1(n_1409),
 	.A2(u_soc_u_top_u_core_cs_registers_i_mtval_q[12]),
-	.B1(n_1233),
+	.B1(FE_OFN1727_n_1233),
 	.B2(u_soc_u_top_u_core_debug_ebreaku),
 	.Y(n_1988), 
 	.VPWR(vccd1), 
@@ -212497,7 +209073,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g442489 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[19]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[19]),
@@ -212507,9 +209083,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442490 (
-	.A1(n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[2]),
-	.B1(FE_OFN1606_n_1516),
+	.B1(FE_OFN1730_n_1516),
 	.B2(u_soc_u_top_u_core_csr_depc[2]),
 	.Y(n_1986), 
 	.VPWR(vccd1), 
@@ -212519,7 +209095,7 @@
    sky130_fd_sc_hd__a22oi_1 g442491 (
 	.A1(n_1527),
 	.A2(u_soc_u_top_u_core_cs_registers_i_mcause_q[2]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[2]),
 	.Y(n_1985), 
 	.VPWR(vccd1), 
@@ -212529,7 +209105,7 @@
    sky130_fd_sc_hd__a22oi_1 g442492 (
 	.A1(n_1410),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[1]),
-	.B1(n_1233),
+	.B1(FE_OFN1727_n_1233),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
 	.Y(n_1984), 
 	.VPWR(vccd1), 
@@ -212537,7 +209113,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g442493 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[26]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[26]),
@@ -212547,9 +209123,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442494 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[7]),
 	.Y(n_1982), 
 	.VPWR(vccd1), 
@@ -212557,9 +209133,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442495 (
-	.A1(FE_OFN884_n_1413),
+	.A1(FE_OFN1087_n_1413),
 	.A2(u_soc_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[3]),
 	.Y(n_1981), 
 	.VPWR(vccd1), 
@@ -212569,7 +209145,7 @@
    sky130_fd_sc_hd__a22oi_1 g442496 (
 	.A1(n_1527),
 	.A2(u_soc_u_top_u_core_cs_registers_i_mcause_q[0]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[0]),
 	.Y(n_1980), 
 	.VPWR(vccd1), 
@@ -212577,7 +209153,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g442497 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[28]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[28]),
@@ -212587,9 +209163,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442498 (
-	.A1(FE_OFN1606_n_1516),
+	.A1(FE_OFN1730_n_1516),
 	.A2(u_soc_u_top_u_core_csr_depc[14]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[14]),
 	.Y(n_1978), 
 	.VPWR(vccd1), 
@@ -212597,9 +209173,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442499 (
-	.A1(FE_OFN1606_n_1516),
+	.A1(FE_OFN1730_n_1516),
 	.A2(u_soc_u_top_u_core_csr_depc[9]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[9]),
 	.Y(n_1977), 
 	.VPWR(vccd1), 
@@ -212607,9 +209183,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442500 (
-	.A1(FE_OFN885_n_1518),
+	.A1(FE_OFN1090_n_1518),
 	.A2(u_soc_u_top_u_core_csr_mepc[4]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[4]),
 	.Y(n_1976), 
 	.VPWR(vccd1), 
@@ -212619,7 +209195,7 @@
    sky130_fd_sc_hd__a22oi_1 g442501 (
 	.A1(n_1527),
 	.A2(u_soc_u_top_u_core_cs_registers_i_mcause_q[1]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[1]),
 	.Y(n_1975), 
 	.VPWR(vccd1), 
@@ -212627,7 +209203,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g442502 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[16]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[16]),
@@ -212637,7 +209213,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442503 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[15]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[15]),
@@ -212657,7 +209233,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442505 (
-	.A1(FE_OFN1403_n_1412),
+	.A1(FE_OFN1446_n_1412),
 	.A2(u_soc_u_top_u_core_pc_id[14]),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[14]),
@@ -212667,7 +209243,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442506 (
-	.A1(FE_OFN1403_n_1412),
+	.A1(FE_OFN1446_n_1412),
 	.A2(u_soc_u_top_u_core_pc_id[13]),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[13]),
@@ -212677,7 +209253,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442507 (
-	.A1(FE_OFN1403_n_1412),
+	.A1(FE_OFN1446_n_1412),
 	.A2(u_soc_u_top_u_core_pc_id[12]),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[12]),
@@ -212687,7 +209263,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442508 (
-	.A1(FE_OFN1403_n_1412),
+	.A1(FE_OFN1446_n_1412),
 	.A2(u_soc_u_top_u_core_pc_id[10]),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[10]),
@@ -212697,7 +209273,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442509 (
-	.A1(FE_OFN1403_n_1412),
+	.A1(FE_OFN1446_n_1412),
 	.A2(u_soc_u_top_u_core_pc_id[9]),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[9]),
@@ -212715,9 +209291,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442511 (
-	.A1(FE_OFN1606_n_1516),
+	.A1(FE_OFN1730_n_1516),
 	.A2(u_soc_u_top_u_core_csr_depc[10]),
-	.B1(FE_OFN885_n_1518),
+	.B1(FE_OFN1090_n_1518),
 	.B2(u_soc_u_top_u_core_csr_mepc[10]),
 	.Y(n_1965), 
 	.VPWR(vccd1), 
@@ -212725,7 +209301,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442512 (
-	.A1(FE_OFN1403_n_1412),
+	.A1(FE_OFN1446_n_1412),
 	.A2(u_soc_u_top_u_core_pc_id[6]),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[6]),
@@ -212735,7 +209311,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442513 (
-	.A1(FE_OFN1403_n_1412),
+	.A1(FE_OFN1446_n_1412),
 	.A2(u_soc_u_top_u_core_pc_id[5]),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[5]),
@@ -212745,7 +209321,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442514 (
-	.A1(FE_OFN1403_n_1412),
+	.A1(FE_OFN1446_n_1412),
 	.A2(u_soc_u_top_u_core_pc_id[4]),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[4]),
@@ -212755,9 +209331,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442515 (
-	.A1(FE_OFN885_n_1518),
+	.A1(FE_OFN1090_n_1518),
 	.A2(u_soc_u_top_u_core_csr_mepc[6]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[6]),
 	.Y(n_1961), 
 	.VPWR(vccd1), 
@@ -212765,7 +209341,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442516 (
-	.A1(FE_OFN885_n_1518),
+	.A1(FE_OFN1090_n_1518),
 	.A2(u_soc_u_top_u_core_csr_mepc[15]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[15]),
@@ -212775,9 +209351,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442517 (
-	.A1(FE_OFN885_n_1518),
+	.A1(FE_OFN1090_n_1518),
 	.A2(u_soc_u_top_u_core_csr_mepc[31]),
-	.B1(FE_OFN882_n_1214),
+	.B1(FE_OFN1085_n_1214),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mscratch_q[31]),
 	.Y(n_1959), 
 	.VPWR(vccd1), 
@@ -212785,7 +209361,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442518 (
-	.A1(FE_OFN1606_n_1516),
+	.A1(FE_OFN1730_n_1516),
 	.A2(u_soc_u_top_u_core_csr_depc[30]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[30]),
@@ -212795,7 +209371,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g442519 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[29]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[29]),
@@ -212805,7 +209381,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g442520 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[27]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[27]),
@@ -212815,7 +209391,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g442521 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[25]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[25]),
@@ -212825,7 +209401,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g442522 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[24]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[24]),
@@ -212835,7 +209411,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g442523 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[23]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[23]),
@@ -212865,7 +209441,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g442526 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[22]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[22]),
@@ -212875,9 +209451,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442527 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[5]),
-	.B1(FE_OFN1606_n_1516),
+	.B1(FE_OFN1730_n_1516),
 	.B2(u_soc_u_top_u_core_csr_depc[5]),
 	.Y(n_1949), 
 	.VPWR(vccd1), 
@@ -212885,7 +209461,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442528 (
-	.A1(FE_OFN1403_n_1412),
+	.A1(FE_OFN1446_n_1412),
 	.A2(u_soc_u_top_u_core_pc_id[2]),
 	.B1(n_1519),
 	.B2(u_soc_u_top_u_core_pc_if[2]),
@@ -212895,7 +209471,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g442529 (
-	.A1(FE_OFN1605_n_1513),
+	.A1(FE_OFN1729_n_1513),
 	.A2(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[18]),
 	.B1(n_1409),
 	.B2(u_soc_u_top_u_core_cs_registers_i_mtval_q[18]),
@@ -212905,9 +209481,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442530 (
-	.A1(FE_OFN1606_n_1516),
+	.A1(FE_OFN1730_n_1516),
 	.A2(u_soc_u_top_u_core_csr_depc[7]),
-	.B1(n_1233),
+	.B1(FE_OFN1727_n_1233),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
 	.Y(n_1946), 
 	.VPWR(vccd1), 
@@ -212915,7 +209491,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442531 (
-	.A1(FE_OFN1606_n_1516),
+	.A1(FE_OFN1730_n_1516),
 	.A2(u_soc_u_top_u_core_csr_depc[6]),
 	.B1(n_1410),
 	.B2(u_soc_u_top_u_core_cs_registers_i_dscratch1_q[6]),
@@ -212957,7 +209533,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21o_1 g442535 (
 	.A1(n_15927),
-	.A2(FE_OFN75_io_out_37),
+	.A2(FE_OFN14_io_out_37),
 	.B1(n_1085),
 	.X(n_1941), 
 	.VPWR(vccd1), 
@@ -212966,7 +209542,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g442536 (
 	.A1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
-	.A2(FE_OFN18250_n_183),
+	.A2(u_soc_u_top_u_core_multdiv_operator_ex[1]),
 	.B1(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
 	.C1(n_132),
 	.D1(n_13381),
@@ -212985,9 +209561,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g442538 (
-	.A1(FE_OFN879_n_690),
+	.A1(n_690),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[52] [0]),
-	.B1(FE_OFN880_n_831),
+	.B1(n_831),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[48] [0]),
 	.C1(n_1347),
 	.X(n_1938), 
@@ -213015,7 +209591,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g442541 (
-	.A1(FE_OFN876_n_471),
+	.A1(FE_OFN1078_n_471),
 	.A2(n_1564),
 	.B1(n_1077),
 	.Y(n_1935), 
@@ -213026,7 +209602,7 @@
    sky130_fd_sc_hd__a32o_1 g442542 (
 	.A1(n_1049),
 	.A2(n_13340),
-	.A3(FE_OFN1142_u_soc_u_top_data_we),
+	.A3(FE_OFN1290_u_soc_u_top_data_we),
 	.B1(n_1048),
 	.B2(u_soc_main_swith_host_lsu_err_resp_err_opcode[0]),
 	.X(n_1934), 
@@ -213066,7 +209642,7 @@
    sky130_fd_sc_hd__a21oi_1 g442546 (
 	.A1(n_1128),
 	.A2(n_1106),
-	.B1(n_1742),
+	.B1(FE_OFN1091_n_1742),
 	.Y(n_1930), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213112,7 +209688,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442551 (
-	.A(u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_1343),
 	.Y(n_1925), 
 	.VPWR(vccd1), 
@@ -213196,8 +209772,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442561 (
-	.A(n_1742),
-	.B(FE_OFN19443_n_1431),
+	.A(FE_OFN1091_n_1742),
+	.B(FE_OFN1728_n_1431),
 	.Y(n_2167), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213229,7 +209805,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442565 (
 	.A(n_1782),
-	.B(n_11130),
+	.B(FE_OFN18436_n_11130),
 	.Y(n_2163), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213253,8 +209829,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g442569 (
-	.A(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
-	.B(FE_COEN4270_n_13378),
+	.A(FE_OFN18213_u_soc_xbar_to_dccm_a_address__3),
+	.B(FE_OFN19039_n_13378),
 	.C(n_1434),
 	.Y(n_2160), 
 	.VPWR(vccd1), 
@@ -213262,7 +209838,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g442570 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B_N(n_1762),
 	.Y(n_2159), 
 	.VPWR(vccd1), 
@@ -213270,7 +209846,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g442571 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B_N(n_1761),
 	.Y(n_2158), 
 	.VPWR(vccd1), 
@@ -213288,7 +209864,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442573 (
 	.A(n_1744),
-	.B(FE_OFN19443_n_1431),
+	.B(FE_OFN1728_n_1431),
 	.Y(n_2156), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213305,7 +209881,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21o_1 g442575 (
 	.A1(n_1449),
-	.A2(FE_OFN35_io_out_37),
+	.A2(FE_OFN5_io_out_37),
 	.B1(n_636),
 	.X(n_2152), 
 	.VPWR(vccd1), 
@@ -213340,14 +209916,14 @@
    sky130_fd_sc_hd__nand3_1 g442579 (
 	.A(n_1463),
 	.B(n_15936),
-	.C(FE_PSN4139_FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.C(FE_OFN903_u_soc_xbar_to_dccm_a_address__5),
 	.Y(n_2148), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g442580 (
-	.A1(FE_OFN18313_n_1223),
+	.A1(n_1223),
 	.A2(n_1527),
 	.B1(n_1406),
 	.Y(n_2146), 
@@ -213431,10 +210007,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_2 g442590 (
+   sky130_fd_sc_hd__nand3b_1 g442590 (
 	.A_N(n_13479),
 	.B(n_1426),
-	.C(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.C(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
 	.Y(n_2136), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213442,15 +210018,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442591 (
 	.A(n_1864),
-	.B(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B(u_soc_u_uart_u_uart_core_rx[7]),
 	.Y(n_2134), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442592 (
+   sky130_fd_sc_hd__nand2_1 g442592 (
 	.A(n_1867),
-	.B(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.Y(n_2132), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213458,15 +210034,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 g442593 (
 	.A(n_1856),
-	.B(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.Y(n_2130), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442594 (
+   sky130_fd_sc_hd__nand2_1 g442594 (
 	.A(n_1863),
-	.B(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B(FE_OFN854_u_soc_u_uart_u_uart_core_rx_7),
 	.Y(n_2128), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213482,7 +210058,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442596 (
 	.A(n_1867),
-	.B(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.Y(n_2124), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213490,7 +210066,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442597 (
 	.A(n_1858),
-	.B(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.Y(n_2122), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213498,7 +210074,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442598 (
 	.A(n_1867),
-	.B(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.Y(n_2120), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213512,9 +210088,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442600 (
+   sky130_fd_sc_hd__nand2_1 g442600 (
 	.A(n_1858),
-	.B(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.Y(n_2116), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213530,7 +210106,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442602 (
 	.A(n_1864),
-	.B(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B(u_soc_u_uart_u_uart_core_rx[1]),
 	.Y(n_2112), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213538,15 +210114,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442603 (
 	.A(n_1863),
-	.B(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B(u_soc_u_uart_u_uart_core_rx[0]),
 	.Y(n_2110), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442604 (
+   sky130_fd_sc_hd__nand2_1 g442604 (
 	.A(n_1864),
-	.B(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.B(u_soc_u_uart_u_uart_core_rx[2]),
 	.Y(n_2108), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213554,15 +210130,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442605 (
 	.A(n_1864),
-	.B(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.B(FE_OFN866_u_soc_u_uart_u_uart_core_rx_3),
 	.Y(n_2107), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442606 (
+   sky130_fd_sc_hd__nand2_1 g442606 (
 	.A(n_1864),
-	.B(FE_OFN745_u_soc_u_uart_u_uart_core_rx_0),
+	.B(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.Y(n_2106), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213570,7 +210146,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442607 (
 	.A(n_1863),
-	.B(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.Y(n_2104), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213578,15 +210154,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442608 (
 	.A(n_1864),
-	.B(FE_OFN734_u_soc_u_uart_u_uart_core_rx_4),
+	.B(u_soc_u_uart_u_uart_core_rx[4]),
 	.Y(n_2102), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442609 (
+   sky130_fd_sc_hd__nand2_1 g442609 (
 	.A(n_1863),
-	.B(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.Y(n_2100), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213594,7 +210170,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442610 (
 	.A(n_1863),
-	.B(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.Y(n_2099), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213610,7 +210186,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442612 (
 	.A(n_1856),
-	.B(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.Y(n_2095), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213618,23 +210194,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442613 (
 	.A(n_1867),
-	.B(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.Y(n_2093), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442614 (
+   sky130_fd_sc_hd__nand2_1 g442614 (
 	.A(n_1856),
-	.B(FE_OFN725_u_soc_u_uart_u_uart_core_rx_7),
+	.B(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.Y(n_2091), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g442615 (
+   sky130_fd_sc_hd__nand2_2 g442615 (
 	.A(n_1867),
-	.B(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.Y(n_2089), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213642,15 +210218,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 g442616 (
 	.A(n_1867),
-	.B(FE_OFN743_u_soc_u_uart_u_uart_core_rx_0),
+	.B(u_soc_u_uart_u_uart_core_rx[0]),
 	.Y(n_2087), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442617 (
+   sky130_fd_sc_hd__nand2_1 g442617 (
 	.A(n_1863),
-	.B(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.Y(n_2085), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213658,15 +210234,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442618 (
 	.A(n_1863),
-	.B(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.Y(n_2083), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442619 (
+   sky130_fd_sc_hd__nand2_1 g442619 (
 	.A(n_1864),
-	.B(FE_OFN729_u_soc_u_uart_u_uart_core_rx_6),
+	.B(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.Y(n_2081), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213674,7 +210250,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442620 (
 	.A(n_1863),
-	.B(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B(u_soc_u_uart_u_uart_core_rx[6]),
 	.Y(n_2079), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213682,7 +210258,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442621 (
 	.A(n_1858),
-	.B(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.Y(n_2077), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213690,7 +210266,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442622 (
 	.A(n_1867),
-	.B(FE_OFN728_u_soc_u_uart_u_uart_core_rx_6),
+	.B(u_soc_u_uart_u_uart_core_rx[6]),
 	.Y(n_2075), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213698,7 +210274,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442623 (
 	.A(n_1867),
-	.B(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.Y(n_2073), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213706,7 +210282,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442624 (
 	.A(n_1856),
-	.B(FE_OFN733_u_soc_u_uart_u_uart_core_rx_4),
+	.B(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.Y(n_2071), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213714,15 +210290,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442625 (
 	.A(n_1856),
-	.B(FE_OFN730_u_soc_u_uart_u_uart_core_rx_5),
+	.B(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.Y(n_2070), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442626 (
+   sky130_fd_sc_hd__nand2_1 g442626 (
 	.A(n_1860),
-	.B(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.B(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.Y(n_2069), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213738,7 +210314,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442628 (
 	.A(n_1860),
-	.B(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.B(u_soc_u_uart_u_uart_core_rx[7]),
 	.Y(n_2065), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213746,7 +210322,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442629 (
 	.A(n_1860),
-	.B(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.Y(n_2063), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213754,15 +210330,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442630 (
 	.A(n_1860),
-	.B(FE_OFN735_u_soc_u_uart_u_uart_core_rx_3),
+	.B(u_soc_u_uart_u_uart_core_rx[3]),
 	.Y(n_2061), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442631 (
+   sky130_fd_sc_hd__nand2_1 g442631 (
 	.A(n_1860),
-	.B(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.B(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.Y(n_2059), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213770,7 +210346,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442632 (
 	.A(n_1860),
-	.B(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.B(u_soc_u_uart_u_uart_core_rx[1]),
 	.Y(n_2057), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213784,7 +210360,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g442634 (
+   sky130_fd_sc_hd__nor2_2 g442634 (
 	.A(n_5),
 	.B(n_1870),
 	.Y(n_2053), 
@@ -213792,7 +210368,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g442635 (
+   sky130_fd_sc_hd__nor2_2 g442635 (
 	.A(n_1),
 	.B(n_1870),
 	.Y(n_2051), 
@@ -213832,7 +210408,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g442640 (
+   sky130_fd_sc_hd__nor2_2 g442640 (
 	.A(n_473),
 	.B(n_1870),
 	.Y(n_2041), 
@@ -213840,7 +210416,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g442641 (
+   sky130_fd_sc_hd__nor2_2 g442641 (
 	.A(n_474),
 	.B(n_1870),
 	.Y(n_2039), 
@@ -213850,21 +210426,21 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442642 (
 	.A(n_1856),
-	.B(FE_OFN741_u_soc_u_uart_u_uart_core_rx_1),
+	.B(FE_OFN873_u_soc_u_uart_u_uart_core_rx_1),
 	.Y(n_2037), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442643 (
+   sky130_fd_sc_hd__nand2_1 g442643 (
 	.A(n_1856),
-	.B(FE_OFN736_u_soc_u_uart_u_uart_core_rx_3),
+	.B(FE_OFN867_u_soc_u_uart_u_uart_core_rx_3),
 	.Y(n_2035), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g442644 (
+   sky130_fd_sc_hd__nor2_1 g442644 (
 	.A(n_473),
 	.B(n_1855),
 	.Y(n_2033), 
@@ -213872,7 +210448,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g442645 (
+   sky130_fd_sc_hd__nor2_1 g442645 (
 	.A(n_1),
 	.B(n_1855),
 	.Y(n_2031), 
@@ -213880,7 +210456,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g442646 (
+   sky130_fd_sc_hd__nor2_1 g442646 (
 	.A(n_0),
 	.B(n_1855),
 	.Y(n_2029), 
@@ -213888,7 +210464,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g442647 (
+   sky130_fd_sc_hd__nor2_1 g442647 (
 	.A(n_4),
 	.B(n_1855),
 	.Y(n_2027), 
@@ -213896,7 +210472,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g442648 (
+   sky130_fd_sc_hd__nor2_1 g442648 (
 	.A(n_3),
 	.B(n_1855),
 	.Y(n_2025), 
@@ -213904,7 +210480,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g442649 (
+   sky130_fd_sc_hd__nor2_1 g442649 (
 	.A(n_5),
 	.B(n_1855),
 	.Y(n_2023), 
@@ -213912,7 +210488,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g442650 (
+   sky130_fd_sc_hd__nor2_1 g442650 (
 	.A(n_474),
 	.B(n_1855),
 	.Y(n_2021), 
@@ -213920,7 +210496,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g442651 (
+   sky130_fd_sc_hd__nor2_1 g442651 (
 	.A(n_2),
 	.B(n_1855),
 	.Y(n_2019), 
@@ -213930,7 +210506,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442652 (
 	.A(n_1856),
-	.B(FE_OFN739_u_soc_u_uart_u_uart_core_rx_2),
+	.B(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.Y(n_2017), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213938,7 +210514,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442653 (
 	.A(n_1864),
-	.B(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.B(FE_OFN859_u_soc_u_uart_u_uart_core_rx_5),
 	.Y(n_2016), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -213952,7 +210528,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g442655 (
+   sky130_fd_sc_hd__nand2_2 g442655 (
 	.A(n_1887),
 	.B(n_1031),
 	.Y(n_2012), 
@@ -213960,7 +210536,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g442656 (
+   sky130_fd_sc_hd__nand2_2 g442656 (
 	.A(n_1887),
 	.B(n_1234),
 	.Y(n_2011), 
@@ -213988,7 +210564,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_2 g442659 (
+   sky130_fd_sc_hd__nand2b_1 g442659 (
 	.A_N(n_1899),
 	.B(n_1237),
 	.Y(n_2007), 
@@ -214024,13 +210600,13 @@
    sky130_fd_sc_hd__o21a_1 g442664 (
 	.A1(n_1515),
 	.A2(n_1222),
-	.B1(n_1213),
+	.B1(FE_OFN1084_n_1213),
 	.X(n_1999), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442665 (
+   sky130_fd_sc_hd__nand2_1 g442665 (
 	.A(n_1898),
 	.B(n_1234),
 	.Y(n_1998), 
@@ -214038,7 +210614,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g442666 (
+   sky130_fd_sc_hd__nand2_2 g442666 (
 	.A(n_1898),
 	.B(n_1031),
 	.Y(n_1996), 
@@ -214046,7 +210622,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442667 (
+   sky130_fd_sc_hd__nand2_1 g442667 (
 	.A(n_1898),
 	.B(n_1034),
 	.Y(n_1994), 
@@ -214155,7 +210731,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g442683 (
-	.A(FE_OFN1404_n_1848),
+	.A(FE_OFN1449_n_1848),
 	.Y(n_1847), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -214204,7 +210780,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g442689 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B_N(n_1624),
 	.Y(n_1836), 
 	.VPWR(vccd1), 
@@ -214221,7 +210797,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442691 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(n_1561),
 	.Y(n_1834), 
 	.VPWR(vccd1), 
@@ -214229,7 +210805,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442692 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(n_1558),
 	.Y(n_1833), 
 	.VPWR(vccd1), 
@@ -214237,7 +210813,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442693 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(n_1557),
 	.Y(n_1832), 
 	.VPWR(vccd1), 
@@ -214389,7 +210965,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442713 (
-	.A(n_1513),
+	.A(FE_OFN1729_n_1513),
 	.B(u_soc_u_top_u_core_cs_registers_i_dscratch0_q[0]),
 	.Y(n_1813), 
 	.VPWR(vccd1), 
@@ -214437,7 +211013,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442719 (
-	.A(FE_OFN1606_n_1516),
+	.A(FE_OFN1730_n_1516),
 	.B(u_soc_u_top_u_core_csr_depc[13]),
 	.Y(n_1807), 
 	.VPWR(vccd1), 
@@ -214445,7 +211021,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442720 (
-	.A(FE_OFN1606_n_1516),
+	.A(FE_OFN1730_n_1516),
 	.B(u_soc_u_top_u_core_csr_depc[1]),
 	.Y(n_1806), 
 	.VPWR(vccd1), 
@@ -214493,7 +211069,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442727 (
-	.A(FE_OFN1606_n_1516),
+	.A(FE_OFN1730_n_1516),
 	.B(u_soc_u_top_u_core_csr_depc[17]),
 	.Y(n_1800), 
 	.VPWR(vccd1), 
@@ -214501,7 +211077,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442728 (
-	.A(FE_OFN1606_n_1516),
+	.A(FE_OFN1730_n_1516),
 	.B(u_soc_u_top_u_core_csr_depc[8]),
 	.Y(n_1799), 
 	.VPWR(vccd1), 
@@ -214525,7 +211101,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442731 (
-	.A(FE_OFN1606_n_1516),
+	.A(FE_OFN1730_n_1516),
 	.B(u_soc_u_top_u_core_csr_depc[21]),
 	.Y(n_1796), 
 	.VPWR(vccd1), 
@@ -214662,7 +211238,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442778 (
 	.A(n_1551),
-	.B(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.B(FE_OFN18451_u_soc_u_top_u_core_multdiv_operator_ex_0),
 	.Y(n_1912), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -214678,7 +211254,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g442780 (
 	.A_N(n_1434),
-	.B(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.B(FE_OFN18213_u_soc_xbar_to_dccm_a_address__3),
 	.Y(n_1909), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -214701,7 +211277,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442783 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_1535),
 	.Y(n_1906), 
 	.VPWR(vccd1), 
@@ -214717,7 +211293,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442785 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_1537),
 	.Y(n_1903), 
 	.VPWR(vccd1), 
@@ -214725,7 +211301,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442786 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_1547),
 	.Y(n_1902), 
 	.VPWR(vccd1), 
@@ -214733,7 +211309,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442787 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_15928),
 	.Y(n_1901), 
 	.VPWR(vccd1), 
@@ -214789,7 +211365,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442795 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_1545),
 	.Y(n_1893), 
 	.VPWR(vccd1), 
@@ -214821,7 +211397,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g442800 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B_N(n_1549),
 	.Y(n_1888), 
 	.VPWR(vccd1), 
@@ -214844,7 +211420,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g442806 (
+   sky130_fd_sc_hd__nor2_2 g442806 (
 	.A(n_1425),
 	.B(n_13478),
 	.Y(n_1884), 
@@ -214853,8 +211429,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442807 (
-	.A(n_13358),
-	.B(n_1438),
+	.A(FE_OFN18575_n_13358),
+	.B(FE_OFN1089_n_1438),
 	.Y(n_1883), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -214862,31 +211438,31 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442808 (
 	.A(n_13359),
-	.B(n_1433),
+	.B(FE_OFN1088_n_1433),
 	.Y(n_1882), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g442809 (
-	.A(n_13360),
-	.B(n_1433),
+   sky130_fd_sc_hd__nor2_1 g442809 (
+	.A(FE_OFN18151_n_15),
+	.B(FE_PDN3746_FE_OFN1088_n_1433),
 	.Y(n_1881), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442810 (
-	.A(n_13358),
-	.B(n_1433),
+	.A(FE_OFN18576_n_13358),
+	.B(FE_OFN1088_n_1433),
 	.Y(n_1880), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g442811 (
+   sky130_fd_sc_hd__nor2_1 g442811 (
 	.A(n_13361),
-	.B(n_1438),
+	.B(FE_PDN3677_FE_OFN1089_n_1438),
 	.Y(n_1879), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -214900,9 +211476,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g442813 (
+   sky130_fd_sc_hd__nor2_1 g442813 (
 	.A(n_13361),
-	.B(n_1433),
+	.B(FE_PDN3746_FE_OFN1088_n_1433),
 	.Y(n_1877), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -214910,15 +211486,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g442814 (
 	.A(n_13359),
-	.B(n_1438),
+	.B(FE_OFN1089_n_1438),
 	.Y(n_1876), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g442815 (
-	.A(n_13360),
-	.B(n_1438),
+   sky130_fd_sc_hd__nor2_1 g442815 (
+	.A(FE_OFN18151_n_15),
+	.B(FE_PDN3677_FE_OFN1089_n_1438),
 	.Y(n_1875), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -214956,7 +211532,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g442820 (
+   sky130_fd_sc_hd__nand2_2 g442820 (
 	.A(n_15928),
 	.B(n_1040),
 	.Y(n_1870), 
@@ -214980,7 +211556,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g442823 (
+   sky130_fd_sc_hd__nand2_2 g442823 (
 	.A(n_1535),
 	.B(n_1043),
 	.Y(n_1865), 
@@ -215004,7 +211580,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 g442826 (
+   sky130_fd_sc_hd__nand2_2 g442826 (
 	.A(n_1537),
 	.B(n_1040),
 	.Y(n_1859), 
@@ -215030,7 +211606,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442829 (
 	.A(n_1415),
-	.B(n_1213),
+	.B(FE_OFN1084_n_1213),
 	.Y(n_1854), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215084,16 +211660,16 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g442836 (
-	.A(FE_OFN18313_n_1223),
-	.B(FE_OFN884_n_1413),
+   sky130_fd_sc_hd__nand2_1 g442836 (
+	.A(n_1223),
+	.B(FE_OFN1087_n_1413),
 	.Y(n_1844), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442837 (
-	.A(FE_OFN18313_n_1223),
+	.A(n_1223),
 	.B(n_1410),
 	.Y(n_1843), 
 	.VPWR(vccd1), 
@@ -215101,8 +211677,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442838 (
-	.A(FE_OFN18313_n_1223),
-	.B(FE_OFN1605_n_1513),
+	.A(n_1223),
+	.B(FE_OFN1729_n_1513),
 	.Y(n_1842), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215116,14 +211692,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442841 (
-	.A(FE_OFN887_n_1753),
+	.A(FE_OFN1092_n_1753),
 	.Y(n_1752), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442842 (
-	.A(n_1751),
+	.A(FE_OFN1447_n_1751),
 	.Y(n_1750), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215144,14 +211720,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g442845 (
-	.A(n_1742),
+	.A(FE_OFN1091_n_1742),
 	.Y(n_1741), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442846 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[13]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[13]),
@@ -215187,9 +211763,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o31ai_1 g442850 (
-	.A1(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
-	.A2(FE_OFN18488_n_15943),
-	.A3(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.A1(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.A2(FE_OFN18432_n_15943),
+	.A3(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2),
 	.B1(n_1425),
 	.Y(n_1736), 
 	.VPWR(vccd1), 
@@ -215234,7 +211810,7 @@
    sky130_fd_sc_hd__a22o_1 g442855 (
 	.A1(n_1023),
 	.A2(u_soc_u_uart_u_uart_core_rx_time_n_606),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[1]),
 	.X(n_1731), 
 	.VPWR(vccd1), 
@@ -215252,7 +211828,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g442857 (
-	.A1(FE_OFN31_io_out_37),
+	.A1(FE_OFN14_io_out_37),
 	.A2(n_1047),
 	.B1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
 	.Y(n_1729), 
@@ -215261,10 +211837,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442858 (
-	.A1(n_1227),
-	.A2(FE_OFN302_u_soc_u_dccm_rdata1_18),
+	.A1(FE_OFN18087_n_1227),
+	.A2(FE_PDN3881_FE_OFN416_u_soc_u_dccm_rdata1_18),
 	.B1(n_1224),
-	.B2(FE_OFN18871_u_soc_u_dccm_rdata4_18),
+	.B2(FE_PDN3707_FE_OFN495_u_soc_u_dccm_rdata4_18),
 	.Y(n_1728), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215272,9 +211848,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442859 (
 	.A1(n_1230),
-	.A2(FE_OFN18897_u_soc_u_dccm_rdata2_19),
+	.A2(FE_OFN460_u_soc_u_dccm_rdata2_19),
 	.B1(n_1224),
-	.B2(FE_OFN19122_u_soc_u_dccm_rdata4_19),
+	.B2(FE_OFN494_u_soc_u_dccm_rdata4_19),
 	.Y(n_1727), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215290,7 +211866,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g442861 (
-	.A1(FE_OFN31_io_out_37),
+	.A1(FE_OFN14_io_out_37),
 	.A2(n_1096),
 	.B1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
 	.Y(n_1725), 
@@ -215308,7 +211884,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g442863 (
-	.A1(FE_OFN31_io_out_37),
+	.A1(FE_OFN15_io_out_37),
 	.A2(n_1164),
 	.B1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
 	.Y(n_1723), 
@@ -215317,10 +211893,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442864 (
-	.A1(n_1227),
-	.A2(FE_OFN301_u_soc_u_dccm_rdata1_19),
+	.A1(FE_OFN18087_n_1227),
+	.A2(FE_PDN3705_FE_OFN18715_FE_OFN414_u_soc_u_dccm_rdata1_19),
 	.B1(n_1228),
-	.B2(FE_OFN18052_u_soc_u_dccm_rdata3_19),
+	.B2(u_soc_u_dccm_rdata3[19]),
 	.Y(n_1722), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215348,7 +211924,7 @@
    sky130_fd_sc_hd__a22o_1 g442867 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_581),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[26]),
 	.X(n_1719), 
 	.VPWR(vccd1), 
@@ -215358,7 +211934,7 @@
    sky130_fd_sc_hd__a22o_1 g442868 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_591),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[16]),
 	.X(n_1718), 
 	.VPWR(vccd1), 
@@ -215368,7 +211944,7 @@
    sky130_fd_sc_hd__a22o_1 g442869 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_603),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[4]),
 	.X(n_1717), 
 	.VPWR(vccd1), 
@@ -215378,7 +211954,7 @@
    sky130_fd_sc_hd__a22o_1 g442870 (
 	.A1(n_1023),
 	.A2(u_soc_u_uart_u_uart_core_rx_time_n_605),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[2]),
 	.X(n_1716), 
 	.VPWR(vccd1), 
@@ -215386,27 +211962,27 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442871 (
-	.A1(n_1227),
-	.A2(FE_OFN308_u_soc_u_dccm_rdata1_12),
+	.A1(FE_OFN18087_n_1227),
+	.A2(FE_OFN428_u_soc_u_dccm_rdata1_12),
 	.B1(n_1224),
-	.B2(FE_OFN19124_u_soc_u_dccm_rdata4_12),
+	.B2(FE_PDN3736_FE_OFN501_u_soc_u_dccm_rdata4_12),
 	.Y(n_1715), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442872 (
-	.A1(n_1227),
-	.A2(FE_OFN300_u_soc_u_dccm_rdata1_20),
+	.A1(FE_OFN18087_n_1227),
+	.A2(FE_OFN18718_FE_OFN412_u_soc_u_dccm_rdata1_20),
 	.B1(n_1228),
-	.B2(FE_OFN18051_u_soc_u_dccm_rdata3_20),
+	.B2(u_soc_u_dccm_rdata3[20]),
 	.Y(n_1714), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g442873 (
-	.A1(FE_OFN31_io_out_37),
+	.A1(FE_OFN14_io_out_37),
 	.A2(n_1064),
 	.B1(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
 	.Y(n_1713), 
@@ -215417,7 +211993,7 @@
    sky130_fd_sc_hd__a22o_1 g442874 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_601),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[6]),
 	.X(n_1712), 
 	.VPWR(vccd1), 
@@ -215427,7 +212003,7 @@
    sky130_fd_sc_hd__a22o_1 g442875 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_602),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[5]),
 	.X(n_1711), 
 	.VPWR(vccd1), 
@@ -215455,7 +212031,7 @@
    sky130_fd_sc_hd__a22o_1 g442878 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_585),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[22]),
 	.X(n_1708), 
 	.VPWR(vccd1), 
@@ -215465,7 +212041,7 @@
    sky130_fd_sc_hd__a22o_1 g442879 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_584),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[23]),
 	.X(n_1707), 
 	.VPWR(vccd1), 
@@ -215475,7 +212051,7 @@
    sky130_fd_sc_hd__a22o_1 g442880 (
 	.A1(n_1023),
 	.A2(u_soc_u_uart_u_uart_core_rx_time_n_604),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[3]),
 	.X(n_1706), 
 	.VPWR(vccd1), 
@@ -215493,10 +212069,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442882 (
-	.A1(n_1227),
-	.A2(FE_OFN297_u_soc_u_dccm_rdata1_23),
+	.A1(FE_OFN18087_n_1227),
+	.A2(FE_OFN406_u_soc_u_dccm_rdata1_23),
 	.B1(n_1228),
-	.B2(FE_OFN355_u_soc_u_dccm_rdata3_23),
+	.B2(u_soc_u_dccm_rdata3[23]),
 	.Y(n_1704), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215505,7 +212081,7 @@
    sky130_fd_sc_hd__a22o_1 g442883 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_586),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[21]),
 	.X(n_1703), 
 	.VPWR(vccd1), 
@@ -215522,10 +212098,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442885 (
-	.A1(n_1227),
-	.A2(FE_OFN303_u_soc_u_dccm_rdata1_17),
+	.A1(FE_OFN18087_n_1227),
+	.A2(FE_OFN418_u_soc_u_dccm_rdata1_17),
 	.B1(n_1224),
-	.B2(FE_OFN19445_u_soc_u_dccm_rdata4_17),
+	.B2(FE_OFN496_u_soc_u_dccm_rdata4_17),
 	.Y(n_1701), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215552,9 +212128,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442888 (
 	.A1(n_1227),
-	.A2(FE_OFN293_u_soc_u_dccm_rdata1_27),
+	.A2(FE_PDN3678_FE_OFN398_u_soc_u_dccm_rdata1_27),
 	.B1(n_1224),
-	.B2(FE_OFN18866_u_soc_u_dccm_rdata4_27),
+	.B2(FE_OFN486_u_soc_u_dccm_rdata4_27),
 	.Y(n_1698), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215563,7 +212139,7 @@
    sky130_fd_sc_hd__a22o_1 g442889 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_582),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[25]),
 	.X(n_1697), 
 	.VPWR(vccd1), 
@@ -215582,7 +212158,7 @@
    sky130_fd_sc_hd__a22o_1 g442891 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_597),
 	.A2(n_1023),
-	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[10]),
 	.X(n_1695), 
 	.VPWR(vccd1), 
@@ -215598,7 +212174,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g442893 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(u_soc_dccm_adapter_data_mem_u_rspfifo_n_125),
 	.C(n_1062),
 	.Y(n_1693), 
@@ -215619,7 +212195,7 @@
    sky130_fd_sc_hd__a22o_1 g442895 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_587),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[20]),
 	.X(n_1691), 
 	.VPWR(vccd1), 
@@ -215627,19 +212203,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g442896 (
-	.A(FE_OFN18487_n_13386),
-	.B(FE_OFN18594_n),
-	.C(FE_OFN19555_n_13387),
-	.D(FE_PSN4341_FE_OFN18495_n_13389),
+	.A(FE_OFN19054_n_13386),
+	.B(FE_OFN18431_n_13388),
+	.C(FE_OFN18450_n_13387),
+	.D(FE_OFN18230_n),
 	.X(n_1690), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442897 (
-	.A1(FE_OFN880_n_831),
+	.A1(n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[32] [0]),
-	.B1(FE_OFN879_n_690),
+	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[36] [0]),
 	.C1(n_1404),
 	.Y(n_1689), 
@@ -215649,9 +212225,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442898 (
 	.A1(n_1230),
-	.A2(FE_OFN19329_u_soc_u_dccm_rdata2_9),
+	.A2(FE_OFN470_u_soc_u_dccm_rdata2_9),
 	.B1(n_1224),
-	.B2(FE_OFN19440_u_soc_u_dccm_rdata4_9),
+	.B2(FE_PDN3726_FE_OFN504_u_soc_u_dccm_rdata4_9),
 	.Y(n_1688), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215660,7 +212236,7 @@
    sky130_fd_sc_hd__a22o_1 g442899 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_588),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[19]),
 	.X(n_1687), 
 	.VPWR(vccd1), 
@@ -215678,9 +212254,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or4_1 g442901 (
-	.A(FE_OFN18301_u_soc_u_top_u_core_alu_operator_ex_1),
+	.A(u_soc_u_top_u_core_alu_operator_ex[1]),
 	.B(n_720),
-	.C(u_soc_u_top_u_core_alu_operator_ex[0]),
+	.C(FE_OFN18156_u_soc_u_top_u_core_alu_operator_ex_0),
 	.D(u_soc_u_top_u_core_alu_operator_ex[5]),
 	.X(n_1685), 
 	.VPWR(vccd1), 
@@ -215689,18 +212265,18 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442902 (
 	.A1(n_1230),
-	.A2(FE_OFN18903_u_soc_u_dccm_rdata2_27),
+	.A2(FE_OFN452_u_soc_u_dccm_rdata2_27),
 	.B1(n_1228),
-	.B2(FE_OFN19331_u_soc_u_dccm_rdata3_27),
+	.B2(u_soc_u_dccm_rdata3[27]),
 	.Y(n_1684), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442903 (
-	.A1(FE_OFN880_n_831),
+	.A1(n_831),
 	.A2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[16] [0]),
-	.B1(FE_OFN879_n_690),
+	.B1(n_690),
 	.B2(\u_soc_u_uart_u_uart_core_write_fifo_buffer[20] [0]),
 	.C1(n_1348),
 	.Y(n_1683), 
@@ -215710,9 +212286,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442904 (
 	.A1(n_1230),
-	.A2(FE_OFN18857_u_soc_u_dccm_rdata2_18),
+	.A2(FE_OFN461_u_soc_u_dccm_rdata2_18),
 	.B1(n_1228),
-	.B2(FE_OFN19382_u_soc_u_dccm_rdata3_18),
+	.B2(u_soc_u_dccm_rdata3[18]),
 	.Y(n_1682), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215757,9 +212333,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442909 (
 	.A1(n_1230),
-	.A2(FE_OFN18836_u_soc_u_dccm_rdata2_20),
+	.A2(FE_OFN459_u_soc_u_dccm_rdata2_20),
 	.B1(n_1224),
-	.B2(FE_OFN19113_u_soc_u_dccm_rdata4_20),
+	.B2(FE_PDN3709_FE_OFN493_u_soc_u_dccm_rdata4_20),
 	.Y(n_1677), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215767,9 +212343,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442910 (
 	.A1(n_1230),
-	.A2(FE_OFN18816_u_soc_u_dccm_rdata2_21),
+	.A2(FE_OFN458_u_soc_u_dccm_rdata2_21),
 	.B1(n_1228),
-	.B2(FE_OFN18050_u_soc_u_dccm_rdata3_21),
+	.B2(u_soc_u_dccm_rdata3[21]),
 	.Y(n_1676), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215778,7 +212354,7 @@
    sky130_fd_sc_hd__a22o_1 g442911 (
 	.A1(n_1212),
 	.A2(u_soc_u_top_u_core_debug_cause[1]),
-	.B1(n_1213),
+	.B1(FE_OFN1084_n_1213),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
 	.X(n_1675), 
 	.VPWR(vccd1), 
@@ -215788,7 +212364,7 @@
    sky130_fd_sc_hd__a22o_1 g442912 (
 	.A1(n_1212),
 	.A2(u_soc_u_top_u_core_debug_cause[2]),
-	.B1(n_1213),
+	.B1(FE_OFN1084_n_1213),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
 	.X(n_1674), 
 	.VPWR(vccd1), 
@@ -215798,7 +212374,7 @@
    sky130_fd_sc_hd__a22o_1 g442913 (
 	.A1(n_1212),
 	.A2(u_soc_u_top_u_core_debug_cause[0]),
-	.B1(n_1213),
+	.B1(FE_OFN1084_n_1213),
 	.B2(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
 	.X(n_1673), 
 	.VPWR(vccd1), 
@@ -215816,11 +212392,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a32o_1 g442915 (
-	.A1(FE_OFN1105_n_13380),
+	.A1(n_475),
 	.A2(u_soc_u_top_u_core_id_stage_i_lsu_req_dec),
-	.A3(FE_OFN1142_u_soc_u_top_data_we),
-	.B1(FE_OFN19534_n_13380),
-	.B2(FE_COEN4293_u_soc_u_top_u_core_wb_stage_i_g_writeback_stage_wb_instr_type_q_0),
+	.A3(u_soc_u_top_data_we),
+	.B1(FE_OFN1266_n_13380),
+	.B2(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
 	.X(n_1671), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215836,7 +212412,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442917 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[21]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[21]),
@@ -215846,7 +212422,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442918 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[14]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[14]),
@@ -215856,7 +212432,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442919 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[17]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[17]),
@@ -215868,7 +212444,7 @@
    sky130_fd_sc_hd__a22o_1 g442920 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_593),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[14]),
 	.X(n_1666), 
 	.VPWR(vccd1), 
@@ -215876,7 +212452,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g442921 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B_N(n_1594),
 	.Y(n_1665), 
 	.VPWR(vccd1), 
@@ -215886,7 +212462,7 @@
    sky130_fd_sc_hd__a22o_1 g442922 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_594),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[13]),
 	.X(n_1664), 
 	.VPWR(vccd1), 
@@ -215896,7 +212472,7 @@
    sky130_fd_sc_hd__a22o_1 g442923 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_598),
 	.A2(n_1023),
-	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[9]),
 	.X(n_1663), 
 	.VPWR(vccd1), 
@@ -215904,7 +212480,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442924 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[15]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[15]),
@@ -215916,7 +212492,7 @@
    sky130_fd_sc_hd__a22o_1 g442925 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_595),
 	.A2(n_1023),
-	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[12]),
 	.X(n_1661), 
 	.VPWR(vccd1), 
@@ -215926,7 +212502,7 @@
    sky130_fd_sc_hd__a22o_1 g442926 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_596),
 	.A2(n_1023),
-	.B1(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[11]),
 	.X(n_1660), 
 	.VPWR(vccd1), 
@@ -215944,10 +212520,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442928 (
-	.A1(n_1227),
-	.A2(FE_OFN298_u_soc_u_dccm_rdata1_22),
+	.A1(FE_OFN18087_n_1227),
+	.A2(FE_OFN18732_FE_OFN408_u_soc_u_dccm_rdata1_22),
 	.B1(n_1224),
-	.B2(FE_OFN19435_u_soc_u_dccm_rdata4_22),
+	.B2(FE_PDN3688_FE_OFN491_u_soc_u_dccm_rdata4_22),
 	.Y(n_1658), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -215966,7 +212542,7 @@
    sky130_fd_sc_hd__a22oi_1 g442930 (
 	.A1(n_1218),
 	.A2(n_13743),
-	.B1(n_1219),
+	.B1(FE_OFN1086_n_1219),
 	.B2(u_soc_u_top_u_core_csr_mepc[1]),
 	.Y(n_1656), 
 	.VPWR(vccd1), 
@@ -215974,7 +212550,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442931 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[12]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[12]),
@@ -215984,7 +212560,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442932 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[16]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[16]),
@@ -215994,7 +212570,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442933 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[18]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[18]),
@@ -216004,7 +212580,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442934 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[19]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[19]),
@@ -216014,7 +212590,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442935 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[20]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[20]),
@@ -216024,7 +212600,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442936 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[22]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[22]),
@@ -216034,7 +212610,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442937 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[24]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[24]),
@@ -216044,7 +212620,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442938 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[26]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[26]),
@@ -216054,7 +212630,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442939 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[28]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[28]),
@@ -216066,7 +212642,7 @@
    sky130_fd_sc_hd__a22o_1 g442940 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_583),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[24]),
 	.X(n_1646), 
 	.VPWR(vccd1), 
@@ -216074,7 +212650,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442941 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[30]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[30]),
@@ -216084,7 +212660,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442942 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[25]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[25]),
@@ -216104,27 +212680,27 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442944 (
-	.A1(n_1227),
-	.A2(FE_OFN306_u_soc_u_dccm_rdata1_14),
+	.A1(FE_OFN18087_n_1227),
+	.A2(FE_OFN424_u_soc_u_dccm_rdata1_14),
 	.B1(n_1230),
-	.B2(FE_OFN19385_u_soc_u_dccm_rdata2_14),
+	.B2(FE_PDN3723_FE_OFN465_u_soc_u_dccm_rdata2_14),
 	.Y(n_1642), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442945 (
-	.A1(n_1227),
-	.A2(FE_OFN311_u_soc_u_dccm_rdata1_9),
+	.A1(FE_OFN18087_n_1227),
+	.A2(FE_OFN19112_FE_OFN434_u_soc_u_dccm_rdata1_9),
 	.B1(n_1228),
-	.B2(FE_OFN18062_u_soc_u_dccm_rdata3_9),
+	.B2(u_soc_u_dccm_rdata3[9]),
 	.Y(n_1641), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442946 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[23]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[23]),
@@ -216135,9 +212711,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442947 (
 	.A1(n_1230),
-	.A2(FE_OFN19366_u_soc_u_dccm_rdata2_12),
+	.A2(FE_OFN467_u_soc_u_dccm_rdata2_12),
 	.B1(n_1228),
-	.B2(FE_OFN19380_u_soc_u_dccm_rdata3_12),
+	.B2(u_soc_u_dccm_rdata3[12]),
 	.Y(n_1639), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -216145,9 +212721,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442948 (
 	.A1(n_1228),
-	.A2(FE_OFN19348_u_soc_u_dccm_rdata3_14),
+	.A2(u_soc_u_dccm_rdata3[14]),
 	.B1(n_1224),
-	.B2(FE_OFN19565_u_soc_u_dccm_rdata4_14),
+	.B2(FE_OFN499_u_soc_u_dccm_rdata4_14),
 	.Y(n_1638), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -216155,16 +212731,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442949 (
 	.A1(n_1230),
-	.A2(FE_OFN19337_u_soc_u_dccm_rdata2_16),
+	.A2(FE_PDN3721_FE_OFN463_u_soc_u_dccm_rdata2_16),
 	.B1(n_1228),
-	.B2(FE_OFN18055_u_soc_u_dccm_rdata3_16),
+	.B2(u_soc_u_dccm_rdata3[16]),
 	.Y(n_1637), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442950 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[27]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[27]),
@@ -216174,10 +212750,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442951 (
-	.A1(n_1227),
-	.A2(FE_OFN304_u_soc_u_dccm_rdata1_16),
+	.A1(FE_OFN18087_n_1227),
+	.A2(FE_OFN18712_FE_OFN420_u_soc_u_dccm_rdata1_16),
 	.B1(n_1224),
-	.B2(FE_OFN19422_u_soc_u_dccm_rdata4_16),
+	.B2(FE_PDN3724_FE_OFN497_u_soc_u_dccm_rdata4_16),
 	.Y(n_1635), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -216185,9 +212761,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442952 (
 	.A1(n_1230),
-	.A2(FE_OFN19295_u_soc_u_dccm_rdata2_23),
+	.A2(FE_OFN456_u_soc_u_dccm_rdata2_23),
 	.B1(n_1224),
-	.B2(FE_OFN19115_u_soc_u_dccm_rdata4_23),
+	.B2(FE_OFN490_u_soc_u_dccm_rdata4_23),
 	.Y(n_1634), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -216196,7 +212772,7 @@
    sky130_fd_sc_hd__a22o_1 g442953 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_592),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[15]),
 	.X(n_1633), 
 	.VPWR(vccd1), 
@@ -216205,9 +212781,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442954 (
 	.A1(n_1230),
-	.A2(FE_OFN19423_u_soc_u_dccm_rdata2_17),
+	.A2(FE_OFN462_u_soc_u_dccm_rdata2_17),
 	.B1(n_1228),
-	.B2(FE_OFN18054_u_soc_u_dccm_rdata3_17),
+	.B2(u_soc_u_dccm_rdata3[17]),
 	.Y(n_1632), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -216215,9 +212791,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442955 (
 	.A1(n_1230),
-	.A2(FE_OFN330_u_soc_u_dccm_rdata2_22),
+	.A2(FE_OFN457_u_soc_u_dccm_rdata2_22),
 	.B1(n_1228),
-	.B2(FE_OFN18049_u_soc_u_dccm_rdata3_22),
+	.B2(u_soc_u_dccm_rdata3[22]),
 	.Y(n_1631), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -216234,7 +212810,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442957 (
-	.A1(FE_OFN883_n_1219),
+	.A1(FE_OFN1086_n_1219),
 	.A2(u_soc_u_top_u_core_csr_mepc[31]),
 	.B1(n_1221),
 	.B2(u_soc_u_top_u_core_csr_mtvec[31]),
@@ -216244,10 +212820,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22oi_1 g442958 (
-	.A1(n_1227),
-	.A2(FE_OFN299_u_soc_u_dccm_rdata1_21),
+	.A1(FE_OFN18087_n_1227),
+	.A2(FE_PDN3879_FE_OFN410_u_soc_u_dccm_rdata1_21),
 	.B1(n_1224),
-	.B2(FE_OFN18879_u_soc_u_dccm_rdata4_21),
+	.B2(FE_OFN492_u_soc_u_dccm_rdata4_21),
 	.Y(n_1628), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -216256,7 +212832,7 @@
    sky130_fd_sc_hd__a22o_1 g442959 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_589),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[18]),
 	.X(n_1627), 
 	.VPWR(vccd1), 
@@ -216266,7 +212842,7 @@
    sky130_fd_sc_hd__a22o_1 g442960 (
 	.A1(u_soc_u_uart_u_uart_core_rx_time_n_590),
 	.A2(n_1023),
-	.B1(FE_OFN817_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
+	.B1(FE_OFN1039_u_soc_u_uart_u_uart_core_rx_time_state_reg_1),
 	.B2(u_soc_u_uart_u_uart_core_rx_time_rx_time[17]),
 	.X(n_1626), 
 	.VPWR(vccd1), 
@@ -216274,7 +212850,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor4_1 g442961 (
-	.A(FE_OFN19533_n_577),
+	.A(FE_OFN19016_n_577),
 	.B(n_30),
 	.C(n_13476),
 	.D(n_13480),
@@ -216284,7 +212860,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g442962 (
-	.A(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.A(FE_PDN4101_u_soc_xbar_to_lsu_d_valid),
 	.B(n_1349),
 	.Y(n_1782), 
 	.VPWR(vccd1), 
@@ -216322,7 +212898,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g442966 (
 	.A1(u_soc_u_top_u_core_instr_first_cycle_id),
-	.A2(FE_PSN4381_FE_OFN1399_n_142),
+	.A2(u_soc_u_top_u_core_alu_operand_b_ex[3]),
 	.B1(n_1441),
 	.Y(n_1777), 
 	.VPWR(vccd1), 
@@ -216339,10 +212915,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442968 (
-	.A1(FE_OFN18627_n_495),
-	.A2(FE_OFN18893_u_soc_u_iccm_rdata4_4),
-	.B1(FE_OFN877_n_496),
-	.B2(FE_OFN613_u_soc_u_iccm_rdata1_4),
+	.A1(n_495),
+	.A2(FE_OFN817_u_soc_u_iccm_rdata4_4),
+	.B1(FE_OFN1080_n_496),
+	.B2(FE_OFN773_u_soc_u_iccm_rdata1_4),
 	.C1(n_1323),
 	.Y(n_1775), 
 	.VPWR(vccd1), 
@@ -216350,10 +212926,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442969 (
-	.A1(FE_OFN18627_n_495),
-	.A2(FE_OFN19448_u_soc_u_iccm_rdata4_20),
-	.B1(FE_OFN877_n_496),
-	.B2(FE_OFN597_u_soc_u_iccm_rdata1_20),
+	.A1(n_495),
+	.A2(FE_OFN800_u_soc_u_iccm_rdata4_20),
+	.B1(FE_OFN1080_n_496),
+	.B2(FE_OFN752_u_soc_u_iccm_rdata1_20),
 	.C1(n_1329),
 	.Y(n_1774), 
 	.VPWR(vccd1), 
@@ -216361,10 +212937,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442970 (
-	.A1(FE_OFN18627_n_495),
-	.A2(FE_OFN18967_u_soc_u_iccm_rdata4_5),
-	.B1(FE_OFN18637_n_15),
-	.B2(FE_OFN19306_u_soc_u_iccm_rdata2_5),
+	.A1(n_495),
+	.A2(FE_OFN816_u_soc_u_iccm_rdata4_5),
+	.B1(n_13360),
+	.B2(FE_OFN786_u_soc_u_iccm_rdata2_5),
 	.C1(n_1340),
 	.Y(n_1773), 
 	.VPWR(vccd1), 
@@ -216372,10 +212948,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442971 (
-	.A1(FE_OFN18627_n_495),
-	.A2(FE_OFN19454_u_soc_u_iccm_rdata4_19),
-	.B1(FE_OFN18637_n_15),
-	.B2(FE_OFN19379_u_soc_u_iccm_rdata2_19),
+	.A1(n_495),
+	.A2(FE_OFN801_u_soc_u_iccm_rdata4_19),
+	.B1(n_13360),
+	.B2(FE_OFN783_u_soc_u_iccm_rdata2_19),
 	.C1(n_1315),
 	.Y(n_1772), 
 	.VPWR(vccd1), 
@@ -216383,10 +212959,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442972 (
-	.A1(FE_OFN18627_n_495),
-	.A2(FE_OFN18963_u_soc_u_iccm_rdata4_2),
-	.B1(FE_OFN877_n_496),
-	.B2(FE_OFN616_u_soc_u_iccm_rdata1_2),
+	.A1(n_495),
+	.A2(FE_OFN819_u_soc_u_iccm_rdata4_2),
+	.B1(FE_OFN1080_n_496),
+	.B2(FE_PDN3877_FE_OFN776_u_soc_u_iccm_rdata1_2),
 	.C1(n_1325),
 	.Y(n_1771), 
 	.VPWR(vccd1), 
@@ -216395,9 +212971,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442973 (
 	.A1(n_495),
-	.A2(FE_OFN19457_u_soc_u_iccm_rdata4_21),
-	.B1(FE_PSN4140_n_14),
-	.B2(FE_OFN19563_u_soc_u_iccm_rdata3_21),
+	.A2(FE_OFN799_u_soc_u_iccm_rdata4_21),
+	.B1(FE_OFN1072_n_14),
+	.B2(u_soc_u_iccm_rdata3[21]),
 	.C1(n_1320),
 	.Y(n_1770), 
 	.VPWR(vccd1), 
@@ -216405,10 +212981,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442974 (
-	.A1(FE_OFN18627_n_495),
-	.A2(FE_OFN19130_u_soc_u_iccm_rdata4_6),
-	.B1(FE_OFN877_n_496),
-	.B2(FE_OFN611_u_soc_u_iccm_rdata1_6),
+	.A1(n_495),
+	.A2(FE_OFN814_u_soc_u_iccm_rdata4_6),
+	.B1(FE_OFN1080_n_496),
+	.B2(FE_OFN769_u_soc_u_iccm_rdata1_6),
 	.C1(n_1330),
 	.Y(n_1769), 
 	.VPWR(vccd1), 
@@ -216417,7 +212993,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g442975 (
 	.A(n_13452),
-	.B(FE_PSN4482_n_13477),
+	.B(n_13477),
 	.C(n_1258),
 	.Y(n_1768), 
 	.VPWR(vccd1), 
@@ -216425,10 +213001,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442976 (
-	.A1(FE_OFN18627_n_495),
-	.A2(FE_OFN18884_u_soc_u_iccm_rdata4_3),
-	.B1(FE_PSN4140_n_14),
-	.B2(FE_OFN18891_u_soc_u_iccm_rdata3_3),
+	.A1(n_495),
+	.A2(FE_OFN818_u_soc_u_iccm_rdata4_3),
+	.B1(FE_OFN1072_n_14),
+	.B2(u_soc_u_iccm_rdata3[3]),
 	.C1(n_1324),
 	.Y(n_1767), 
 	.VPWR(vccd1), 
@@ -216436,10 +213012,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442977 (
-	.A1(FE_OFN18627_n_495),
-	.A2(FE_OFN19117_u_soc_u_iccm_rdata4_7),
-	.B1(FE_OFN18637_n_15),
-	.B2(FE_OFN19353_u_soc_u_iccm_rdata2_7),
+	.A1(n_495),
+	.A2(FE_OFN813_u_soc_u_iccm_rdata4_7),
+	.B1(n_13360),
+	.B2(FE_OFN784_u_soc_u_iccm_rdata2_7),
 	.C1(n_1333),
 	.Y(n_1766), 
 	.VPWR(vccd1), 
@@ -216447,10 +213023,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442978 (
-	.A1(FE_OFN18627_n_495),
-	.A2(FE_OFN19165_u_soc_u_iccm_rdata4_22),
-	.B1(FE_OFN18637_n_15),
-	.B2(FE_OFN19334_u_soc_u_iccm_rdata2_22),
+	.A1(n_495),
+	.A2(FE_OFN798_u_soc_u_iccm_rdata4_22),
+	.B1(FE_OFN1073_n_15),
+	.B2(FE_OFN781_u_soc_u_iccm_rdata2_22),
 	.C1(n_1326),
 	.Y(n_1765), 
 	.VPWR(vccd1), 
@@ -216458,10 +213034,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442979 (
-	.A1(FE_OFN18627_n_495),
-	.A2(FE_OFN19471_u_soc_u_iccm_rdata4_23),
-	.B1(FE_PSN4140_n_14),
-	.B2(FE_OFN18860_u_soc_u_iccm_rdata3_23),
+	.A1(n_495),
+	.A2(FE_OFN797_u_soc_u_iccm_rdata4_23),
+	.B1(FE_OFN1072_n_14),
+	.B2(u_soc_u_iccm_rdata3[23]),
 	.C1(n_1336),
 	.Y(n_1764), 
 	.VPWR(vccd1), 
@@ -216470,9 +213046,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221oi_1 g442980 (
 	.A1(n_495),
-	.A2(FE_OFN19455_u_soc_u_iccm_rdata4_18),
-	.B1(FE_PSN4140_n_14),
-	.B2(FE_OFN19397_u_soc_u_iccm_rdata3_18),
+	.A2(FE_OFN802_u_soc_u_iccm_rdata4_18),
+	.B1(FE_OFN1072_n_14),
+	.B2(u_soc_u_iccm_rdata3[18]),
 	.C1(n_1342),
 	.Y(n_1763), 
 	.VPWR(vccd1), 
@@ -216480,7 +213056,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4b_1 g442981 (
-	.A_N(n_10345),
+	.A_N(FE_PDN4080_FE_OFN1663_u_soc_dccm_adapter_data_mem_error_internal),
 	.B(n_15902),
 	.C(n_13882),
 	.D(n_641),
@@ -216490,7 +213066,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4b_1 g442982 (
-	.A_N(FE_COEN4566_FE_OFN18445_n_15897),
+	.A_N(FE_OFN18459_n),
 	.B(n_15901),
 	.C(n_13884),
 	.D(n_641),
@@ -216509,8 +213085,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g442984 (
-	.A(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
-	.B(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.A(FE_PDN4094_FE_OFN909_u_soc_main_swith_host_lsu_dev_select_outstanding_0),
+	.B(FE_PDN19250_n),
 	.C(n_1246),
 	.Y(n_1759), 
 	.VPWR(vccd1), 
@@ -216564,7 +213140,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21boi_1 g442990 (
 	.A1(n_15899),
-	.A2(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.A2(FE_PDN4101_u_soc_xbar_to_lsu_d_valid),
 	.B1_N(n_1301),
 	.Y(n_1751), 
 	.VPWR(vccd1), 
@@ -216574,7 +213150,7 @@
    sky130_fd_sc_hd__and3b_2 g442991 (
 	.A_N(n_13478),
 	.B(n_1065),
-	.C(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.C(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2),
 	.X(n_1749), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -216583,7 +213159,7 @@
    sky130_fd_sc_hd__or4b_2 g442992 (
 	.A(n_657),
 	.B(u_soc_main_swith_host_lsu_err_resp_err_opcode[0]),
-	.C(n_646),
+	.C(FE_PDN4073_FE_RN_105_0),
 	.D_N(u_soc_main_swith_host_lsu_err_resp_err_opcode[2]),
 	.X(n_1747), 
 	.VPWR(vccd1), 
@@ -216609,7 +213185,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__mux2_2 g442995 (
-	.A0(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.A0(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2),
 	.A1(n_12),
 	.S(n_15935),
 	.X(n_1744), 
@@ -216618,7 +213194,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__mux2_2 g442996 (
-	.A0(FE_PSN4402_n_15943),
+	.A0(n_15943),
 	.A1(n_17),
 	.S(n_1169),
 	.X(n_1742), 
@@ -216683,21 +213259,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g443006 (
-	.A(FE_OFN885_n_1518),
+	.A(FE_OFN1090_n_1518),
 	.Y(n_1517), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g443007 (
-	.A(FE_OFN1606_n_1516),
+	.A(FE_OFN1730_n_1516),
 	.Y(n_1515), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443010 (
-	.A(FE_OFN882_n_1214),
+	.A(FE_OFN1085_n_1214),
 	.B(u_soc_u_top_u_core_cs_registers_i_mscratch_q[17]),
 	.Y(n_1512), 
 	.VPWR(vccd1), 
@@ -216705,7 +213281,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443011 (
-	.A(n_1233),
+	.A(FE_OFN1727_n_1233),
 	.B(\u_soc_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
 	.Y(n_1511), 
 	.VPWR(vccd1), 
@@ -216730,7 +213306,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443014 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13755),
 	.Y(n_1508), 
 	.VPWR(vccd1), 
@@ -216738,7 +213314,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443015 (
-	.A(FE_OFN882_n_1214),
+	.A(FE_OFN1085_n_1214),
 	.B(u_soc_u_top_u_core_cs_registers_i_mscratch_q[21]),
 	.Y(n_1507), 
 	.VPWR(vccd1), 
@@ -216746,7 +213322,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443016 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13769),
 	.Y(n_1506), 
 	.VPWR(vccd1), 
@@ -216754,7 +213330,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443017 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13764),
 	.Y(n_1505), 
 	.VPWR(vccd1), 
@@ -216762,7 +213338,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443018 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13763),
 	.Y(n_1504), 
 	.VPWR(vccd1), 
@@ -216770,7 +213346,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443019 (
-	.A(n_1233),
+	.A(FE_OFN1727_n_1233),
 	.B(u_soc_u_top_u_core_debug_single_step),
 	.Y(n_1503), 
 	.VPWR(vccd1), 
@@ -216778,7 +213354,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443020 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13756),
 	.Y(n_1502), 
 	.VPWR(vccd1), 
@@ -216786,7 +213362,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443021 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13761),
 	.Y(n_1501), 
 	.VPWR(vccd1), 
@@ -216794,7 +213370,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443022 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13765),
 	.Y(n_1500), 
 	.VPWR(vccd1), 
@@ -216802,7 +213378,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443023 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13766),
 	.Y(n_1499), 
 	.VPWR(vccd1), 
@@ -216810,7 +213386,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443024 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13767),
 	.Y(n_1498), 
 	.VPWR(vccd1), 
@@ -216818,7 +213394,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443025 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13770),
 	.Y(n_1497), 
 	.VPWR(vccd1), 
@@ -216834,7 +213410,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443027 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13773),
 	.Y(n_1495), 
 	.VPWR(vccd1), 
@@ -216851,7 +213427,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443029 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13760),
 	.Y(n_1493), 
 	.VPWR(vccd1), 
@@ -216859,7 +213435,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443030 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13757),
 	.Y(n_1492), 
 	.VPWR(vccd1), 
@@ -216875,7 +213451,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443032 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13759),
 	.Y(n_1490), 
 	.VPWR(vccd1), 
@@ -216891,7 +213467,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443034 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13772),
 	.Y(n_1488), 
 	.VPWR(vccd1), 
@@ -216899,7 +213475,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443035 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13762),
 	.Y(n_1487), 
 	.VPWR(vccd1), 
@@ -216923,7 +213499,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443038 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13758),
 	.Y(n_1484), 
 	.VPWR(vccd1), 
@@ -216931,7 +213507,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443039 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13754),
 	.Y(n_1483), 
 	.VPWR(vccd1), 
@@ -216939,7 +213515,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443040 (
-	.A(FE_OFN1402_n_1218),
+	.A(FE_OFN1444_n_1218),
 	.B(n_13768),
 	.Y(n_1482), 
 	.VPWR(vccd1), 
@@ -217524,14 +214100,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443117 (
 	.A(n_1244),
-	.B(FE_OFN18318_n_15946),
+	.B(FE_OFN18428_n),
 	.Y(n_1563), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443118 (
-	.A(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.A(FE_OFN18451_u_soc_u_top_u_core_multdiv_operator_ex_0),
 	.B(n_1263),
 	.Y(n_1562), 
 	.VPWR(vccd1), 
@@ -217587,7 +214163,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443128 (
-	.A(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.A(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2),
 	.B(n_1095),
 	.Y(n_1553), 
 	.VPWR(vccd1), 
@@ -217668,7 +214244,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443138 (
 	.A(n_1267),
-	.B(FE_OFN31_io_out_37),
+	.B(FE_OFN15_io_out_37),
 	.Y(n_1541), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -217699,7 +214275,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443144 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(n_1270),
 	.Y(n_1534), 
 	.VPWR(vccd1), 
@@ -217715,7 +214291,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443146 (
-	.A(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.A(FE_OFN18214_u_soc_xbar_to_dccm_a_address__2),
 	.B(n_1053),
 	.Y(n_1532), 
 	.VPWR(vccd1), 
@@ -217779,7 +214355,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443154 (
-	.A(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.A(FE_OFN18510_n),
 	.B(n_1260),
 	.Y(n_1520), 
 	.VPWR(vccd1), 
@@ -217900,9 +214476,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443171 (
-	.A1_N(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.A1_N(FE_OFN18276_u_soc_u_top_u_core_alu_operand_b_ex_6),
 	.A2_N(n_118),
-	.B1(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.B1(FE_OFN18276_u_soc_u_top_u_core_alu_operand_b_ex_6),
 	.B2(n_118),
 	.Y(n_1403), 
 	.VPWR(vccd1), 
@@ -217910,7 +214486,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__xor2_1 g443172 (
-	.A(FE_OFN18322_u_soc_u_top_u_core_alu_operand_b_ex_11),
+	.A(FE_OFN18472_u_soc_u_top_u_core_alu_operand_b_ex_11),
 	.B(n_13506),
 	.X(n_1402), 
 	.VPWR(vccd1), 
@@ -217946,10 +214522,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443176 (
-	.A1_N(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
-	.A2_N(FE_OFN18257_n_619),
-	.B1(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
-	.B2(FE_OFN18257_n_619),
+	.A1_N(FE_OFN1387_u_soc_u_top_u_core_alu_operand_b_ex_20),
+	.A2_N(FE_OFN18122_n_619),
+	.B1(FE_OFN1387_u_soc_u_top_u_core_alu_operand_b_ex_20),
+	.B2(FE_OFN18122_n_619),
 	.Y(n_1398), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -217967,9 +214543,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443178 (
 	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[19]),
-	.A2_N(FE_OFN18206_n_638),
+	.A2_N(FE_OFN18383_n_638),
 	.B1(u_soc_u_top_u_core_alu_operand_b_ex[19]),
-	.B2(FE_OFN18206_n_638),
+	.B2(FE_OFN18383_n_638),
 	.Y(n_1396), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -217986,10 +214562,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443180 (
-	.A1_N(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16),
-	.A2_N(FE_OFN18235_n_130),
-	.B1(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16),
-	.B2(FE_OFN18235_n_130),
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[16]),
+	.A2_N(FE_OFN18132_n_130),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[16]),
+	.B2(FE_OFN18132_n_130),
 	.Y(n_1394), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -217997,9 +214573,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443181 (
 	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[29]),
-	.A2_N(FE_OFN18226_n_639),
+	.A2_N(FE_OFN18137_n_639),
 	.B1(u_soc_u_top_u_core_alu_operand_b_ex[29]),
-	.B2(FE_OFN18226_n_639),
+	.B2(FE_OFN18137_n_639),
 	.Y(n_1393), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218016,9 +214592,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g443183 (
-	.A1(FE_OFN18223_n_109),
-	.A2(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
-	.B1(FE_PSN4142_n_13502),
+	.A1(FE_OFN18108_n_109),
+	.A2(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.B1(n_13502),
 	.B2(n_494),
 	.Y(n_1391), 
 	.VPWR(vccd1), 
@@ -218027,9 +214603,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443184 (
 	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[23]),
-	.A2_N(FE_OFN18211_n_620),
+	.A2_N(FE_OFN18104_n_620),
 	.B1(u_soc_u_top_u_core_alu_operand_b_ex[23]),
-	.B2(FE_OFN18211_n_620),
+	.B2(FE_OFN18104_n_620),
 	.Y(n_1390), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218037,9 +214613,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443185 (
 	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[15]),
-	.A2_N(FE_OFN18252_n_625),
+	.A2_N(FE_OFN18139_n_625),
 	.B1(u_soc_u_top_u_core_alu_operand_b_ex[15]),
-	.B2(FE_OFN18252_n_625),
+	.B2(FE_OFN18139_n_625),
 	.Y(n_1389), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218047,9 +214623,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443186 (
 	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[14]),
-	.A2_N(FE_OFN18225_n_616),
+	.A2_N(FE_OFN18127_n_616),
 	.B1(u_soc_u_top_u_core_alu_operand_b_ex[14]),
-	.B2(FE_OFN18225_n_616),
+	.B2(FE_OFN18127_n_616),
 	.Y(n_1388), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218072,9 +214648,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a2bb2oi_1 g443189 (
-	.A1_N(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.A1_N(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10),
 	.A2_N(n_494),
-	.B1(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.B1(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10),
 	.B2(n_494),
 	.Y(n_1385), 
 	.VPWR(vccd1), 
@@ -218083,8 +214659,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g443190 (
 	.A1(n_110),
-	.A2(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
-	.B1(FE_PSN4416_n_13497),
+	.A2(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.B1(n_13497),
 	.B2(n_12),
 	.Y(n_1384), 
 	.VPWR(vccd1), 
@@ -218094,7 +214670,7 @@
    sky130_fd_sc_hd__a22o_1 g443191 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[27]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [27]),
 	.X(n_1383), 
 	.VPWR(vccd1), 
@@ -218102,10 +214678,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443192 (
-	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[13]),
-	.A2_N(FE_OFN18214_n_135),
-	.B1(u_soc_u_top_u_core_alu_operand_b_ex[13]),
-	.B2(FE_OFN18214_n_135),
+	.A1_N(FE_PSN3965_u_soc_u_top_u_core_alu_operand_b_ex_13),
+	.A2_N(FE_OFN18103_n_135),
+	.B1(FE_PSN3965_u_soc_u_top_u_core_alu_operand_b_ex_13),
+	.B2(FE_OFN18103_n_135),
 	.Y(n_1382), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218113,7 +214689,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443193 (
 	.A(n_1188),
-	.B(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
+	.B(FE_OFN18156_u_soc_u_top_u_core_alu_operator_ex_0),
 	.Y(n_1381), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218130,7 +214706,7 @@
    sky130_fd_sc_hd__a22o_1 g443195 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[17]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [17]),
 	.X(n_1379), 
 	.VPWR(vccd1), 
@@ -218138,10 +214714,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443196 (
-	.A1_N(FE_COEN4301_u_soc_u_top_u_core_alu_operand_b_ex_12),
-	.A2_N(FE_OFN18234_n_113),
-	.B1(FE_COEN4301_u_soc_u_top_u_core_alu_operand_b_ex_12),
-	.B2(FE_OFN18234_n_113),
+	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[12]),
+	.A2_N(FE_OFN18148_n_113),
+	.B1(u_soc_u_top_u_core_alu_operand_b_ex[12]),
+	.B2(FE_OFN18148_n_113),
 	.Y(n_1378), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218168,7 +214744,7 @@
    sky130_fd_sc_hd__o21a_1 g443199 (
 	.A1(u_soc_u_top_u_core_core_busy_q),
 	.A2(\u_soc_u_top_u_core_irqs[irq_external] ),
-	.B1(u_soc_u_top_u_core_fetch_enable_q),
+	.B1(FE_PDN3783_u_soc_u_top_u_core_fetch_enable_q),
 	.X(n_1375), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218184,8 +214760,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a21oi_1 g443201 (
-	.A1(FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2),
-	.A2(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
+	.A1(u_soc_u_top_u_core_alu_operator_ex[2]),
+	.A2(FE_OFN18156_u_soc_u_top_u_core_alu_operator_ex_0),
 	.B1(n_13455),
 	.Y(n_1373), 
 	.VPWR(vccd1), 
@@ -218194,9 +214770,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g443202 (
 	.A1(n_617),
-	.A2(FE_PSN4343_u_soc_u_top_u_core_alu_operand_b_ex_3),
+	.A2(FE_OFN18113_n_142),
 	.B1(n_13498),
-	.B2(FE_PSN4381_FE_OFN1399_n_142),
+	.B2(u_soc_u_top_u_core_alu_operand_b_ex[3]),
 	.Y(n_1372), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218205,7 +214781,7 @@
    sky130_fd_sc_hd__a22o_1 g443203 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[16]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [16]),
 	.X(n_1371), 
 	.VPWR(vccd1), 
@@ -218232,9 +214808,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443206 (
 	.A1_N(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
-	.A2_N(n_13380),
+	.A2_N(FE_OFN1266_n_13380),
 	.B1(u_soc_u_top_u_core_id_stage_i_lsu_req_dec),
-	.B2(n_13380),
+	.B2(FE_OFN1266_n_13380),
 	.Y(n_1368), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218260,7 +214836,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g443209 (
-	.A1(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.A1(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10),
 	.A2(n_133),
 	.B1(n_13505),
 	.B2(n_114),
@@ -218272,7 +214848,7 @@
    sky130_fd_sc_hd__a22o_1 g443210 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[10]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [10]),
 	.X(n_1364), 
 	.VPWR(vccd1), 
@@ -218291,9 +214867,9 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443212 (
 	.A1_N(u_soc_u_top_u_core_alu_operand_b_ex[30]),
-	.A2_N(FE_OFN18220_n_119),
+	.A2_N(FE_OFN18126_n_119),
 	.B1(u_soc_u_top_u_core_alu_operand_b_ex[30]),
-	.B2(FE_OFN18220_n_119),
+	.B2(FE_OFN18126_n_119),
 	.Y(n_1362), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218320,7 +214896,7 @@
    sky130_fd_sc_hd__a22o_1 g443215 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[23]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [23]),
 	.X(n_1359), 
 	.VPWR(vccd1), 
@@ -218337,9 +214913,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443217 (
-	.A1_N(FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9),
+	.A1_N(FE_OFN18252_u_soc_u_top_u_core_alu_operand_b_ex_9),
 	.A2_N(n_127),
-	.B1(FE_OFN18499_u_soc_u_top_u_core_alu_operand_b_ex_9),
+	.B1(FE_OFN18252_u_soc_u_top_u_core_alu_operand_b_ex_9),
 	.B2(n_127),
 	.Y(n_1357), 
 	.VPWR(vccd1), 
@@ -218378,7 +214954,7 @@
    sky130_fd_sc_hd__a22o_1 g443221 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[18]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [18]),
 	.X(n_1353), 
 	.VPWR(vccd1), 
@@ -218395,10 +214971,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g443223 (
-	.A1(FE_OFN19533_n_577),
+	.A1(FE_OFN19016_n_577),
 	.A2(n_117),
-	.B1(FE_COEN4300_n_13499),
-	.B2(u_soc_u_top_u_core_alu_operand_b_ex[4]),
+	.B1(n_13499),
+	.B2(FE_OFN18101_n_577),
 	.Y(n_1351), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218444,19 +215020,19 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 g443228 (
-	.A1_N(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8),
-	.A2_N(FE_OFN18215_n_116),
-	.B1(FE_OFN18429_u_soc_u_top_u_core_alu_operand_b_ex_8),
-	.B2(FE_OFN18215_n_116),
+	.A1_N(FE_OFN18327_u_soc_u_top_u_core_alu_operand_b_ex_8),
+	.A2_N(FE_OFN18371_n_116),
+	.B1(FE_OFN18327_u_soc_u_top_u_core_alu_operand_b_ex_8),
+	.B2(FE_OFN18371_n_116),
 	.Y(n_1346), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g443229 (
-	.A1(FE_OFN18442_n_112),
-	.A2(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
-	.B1(FE_PSN4352_n_13500),
+	.A1(FE_OFN18370_n_112),
+	.A2(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.B1(n_13500),
 	.B2(n_30),
 	.Y(n_1345), 
 	.VPWR(vccd1), 
@@ -218465,8 +215041,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g443230 (
 	.A1(n_634),
-	.A2(FE_PSBN19826_n_15943),
-	.B1(FE_COEN4313_n_13496),
+	.A2(n_15943),
+	.B1(n_13496),
 	.B2(n_17),
 	.Y(n_1344), 
 	.VPWR(vccd1), 
@@ -218482,11 +215058,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g443232 (
-	.A1(FE_PSN4125_n_15),
-	.A2(FE_OFN19403_u_soc_u_iccm_rdata2_18),
-	.B1(FE_COEN4331_n_496),
-	.B2(FE_OFN599_u_soc_u_iccm_rdata1_18),
+   sky130_fd_sc_hd__a22o_2 g443232 (
+	.A1(FE_OFN1073_n_15),
+	.A2(u_soc_u_iccm_rdata2[18]),
+	.B1(FE_OFN1079_n_496),
+	.B2(FE_OFN755_u_soc_u_iccm_rdata1_18),
 	.X(n_1342), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218502,10 +215078,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g443234 (
-	.A1(FE_PSN4140_n_14),
-	.A2(FE_OFN18150_u_soc_u_iccm_rdata3_5),
-	.B1(FE_OFN877_n_496),
-	.B2(FE_OFN612_u_soc_u_iccm_rdata1_5),
+	.A1(FE_OFN1072_n_14),
+	.A2(u_soc_u_iccm_rdata3[5]),
+	.B1(FE_OFN1080_n_496),
+	.B2(FE_OFN771_u_soc_u_iccm_rdata1_5),
 	.X(n_1340), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218514,7 +215090,7 @@
    sky130_fd_sc_hd__a22o_1 g443235 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[15]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [15]),
 	.X(n_1339), 
 	.VPWR(vccd1), 
@@ -218524,7 +215100,7 @@
    sky130_fd_sc_hd__a22o_1 g443236 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[13]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [13]),
 	.X(n_1338), 
 	.VPWR(vccd1), 
@@ -218541,11 +215117,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g443238 (
-	.A1(FE_PSN4125_n_15),
-	.A2(FE_OFN19426_u_soc_u_iccm_rdata2_23),
-	.B1(FE_COEN4331_n_496),
-	.B2(FE_OFN594_u_soc_u_iccm_rdata1_23),
+   sky130_fd_sc_hd__a22o_2 g443238 (
+	.A1(FE_OFN1073_n_15),
+	.A2(u_soc_u_iccm_rdata2[23]),
+	.B1(FE_OFN1079_n_496),
+	.B2(FE_PDN3742_FE_OFN747_u_soc_u_iccm_rdata1_23),
 	.X(n_1336), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218564,7 +215140,7 @@
    sky130_fd_sc_hd__a22o_1 g443240 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[26]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [26]),
 	.X(n_1334), 
 	.VPWR(vccd1), 
@@ -218572,10 +215148,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g443241 (
-	.A1(FE_PSN4140_n_14),
-	.A2(FE_OFN18148_u_soc_u_iccm_rdata3_7),
-	.B1(FE_OFN877_n_496),
-	.B2(FE_OFN610_u_soc_u_iccm_rdata1_7),
+	.A1(FE_OFN1072_n_14),
+	.A2(u_soc_u_iccm_rdata3[7]),
+	.B1(FE_OFN1080_n_496),
+	.B2(FE_OFN767_u_soc_u_iccm_rdata1_7),
 	.X(n_1333), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218584,7 +215160,7 @@
    sky130_fd_sc_hd__a22o_1 g443242 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[20]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [20]),
 	.X(n_1332), 
 	.VPWR(vccd1), 
@@ -218594,7 +215170,7 @@
    sky130_fd_sc_hd__a22o_1 g443243 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[11]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [11]),
 	.X(n_1331), 
 	.VPWR(vccd1), 
@@ -218602,20 +215178,20 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g443244 (
-	.A1(FE_PSN4140_n_14),
-	.A2(FE_OFN18939_u_soc_u_iccm_rdata3_6),
-	.B1(FE_COEN4872_n_15),
-	.B2(FE_OFN19400_u_soc_u_iccm_rdata2_6),
+	.A1(FE_OFN1072_n_14),
+	.A2(u_soc_u_iccm_rdata3[6]),
+	.B1(n_13360),
+	.B2(FE_OFN785_u_soc_u_iccm_rdata2_6),
 	.X(n_1330), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g443245 (
-	.A1(FE_PSN4140_n_14),
-	.A2(FE_OFN19010_u_soc_u_iccm_rdata3_20),
-	.B1(FE_OFN18637_n_15),
-	.B2(FE_OFN19355_u_soc_u_iccm_rdata2_20),
+	.A1(FE_OFN1072_n_14),
+	.A2(u_soc_u_iccm_rdata3[20]),
+	.B1(n_13360),
+	.B2(FE_OFN782_u_soc_u_iccm_rdata2_20),
 	.X(n_1329), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218624,7 +215200,7 @@
    sky130_fd_sc_hd__a22o_1 g443246 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[31]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [31]),
 	.X(n_1328), 
 	.VPWR(vccd1), 
@@ -218642,40 +215218,40 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g443248 (
-	.A1(FE_PSN4140_n_14),
-	.A2(FE_OFN18133_u_soc_u_iccm_rdata3_22),
-	.B1(FE_OFN877_n_496),
-	.B2(FE_OFN595_u_soc_u_iccm_rdata1_22),
+	.A1(FE_OFN1072_n_14),
+	.A2(u_soc_u_iccm_rdata3[22]),
+	.B1(FE_OFN1080_n_496),
+	.B2(FE_OFN749_u_soc_u_iccm_rdata1_22),
 	.X(n_1326), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g443249 (
-	.A1(FE_PSN4140_n_14),
-	.A2(FE_OFN667_u_soc_u_iccm_rdata3_2),
-	.B1(FE_OFN18637_n_15),
-	.B2(FE_OFN19284_u_soc_u_iccm_rdata2_2),
+	.A1(FE_OFN1072_n_14),
+	.A2(u_soc_u_iccm_rdata3[2]),
+	.B1(n_13360),
+	.B2(FE_OFN788_u_soc_u_iccm_rdata2_2),
 	.X(n_1325), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g443250 (
-	.A1(FE_PSN4125_n_15),
-	.A2(FE_OFN19330_u_soc_u_iccm_rdata2_3),
-	.B1(FE_COEN4874_n_496),
-	.B2(FE_OFN614_u_soc_u_iccm_rdata1_3),
+   sky130_fd_sc_hd__a22o_2 g443250 (
+	.A1(FE_OFN1073_n_15),
+	.A2(u_soc_u_iccm_rdata2[3]),
+	.B1(FE_OFN1079_n_496),
+	.B2(FE_OFN774_u_soc_u_iccm_rdata1_3),
 	.X(n_1324), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g443251 (
-	.A1(FE_PSN4140_n_14),
-	.A2(FE_OFN18898_u_soc_u_iccm_rdata3_4),
-	.B1(FE_OFN18637_n_15),
-	.B2(FE_OFN19321_u_soc_u_iccm_rdata2_4),
+	.A1(FE_OFN1072_n_14),
+	.A2(u_soc_u_iccm_rdata3[4]),
+	.B1(n_13360),
+	.B2(FE_OFN787_u_soc_u_iccm_rdata2_4),
 	.X(n_1323), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218684,7 +215260,7 @@
    sky130_fd_sc_hd__a22o_1 g443252 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[28]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [28]),
 	.X(n_1322), 
 	.VPWR(vccd1), 
@@ -218694,18 +215270,18 @@
    sky130_fd_sc_hd__a22o_1 g443253 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[14]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [14]),
 	.X(n_1321), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22o_1 g443254 (
-	.A1(FE_PSN4125_n_15),
-	.A2(FE_OFN19322_u_soc_u_iccm_rdata2_21),
-	.B1(FE_COEN4874_n_496),
-	.B2(FE_OFN596_u_soc_u_iccm_rdata1_21),
+   sky130_fd_sc_hd__a22o_2 g443254 (
+	.A1(FE_OFN1073_n_15),
+	.A2(u_soc_u_iccm_rdata2[21]),
+	.B1(FE_OFN1079_n_496),
+	.B2(FE_PDN3708_FE_OFN750_u_soc_u_iccm_rdata1_21),
 	.X(n_1320), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218714,7 +215290,7 @@
    sky130_fd_sc_hd__a22o_1 g443255 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[12]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [12]),
 	.X(n_1319), 
 	.VPWR(vccd1), 
@@ -218724,7 +215300,7 @@
    sky130_fd_sc_hd__a22o_1 g443256 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[19]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [19]),
 	.X(n_1318), 
 	.VPWR(vccd1), 
@@ -218734,7 +215310,7 @@
    sky130_fd_sc_hd__a22o_1 g443257 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[21]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [21]),
 	.X(n_1317), 
 	.VPWR(vccd1), 
@@ -218744,7 +215320,7 @@
    sky130_fd_sc_hd__a22o_1 g443258 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[25]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [25]),
 	.X(n_1316), 
 	.VPWR(vccd1), 
@@ -218752,10 +215328,10 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g443259 (
-	.A1(FE_PSN4140_n_14),
-	.A2(FE_OFN19015_u_soc_u_iccm_rdata3_19),
-	.B1(FE_OFN877_n_496),
-	.B2(FE_OFN598_u_soc_u_iccm_rdata1_19),
+	.A1(FE_OFN1072_n_14),
+	.A2(u_soc_u_iccm_rdata3[19]),
+	.B1(FE_OFN1080_n_496),
+	.B2(FE_OFN18719_FE_OFN754_u_soc_u_iccm_rdata1_19),
 	.X(n_1315), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218764,7 +215340,7 @@
    sky130_fd_sc_hd__a22oi_1 g443260 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[7]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [7]),
 	.Y(n_1314), 
 	.VPWR(vccd1), 
@@ -218774,7 +215350,7 @@
    sky130_fd_sc_hd__a22o_1 g443261 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[9]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [9]),
 	.X(n_1313), 
 	.VPWR(vccd1), 
@@ -218784,7 +215360,7 @@
    sky130_fd_sc_hd__a22o_1 g443262 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[30]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [30]),
 	.X(n_1312), 
 	.VPWR(vccd1), 
@@ -218804,8 +215380,8 @@
    sky130_fd_sc_hd__a22o_1 g443264 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[24]),
-	.B1(n_13558),
-	.B2(\u_soc_uart_to_xbar[d_data] [24]),
+	.B1(FE_OFN1657_n_13558),
+	.B2(FE_OFN1016_u_soc_uart_to_xbar_d_data__24),
 	.X(n_1310), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -218814,7 +215390,7 @@
    sky130_fd_sc_hd__a22o_1 g443265 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[29]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [29]),
 	.X(n_1309), 
 	.VPWR(vccd1), 
@@ -218824,7 +215400,7 @@
    sky130_fd_sc_hd__a22o_1 g443266 (
 	.A1(n_13303),
 	.A2(u_soc_u_uart_u_uart_core_rx_val[22]),
-	.B1(n_13558),
+	.B1(FE_OFN1657_n_13558),
 	.B2(\u_soc_uart_to_xbar[d_data] [22]),
 	.X(n_1308), 
 	.VPWR(vccd1), 
@@ -218833,7 +215409,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22a_1 g443267 (
 	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [2]),
-	.A2(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN18510_n),
 	.B1(n_13531),
 	.B2(n_6),
 	.X(n_1307), 
@@ -218843,7 +215419,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g443268 (
 	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [4]),
-	.A2(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN18510_n),
 	.B1(n_13532),
 	.B2(n_6),
 	.Y(n_1467), 
@@ -218853,7 +215429,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g443269 (
 	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [10]),
-	.A2(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN18510_n),
 	.B1(n_13529),
 	.B2(n_6),
 	.Y(n_1306), 
@@ -218863,7 +215439,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g443270 (
 	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [8]),
-	.A2(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN18510_n),
 	.B1(n_13527),
 	.B2(n_6),
 	.Y(n_1466), 
@@ -218873,7 +215449,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o22ai_1 g443271 (
 	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fetch_addr_q [6]),
-	.A2(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN18510_n),
 	.B1(n_13533),
 	.B2(n_6),
 	.Y(n_1465), 
@@ -218893,7 +215469,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g443273 (
 	.A(n_534),
-	.B(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.B(FE_OFN18213_u_soc_xbar_to_dccm_a_address__3),
 	.C(n_13558),
 	.Y(n_1463), 
 	.VPWR(vccd1), 
@@ -218901,7 +215477,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g443274 (
-	.A(FE_OFN18502_u_soc_u_top_u_core_alu_operand_b_ex_6),
+	.A(FE_OFN18276_u_soc_u_top_u_core_alu_operand_b_ex_6),
 	.B(n_114),
 	.C(n_1222),
 	.Y(n_1462), 
@@ -218928,7 +215504,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g443277 (
-	.A(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.A(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
 	.B(n_633),
 	.C(n_13481),
 	.Y(n_1459), 
@@ -218937,7 +215513,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g443278 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
 	.C(u_soc_dccm_adapter_data_mem_u_rspfifo_n_125),
 	.Y(n_1458), 
@@ -218947,7 +215523,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g443279 (
 	.A1(n_472),
-	.A2(FE_OFN1398_n_141),
+	.A2(FE_OFN1439_n_141),
 	.B1(n_1106),
 	.Y(n_1456), 
 	.VPWR(vccd1), 
@@ -218965,7 +215541,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 g443281 (
 	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_discard_req_q ),
-	.A2(FE_OCPN19569_u_soc_u_top_u_core_pc_set),
+	.A2(FE_OFN18510_n),
 	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
 	.Y(n_1454), 
 	.VPWR(vccd1), 
@@ -219021,7 +215597,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443288 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_1234),
 	.Y(n_1447), 
 	.VPWR(vccd1), 
@@ -219046,7 +215622,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443291 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_1034),
 	.Y(n_1444), 
 	.VPWR(vccd1), 
@@ -219054,7 +215630,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443292 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_1031),
 	.Y(n_1443), 
 	.VPWR(vccd1), 
@@ -219062,7 +215638,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443293 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(n_1237),
 	.Y(n_1442), 
 	.VPWR(vccd1), 
@@ -219070,7 +215646,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21bai_1 g443294 (
-	.A1(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.A1(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2),
 	.A2(n_13484),
 	.B1_N(u_soc_u_top_u_core_instr_first_cycle_id),
 	.Y(n_1441), 
@@ -219122,7 +215698,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443301 (
 	.A(n_1054),
-	.B(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.B(FE_OFN18214_u_soc_xbar_to_dccm_a_address__2),
 	.Y(n_1434), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219139,7 +215715,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g443303 (
-	.A(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.A(FE_OFN904_u_soc_xbar_to_dccm_a_address__4),
 	.B(n_555),
 	.C(n_13373),
 	.Y(n_1432), 
@@ -219148,9 +215724,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g443304 (
-	.A(FE_OFN18462_u_soc_u_top_u_core_alu_operator_ex_0),
-	.B(FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2),
-	.C(FE_PSN4149_FE_OFN1398_n_141),
+	.A(FE_OFN18156_u_soc_u_top_u_core_alu_operator_ex_0),
+	.B(u_soc_u_top_u_core_alu_operator_ex[2]),
+	.C(FE_OFN1439_n_141),
 	.Y(n_1431), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219159,7 +215735,7 @@
    sky130_fd_sc_hd__nand3_1 g443305 (
 	.A(n_13878),
 	.B(n_657),
-	.C(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
+	.C(FE_PDN4094_FE_OFN909_u_soc_main_swith_host_lsu_dev_select_outstanding_0),
 	.Y(n_1429), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219174,9 +215750,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor3_1 g443307 (
-	.A(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
-	.B(FE_OFN18488_n_15943),
-	.C(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.A(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18432_n_15943),
+	.C(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2),
 	.Y(n_1426), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219232,8 +215808,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443314 (
-	.A(FE_OFN18313_n_1223),
-	.B(n_1233),
+	.A(n_1223),
+	.B(FE_OFN1727_n_1233),
 	.Y(n_1415), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219259,16 +215835,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443317 (
 	.A(n_1282),
-	.B(n_1213),
+	.B(FE_OFN1084_n_1213),
 	.Y(n_1412), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and3_2 g443318 (
-	.A(n_646),
+	.A(FE_PDN4073_FE_RN_105_0),
 	.B(\u_soc_uart_to_xbar[d_opcode] [0]),
-	.C(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.C(FE_PDN19250_n),
 	.X(n_1411), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219276,8 +215852,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and3b_2 g443319 (
 	.A_N(n_13485),
-	.B(FE_OFN18488_n_15943),
-	.C(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18432_n_15943),
+	.C(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.X(n_1410), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219285,22 +215861,22 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and3b_2 g443320 (
 	.A_N(n_13483),
-	.B(FE_OFN18488_n_15943),
-	.C(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18432_n_15943),
+	.C(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.X(n_1409), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443321 (
-	.A(FE_OFN18313_n_1223),
-	.B(FE_OFN882_n_1214),
+	.A(n_1223),
+	.B(FE_OFN1085_n_1214),
 	.Y(n_1407), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_2 g443322 (
+   sky130_fd_sc_hd__and2_4 g443322 (
 	.A(u_soc_u_top_u_core_csr_save_cause),
 	.B(n_1210),
 	.X(n_1406), 
@@ -219400,7 +215976,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g443342 (
-	.A(n_1231),
+	.A(FE_PSN3886_n_1231),
 	.Y(n_1230), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219413,13 +215989,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g443344 (
-	.A(n_1227),
-	.Y(n_1226), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g443345 (
 	.A(n_1225),
 	.Y(n_1224), 
@@ -219428,14 +215997,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g443346 (
-	.A(FE_OFN18313_n_1223),
+	.A(n_1223),
 	.Y(n_1222), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__clkinv_1 g443347 (
+	.A(FE_OFN1443_n_1217),
+	.Y(n_1216), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g443348 (
-	.A(n_1213),
+	.A(FE_OFN1084_n_1213),
 	.Y(n_1212), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219467,14 +216043,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443354 (
 	.A(n_13343),
-	.B(FE_PSN4666_u_soc_u_top_u_core_alu_operator_ex_5),
+	.B(FE_OFN18400_u_soc_u_top_u_core_alu_operator_ex_5),
 	.Y(n_1208), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443355 (
-	.A(n_475),
+	.A(FE_OFN18084_n_13380),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[15]),
 	.Y(n_1207), 
 	.VPWR(vccd1), 
@@ -219490,23 +216066,23 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443357 (
-	.A(n_475),
+	.A(FE_OFN1266_n_13380),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[5]),
 	.Y(n_1205), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g443358 (
+   sky130_fd_sc_hd__nor2b_2 g443358 (
 	.A(u_soc_u_tcam_n_26),
-	.B_N(u_soc_u_tcam_n_27),
+	.B_N(FE_PSN3947_u_soc_u_tcam_n_27),
 	.Y(n_1204), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443359 (
-	.A(FE_OFN1307_u_soc_u_top_u_core_alu_operand_b_ex_16),
+	.A(u_soc_u_top_u_core_alu_operand_b_ex[16]),
 	.B(n_13511),
 	.Y(n_1203), 
 	.VPWR(vccd1), 
@@ -219578,7 +216154,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443368 (
-	.A(n_475),
+	.A(FE_OFN18084_n_13380),
 	.B(u_soc_u_top_u_core_rf_wdata_fwd_wb[14]),
 	.Y(n_1194), 
 	.VPWR(vccd1), 
@@ -219594,7 +216170,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443371 (
-	.A(FE_OFN19534_n_13380),
+	.A(FE_OFN1266_n_13380),
 	.B(u_soc_u_top_u_core_ready_wb),
 	.Y(n_1191), 
 	.VPWR(vccd1), 
@@ -219611,7 +216187,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443374 (
 	.A(n_13873),
-	.B(FE_PSN4400_u_soc_u_top_u_core_alu_operator_ex_2),
+	.B(u_soc_u_top_u_core_alu_operator_ex[2]),
 	.Y(n_1188), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219659,7 +216235,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443380 (
 	.A(n_13498),
-	.B(u_soc_u_top_u_core_alu_operand_b_ex[3]),
+	.B(FE_OFN18113_n_142),
 	.Y(n_1182), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219675,14 +216251,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443382 (
 	.A(u_soc_u_top_u_core_alu_operand_b_ex[18]),
-	.B(FE_PSN4151_n_13513),
+	.B(n_13513),
 	.Y(n_1180), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443383 (
-	.A(FE_PSN4135_FE_OFN1306_u_soc_u_top_u_core_alu_operand_b_ex_20),
+	.A(FE_OFN1387_u_soc_u_top_u_core_alu_operand_b_ex_20),
 	.B(n_13515),
 	.Y(n_1179), 
 	.VPWR(vccd1), 
@@ -219690,8 +216266,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443384 (
-	.A(FE_COEN4300_n_13499),
-	.B(FE_OFN19533_n_577),
+	.A(n_13499),
+	.B(FE_OFN19016_n_577),
 	.Y(n_1178), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219723,7 +216299,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g443388 (
 	.A(u_soc_dccm_adapter_data_csbD),
-	.B_N(FE_OFN18232_u_soc_dccm_adapter_data_weD),
+	.B_N(n_10544),
 	.Y(n_1174), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219747,7 +216323,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443392 (
 	.A(u_soc_u_top_u_core_alu_operand_b_ex[15]),
-	.B(FE_COEN4683_n_13510),
+	.B(n_13510),
 	.Y(n_1171), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -219786,7 +216362,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443397 (
-	.A(FE_COEN4708_u_soc_xbar_to_lsu_d_valid),
+	.A(FE_PDN4101_u_soc_xbar_to_lsu_d_valid),
 	.B(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
 	.Y(n_1301), 
 	.VPWR(vccd1), 
@@ -219810,7 +216386,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443400 (
-	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A(FE_OFN18510_n),
 	.B(n_13528),
 	.Y(n_1298), 
 	.VPWR(vccd1), 
@@ -219826,7 +216402,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443402 (
-	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A(FE_OFN18510_n),
 	.B(n_13348),
 	.Y(n_1296), 
 	.VPWR(vccd1), 
@@ -219834,7 +216410,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443403 (
-	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A(FE_OFN18510_n),
 	.B(n_13349),
 	.Y(n_1295), 
 	.VPWR(vccd1), 
@@ -219842,7 +216418,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443404 (
-	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A(FE_OFN18510_n),
 	.B(n_13347),
 	.Y(n_1294), 
 	.VPWR(vccd1), 
@@ -219875,7 +216451,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443408 (
 	.A(u_soc_intr_u_rx),
-	.B(FE_PHN4711_io_in_33),
+	.B(FE_PHN4033_io_in_33),
 	.Y(n_1288), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220003,7 +216579,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g443428 (
 	.A_N(n_13318),
-	.B(FE_PSN4114_u_soc_iccm_adapter_inst_mem_wmask_int_0_30),
+	.B(FE_OFN18253_u_soc_iccm_adapter_inst_mem_wmask_int_0__30),
 	.Y(n_1269), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220019,7 +216595,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443430 (
 	.A(n_13319),
-	.B(n_13323),
+	.B(FE_OFN18284_n_13323),
 	.Y(n_1267), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220050,7 +216626,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443437 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(u_soc_dccm_adapter_data_mem_u_sramreqfifo_n_69),
 	.Y(n_1261), 
 	.VPWR(vccd1), 
@@ -220059,14 +216635,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443438 (
 	.A(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
-	.B(FE_PSN4122_n_13362),
+	.B(n_13362),
 	.Y(n_1260), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443439 (
-	.A(FE_OFN1105_n_13380),
+	.A(n_475),
 	.B(u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel),
 	.Y(n_1258), 
 	.VPWR(vccd1), 
@@ -220123,7 +216699,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443446 (
 	.A(u_soc_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
-	.B(FE_OFN18485_n_11130),
+	.B(FE_OFN18436_n_11130),
 	.Y(n_1249), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220146,7 +216722,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443449 (
-	.A(n_13448),
+	.A(FE_OFN1259_n_13089),
 	.B(u_soc_u_top_u_core_multdiv_signed_mode_ex[0]),
 	.Y(n_1245), 
 	.VPWR(vccd1), 
@@ -220154,8 +216730,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443450 (
-	.A(FE_PSN4781_u_soc_u_top_u_core_rf_waddr_wb_4),
-	.B(FE_PSN4824_u_soc_u_top_u_core_rf_waddr_wb_3),
+	.A(u_soc_u_top_u_core_rf_waddr_wb[4]),
+	.B(FE_OFN18335_u_soc_u_top_u_core_rf_waddr_wb_3),
 	.Y(n_1243), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220170,14 +216746,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443452 (
-	.A(FE_PSN4824_u_soc_u_top_u_core_rf_waddr_wb_3),
-	.B(FE_PSN4781_u_soc_u_top_u_core_rf_waddr_wb_4),
+	.A(FE_OFN18335_u_soc_u_top_u_core_rf_waddr_wb_3),
+	.B(u_soc_u_top_u_core_rf_waddr_wb[4]),
 	.Y(n_1239), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g443453 (
+   sky130_fd_sc_hd__nor2_2 g443453 (
 	.A(u_soc_u_uart_u_uart_core_rx_buffer_size[1]),
 	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[5]),
 	.Y(n_1237), 
@@ -220193,7 +216769,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g443455 (
+   sky130_fd_sc_hd__nor2_1 g443455 (
 	.A(n_13484),
 	.B(n_13485),
 	.Y(n_1233), 
@@ -220201,33 +216777,33 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_1 g443456 (
-	.A_N(u_soc_u_dccm_bank_sel[1]),
-	.B(u_soc_u_dccm_bank_sel[0]),
+   sky130_fd_sc_hd__nand2b_4 g443456 (
+	.A_N(FE_PSN3883_u_soc_u_dccm_bank_sel_1),
+	.B(FE_PSN3895_u_soc_u_dccm_bank_sel_0),
 	.Y(n_1231), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g443457 (
-	.A_N(u_soc_u_dccm_bank_sel[0]),
-	.B(u_soc_u_dccm_bank_sel[1]),
+	.A_N(FE_PSN3895_u_soc_u_dccm_bank_sel_0),
+	.B(FE_PSN3902_u_soc_u_dccm_bank_sel_1),
 	.Y(n_1229), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 g443458 (
-	.A(u_soc_u_dccm_bank_sel[1]),
-	.B(u_soc_u_dccm_bank_sel[0]),
+   sky130_fd_sc_hd__nor2_1 g443458 (
+	.A(FE_PSN3898_u_soc_u_dccm_bank_sel_1),
+	.B(FE_PSN3895_u_soc_u_dccm_bank_sel_0),
 	.Y(n_1227), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443459 (
-	.A(u_soc_u_dccm_bank_sel[0]),
-	.B(u_soc_u_dccm_bank_sel[1]),
+	.A(FE_PSN3895_u_soc_u_dccm_bank_sel_0),
+	.B(FE_PSN3898_u_soc_u_dccm_bank_sel_1),
 	.Y(n_1225), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220241,16 +216817,16 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g443461 (
-	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
-	.B(FE_OFN1106_n_13489),
+   sky130_fd_sc_hd__and2_2 g443461 (
+	.A(FE_OCPN18892_FE_OFN18258_u_soc_u_top_u_core_pc_set),
+	.B(FE_OFN1267_n_13489),
 	.X(n_1221), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g443462 (
-	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+   sky130_fd_sc_hd__and2_2 g443462 (
+	.A(FE_OCPN18892_FE_OFN18258_u_soc_u_top_u_core_pc_set),
 	.B(n_13534),
 	.X(n_1220), 
 	.VPWR(vccd1), 
@@ -220275,7 +216851,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443465 (
 	.A(u_soc_u_top_u_core_id_stage_i_imm_a_mux_sel),
-	.B(n_475),
+	.B(FE_OFN1266_n_13380),
 	.Y(n_1217), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220297,7 +216873,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 g443468 (
+   sky130_fd_sc_hd__nand2_1 g443468 (
 	.A(u_soc_u_top_u_core_csr_save_cause),
 	.B(u_soc_u_top_u_core_debug_csr_save),
 	.Y(n_1213), 
@@ -220467,8 +217043,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443494 (
-	.A(FE_PSN4142_n_13502),
-	.B(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.A(n_13502),
+	.B(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7),
 	.Y(n_1021), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220500,7 +217076,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443498 (
 	.A(n_472),
-	.B(FE_PSN4792_n_13498),
+	.B(n_13498),
 	.Y(n_1162), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220580,7 +217156,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443508 (
 	.A(n_472),
-	.B(FE_PSN4399_n_13507),
+	.B(n_13507),
 	.Y(n_1152), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220611,7 +217187,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443512 (
-	.A(FE_PSN4151_n_13513),
+	.A(n_13513),
 	.B(n_472),
 	.Y(n_1148), 
 	.VPWR(vccd1), 
@@ -220644,7 +217220,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443516 (
 	.A(n_472),
-	.B(FE_PSN4470_n_13497),
+	.B(n_13497),
 	.Y(n_1144), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220660,14 +217236,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443518 (
 	.A(n_472),
-	.B(FE_COEN4276_n_13501),
+	.B(n_13501),
 	.Y(n_1142), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443519 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.B(n_13495),
 	.Y(n_1141), 
 	.VPWR(vccd1), 
@@ -220676,7 +217252,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443520 (
 	.A(n_472),
-	.B(FE_PSN4132_n_13504),
+	.B(n_13504),
 	.Y(n_1140), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220700,7 +217276,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443523 (
 	.A(n_13522),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1137), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220708,14 +217284,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443524 (
 	.A(n_13514),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1136), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443525 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.B(n_13503),
 	.Y(n_1135), 
 	.VPWR(vccd1), 
@@ -220723,8 +217299,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443526 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
-	.B(FE_PSN4132_n_13504),
+	.A(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13504),
 	.Y(n_1134), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220732,7 +217308,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443527 (
 	.A(n_13520),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1133), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220740,14 +217316,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443528 (
 	.A(n_13516),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1132), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443529 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.B(n_13510),
 	.Y(n_1131), 
 	.VPWR(vccd1), 
@@ -220756,14 +217332,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443530 (
 	.A(n_13517),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1130), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443531 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.B(n_13508),
 	.Y(n_1129), 
 	.VPWR(vccd1), 
@@ -220772,7 +217348,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443532 (
 	.A(n_13524),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1128), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220780,15 +217356,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443533 (
 	.A(n_13512),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1127), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443534 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
-	.B(FE_PSN4792_n_13498),
+	.A(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13498),
 	.Y(n_1126), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220796,22 +217372,22 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443535 (
 	.A(n_13515),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1125), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443536 (
-	.A(FE_PSN4151_n_13513),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A(n_13513),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1124), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443537 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.B(n_13505),
 	.Y(n_1123), 
 	.VPWR(vccd1), 
@@ -220820,15 +217396,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443538 (
 	.A(n_13525),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1122), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443539 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
-	.B(FE_PSN4470_n_13497),
+	.A(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13497),
 	.Y(n_1121), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220836,15 +217412,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443540 (
 	.A(n_13521),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1120), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443541 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
-	.B(FE_PSN4769_n_13507),
+	.A(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13507),
 	.Y(n_1119), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220852,14 +217428,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443542 (
 	.A(n_13509),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1118), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443543 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.B(n_13511),
 	.Y(n_1117), 
 	.VPWR(vccd1), 
@@ -220867,8 +217443,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443544 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
-	.B(FE_PSN4383_n_13496),
+	.A(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13496),
 	.Y(n_1116), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220876,14 +217452,14 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443545 (
 	.A(n_13523),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1115), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443546 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.B(n_13502),
 	.Y(n_1114), 
 	.VPWR(vccd1), 
@@ -220891,16 +217467,16 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443547 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
-	.B(FE_COEN4276_n_13501),
+	.A(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13501),
 	.Y(n_1113), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443548 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
-	.B(FE_PSN4464_n_13506),
+	.A(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13506),
 	.Y(n_1112), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220908,7 +217484,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443549 (
 	.A(n_13518),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1111), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220916,22 +217492,22 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443550 (
 	.A(n_13519),
-	.B(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_1110), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443551 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
-	.B(FE_PSN4790_n_13499),
+	.A(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.B(n_13499),
 	.Y(n_1109), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443552 (
-	.A(FE_OFN18557_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A(FE_OFN18237_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.B(n_13500),
 	.Y(n_1108), 
 	.VPWR(vccd1), 
@@ -220963,7 +217539,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443556 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[7]),
 	.Y(n_1103), 
 	.VPWR(vccd1), 
@@ -220980,7 +217556,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443558 (
 	.A(n_472),
-	.B(FE_PSN4790_n_13499),
+	.B(n_13499),
 	.Y(n_1101), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220988,7 +217564,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443559 (
 	.A(n_472),
-	.B(FE_PSN4464_n_13506),
+	.B(n_13506),
 	.Y(n_1100), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -220996,7 +217572,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443560 (
 	.A(n_472),
-	.B(FE_PSN4383_n_13496),
+	.B(n_13496),
 	.Y(n_1099), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221027,7 +217603,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443564 (
-	.A(FE_OFN18488_n_15943),
+	.A(FE_OFN18432_n_15943),
 	.B(n_472),
 	.Y(n_1095), 
 	.VPWR(vccd1), 
@@ -221051,7 +217627,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443567 (
-	.A(FE_OFN876_n_471),
+	.A(FE_OFN1078_n_471),
 	.B(u_soc_u_tcam_u_tcam_adapter_u_rspfifo_n_125),
 	.Y(n_1092), 
 	.VPWR(vccd1), 
@@ -221171,7 +217747,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443582 (
-	.A(FE_OFN18249_n_183),
+	.A(FE_OFN18549_u_soc_u_top_u_core_multdiv_operator_ex_1),
 	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
 	.Y(n_1074), 
 	.VPWR(vccd1), 
@@ -221220,7 +217796,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443588 (
 	.A(n_472),
-	.B(FE_OFN18488_n_15943),
+	.B(FE_OFN18432_n_15943),
 	.Y(n_1065), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221283,8 +217859,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443596 (
-	.A(u_soc_u_top_u_core_multdiv_operator_ex[0]),
-	.B(FE_OFN18249_n_183),
+	.A(FE_OFN18451_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.B(FE_OFN18549_u_soc_u_top_u_core_multdiv_operator_ex_1),
 	.Y(n_1055), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221292,7 +217868,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 g443597 (
 	.A(n_7),
-	.B(FE_OFN1142_u_soc_u_top_data_we),
+	.B(FE_OFN1290_u_soc_u_top_data_we),
 	.Y(n_1053), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221315,7 +217891,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443600 (
-	.A(FE_OFN19541_n),
+	.A(FE_OFN18234_n),
 	.B(n_15896),
 	.Y(n_1049), 
 	.VPWR(vccd1), 
@@ -221355,7 +217931,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 g443605 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.B(u_soc_u_uart_u_uart_core_rx_buffer_size[6]),
 	.Y(n_1040), 
 	.VPWR(vccd1), 
@@ -221394,7 +217970,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 g443610 (
+   sky130_fd_sc_hd__nor2_2 g443610 (
 	.A(n_13),
 	.B(n_589),
 	.Y(n_1030), 
@@ -221484,19 +218060,12 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g443978 (
-	.A(u_soc_main_swith_host_lsu_dev_select_outstanding[1]),
+	.A(FE_PDN19250_n),
 	.Y(n_657), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_1 g443989 (
-	.A(u_soc_main_swith_host_lsu_dev_select_outstanding[0]),
-	.Y(n_646), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g443993 (
 	.A(u_soc_u_top_u_core_load_store_unit_i_data_type_q[1]),
 	.Y(n_642), 
@@ -221505,7 +218074,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g443998 (
-	.A(FE_PSN4151_n_13513),
+	.A(n_13513),
 	.Y(n_637), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221519,7 +218088,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g444001 (
-	.A(FE_COEN4313_n_13496),
+	.A(n_13496),
 	.Y(n_634), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221568,7 +218137,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444038 (
-	.A(FE_PSN4841_FE_OFN746_u_soc_lsu_to_xbar_a_address__31),
+	.A(\u_soc_lsu_to_xbar[a_address] [31]),
 	.Y(n_597), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221596,7 +218165,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444080 (
-	.A(FE_OFN772_u_soc_xbar_to_dccm_a_address__5),
+	.A(\u_soc_xbar_to_dccm[a_address] [5]),
 	.Y(n_555), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221617,7 +218186,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g444101 (
-	.A(FE_OFN18270_u_soc_xbar_to_dccm_a_address__2),
+	.A(FE_OFN18214_u_soc_xbar_to_dccm_a_address__2),
 	.Y(n_534), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221630,15 +218199,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__inv_2 g444140 (
-	.A(n_13358),
-	.Y(n_495), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444141 (
-	.A(FE_OFN18512_u_soc_u_top_u_core_alu_operand_b_ex_7),
+	.A(FE_OFN18452_u_soc_u_top_u_core_alu_operand_b_ex_7),
 	.Y(n_494), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221652,35 +218214,35 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g444155 (
-	.A(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.A(FE_OFN18213_u_soc_xbar_to_dccm_a_address__3),
 	.Y(n_480), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444157 (
-	.A(FE_OFN1144_u_soc_u_uart_u_uart_core_rx_fifo_clr),
+	.A(FE_OFN1291_u_soc_u_uart_u_uart_core_rx_fifo_clr),
 	.Y(n_478), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444161 (
-	.A(FE_OFN726_u_soc_u_uart_u_uart_core_rx_7),
+	.A(FE_OFN855_u_soc_u_uart_u_uart_core_rx_7),
 	.Y(n_474), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444162 (
-	.A(FE_OFN742_u_soc_u_uart_u_uart_core_rx_1),
+	.A(FE_OFN871_u_soc_u_uart_u_uart_core_rx_1),
 	.Y(n_473), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444163 (
-	.A(FE_OFN18333_u_soc_u_top_u_core_alu_operand_b_ex_0),
+	.A(FE_OFN18430_u_soc_u_top_u_core_alu_operand_b_ex_0),
 	.Y(n_472), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221708,7 +218270,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g444478 (
-	.A(FE_OFN18326_n_13495),
+	.A(n_13495),
 	.Y(n_157), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221743,21 +218305,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g444508 (
-	.A(FE_PSN4132_n_13504),
+	.A(n_13504),
 	.Y(n_127), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g444517 (
-	.A(FE_COEN4276_n_13501),
+	.A(n_13501),
 	.Y(n_118), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g444518 (
-	.A(FE_COEN4300_n_13499),
+	.A(n_13499),
 	.Y(n_117), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221771,7 +218333,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g444521 (
-	.A(FE_OFN18508_u_soc_u_top_u_core_alu_operand_b_ex_10),
+	.A(FE_OFN18246_u_soc_u_top_u_core_alu_operand_b_ex_10),
 	.Y(n_114), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221785,7 +218347,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g444525 (
-	.A(FE_PSN4416_n_13497),
+	.A(n_13497),
 	.Y(n_110), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221799,7 +218361,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 g444605 (
-	.A(FE_OFN18503_u_soc_u_top_u_core_alu_operand_b_ex_5),
+	.A(FE_OFN18449_u_soc_u_top_u_core_alu_operand_b_ex_5),
 	.Y(n_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221820,21 +218382,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 g444618 (
-	.A(FE_PSN4402_n_15943),
+	.A(n_15943),
 	.Y(n_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444619 (
-	.A(FE_OFN18389_u_soc_u_top_u_core_multdiv_operator_ex_0),
+	.A(FE_OFN18451_u_soc_u_top_u_core_multdiv_operator_ex_0),
 	.Y(n_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444623 (
-	.A(FE_OFN18500_u_soc_u_top_u_core_alu_operand_b_ex_2),
+	.A(FE_OFN18446_u_soc_u_top_u_core_alu_operand_b_ex_2),
 	.Y(n_12), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221848,7 +218410,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444627 (
-	.A(FE_OFN18485_n_11130),
+	.A(FE_OFN18436_n_11130),
 	.Y(n_8), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -221862,77 +218424,77 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444629 (
-	.A(FE_OFN18446_u_soc_u_top_u_core_pc_set),
+	.A(FE_OFN18510_n),
 	.Y(n_6), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444630 (
-	.A(FE_OFN740_u_soc_u_uart_u_uart_core_rx_2),
+	.A(FE_OFN868_u_soc_u_uart_u_uart_core_rx_2),
 	.Y(n_5), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444631 (
-	.A(FE_OFN731_u_soc_u_uart_u_uart_core_rx_5),
+	.A(FE_OFN860_u_soc_u_uart_u_uart_core_rx_5),
 	.Y(n_4), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444632 (
-	.A(FE_OFN727_u_soc_u_uart_u_uart_core_rx_6),
+	.A(FE_OFN857_u_soc_u_uart_u_uart_core_rx_6),
 	.Y(n_3), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444633 (
-	.A(FE_OFN744_u_soc_u_uart_u_uart_core_rx_0),
+	.A(FE_OFN874_u_soc_u_uart_u_uart_core_rx_0),
 	.Y(n_2), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444634 (
-	.A(FE_OFN737_u_soc_u_uart_u_uart_core_rx_3),
+	.A(FE_OFN865_u_soc_u_uart_u_uart_core_rx_3),
 	.Y(n_1), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 g444635 (
-	.A(FE_OFN732_u_soc_u_uart_u_uart_core_rx_4),
+	.A(FE_OFN862_u_soc_u_uart_u_uart_core_rx_4),
 	.Y(n_0), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 hi_fo_buf444649 (
-	.A(n_8844),
+	.A(FE_OFN1207_n_8844),
 	.Y(n_8847), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_2 hi_fo_buf444659 (
-	.A(FE_OFN1022_n_8855),
+	.A(n_8855),
 	.Y(n_8854), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__clkinv_1 hi_fo_buf444838 (
-	.A(FE_OFN907_n_2226),
+	.A(FE_OFN1503_n_2226),
 	.Y(n_2225), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxbp_1 \u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[1]  (
-	.CLK(CTS_81),
+	.CLK(CTS_53),
 	.D(n_1368),
 	.Q(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
 	.Q_N(n_8816), 
@@ -221941,27 +218503,27 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[1]  (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_load_store_unit_i_n_938),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
 	.Q_N(n_11046),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_1841),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
 	.Q_N(n_599),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[15]  (
-	.CLK(CTS_81),
+   sky130_fd_sc_hd__dfxbp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[15]  (
+	.CLK(CTS_49),
 	.D(n_8721),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[15]),
 	.Q_N(n_11040), 
@@ -221969,8 +218531,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[18]  (
-	.CLK(CTS_81),
+   sky130_fd_sc_hd__dfxbp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[18]  (
+	.CLK(CTS_45),
 	.D(n_8677),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[18]),
 	.Q_N(n_11038), 
@@ -221979,7 +218541,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[23]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_8699),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[23]),
 	.Q_N(n_11037), 
@@ -221988,7 +218550,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[17]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_8702),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[17]),
 	.Q_N(n_11036), 
@@ -221997,7 +218559,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxbp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[19]  (
-	.CLK(CTS_81),
+	.CLK(FE_USKN4001_CTS_49),
 	.D(n_8717),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[19]),
 	.Q_N(n_11034), 
@@ -222005,8 +218567,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[16]  (
-	.CLK(CTS_81),
+   sky130_fd_sc_hd__dfxbp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[16]  (
+	.CLK(CTS_49),
 	.D(n_8771),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[16]),
 	.Q_N(n_11032), 
@@ -222015,37 +218577,37 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q_reg (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(n_2974),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_handle_misaligned_q),
 	.Q_N(n_11031),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_5933),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
 	.Q_N(n_104),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_1840),
 	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
 	.Q_N(n_71),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[20]  (
-	.CLK(CTS_81),
+   sky130_fd_sc_hd__dfxbp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[20]  (
+	.CLK(CTS_49),
 	.D(n_8761),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[20]),
 	.Q_N(n_11022), 
@@ -222053,8 +218615,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfxbp_1 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[24]  (
-	.CLK(CTS_81),
+   sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[24]  (
+	.CLK(CTS_49),
 	.D(n_8716),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[24]),
 	.Q_N(n_11021), 
@@ -222063,7 +218625,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[22]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_8762),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[22]),
 	.Q_N(n_11020), 
@@ -222072,7 +218634,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxbp_2 \u_soc_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[21]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_8778),
 	.Q(u_soc_u_top_u_core_instr_rdata_id[21]),
 	.Q_N(n_11018), 
@@ -222081,547 +218643,547 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[8]  (
-	.CLK(CTS_71),
+	.CLK(CTS_88),
 	.D(n_8662),
 	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[8]),
 	.Q_N(n_8824),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[0]  (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(u_soc_u_top_u_core_load_store_unit_i_n_939),
 	.Q(u_soc_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
 	.Q_N(n_8822),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q_reg  (
-	.CLK(CTS_81),
+	.CLK(CTS_40),
 	.D(n_3061),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_valid_req_q ),
 	.Q_N(n_8819),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 u_soc_iccm_adapter_rvalid_reg (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_1481),
 	.Q(u_soc_iccm_adapter_rvalid),
 	.Q_N(n_1018),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[40][0]  (
-	.CLK(CTS_51),
+	.CLK(CTS_86),
 	.D(n_5877),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[40] [0]),
 	.Q_N(n_1017),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[24][0]  (
-	.CLK(CTS_51),
+	.CLK(CTS_97),
 	.D(n_5887),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[24] [0]),
 	.Q_N(n_1016),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[44][0]  (
-	.CLK(CTS_51),
+	.CLK(CTS_86),
 	.D(n_7334),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[44] [0]),
 	.Q_N(n_1015),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__dfrbp_2 u_soc_u_top_u_core_if_stage_i_instr_valid_id_q_reg (
-	.CLK(CTS_81),
+   sky130_fd_sc_hd__dfrbp_1 u_soc_u_top_u_core_if_stage_i_instr_valid_id_q_reg (
+	.CLK(CTS_49),
 	.D(n_15913),
 	.Q(u_soc_u_top_u_core_instr_valid_id),
 	.Q_N(n_1014),
-	.RESET_B(FE_OFN11_io_out_37), 
+	.RESET_B(FE_OFN150_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[0][0]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_5891),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[0] [0]),
 	.Q_N(n_1013),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[28][0]  (
-	.CLK(CTS_51),
+	.CLK(CTS_97),
 	.D(n_7333),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[28] [0]),
 	.Q_N(n_1012),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[56][0]  (
-	.CLK(CTS_51),
+	.CLK(CTS_86),
 	.D(n_5881),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[56] [0]),
 	.Q_N(n_1010),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_2386),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
 	.Q_N(n_730),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_2926),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
 	.Q_N(n_728),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_5544),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
 	.Q_N(n_666),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_waddr_reg[4]  (
-	.CLK(CTS_50),
+	.CLK(CTS_86),
 	.D(n_7158),
 	.Q(u_soc_u_uart_u_uart_core_write_fifo_waddr[4]),
 	.Q_N(n_665),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN93_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[2]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_7345),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [1]),
 	.Q_N(n_661),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[1]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6361),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
 	.Q_N(n_654),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[4]  (
-	.CLK(CTS_42),
+	.CLK(CTS_10),
 	.D(n_5587),
 	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[4]),
 	.Q_N(n_653),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfsbp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst_reg  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(logic_0_1_net),
 	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
 	.Q_N(n_641),
-	.SET_B(FE_OFN75_io_out_37), 
+	.SET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_5532),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
 	.Q_N(n_636),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_5924),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
 	.Q_N(n_626),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[1]  (
-	.CLK(CTS_42),
+	.CLK(CTS_10),
 	.D(n_3069),
 	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[1]),
 	.Q_N(n_618),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_5930),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
 	.Q_N(n_610),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[0]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_7821),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q [0]),
 	.Q_N(n_589),
-	.RESET_B(FE_OFN1_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[2]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_8789),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
 	.Q_N(n_587),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_1936),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
 	.Q_N(n_568),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[3]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6906),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
 	.Q_N(n_533),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_5900),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
 	.Q_N(n_522),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_2975),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
 	.Q_N(n_499),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[7]  (
-	.CLK(CTS_42),
+	.CLK(CTS_10),
 	.D(n_6838),
 	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[7]),
 	.Q_N(n_497),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN45_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_state_reg_reg[1]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1811),
 	.Q(u_soc_u_uart_u_uart_core_rx_time_state_reg[1]),
 	.Q_N(n_477),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 u_soc_u_uart_u_uart_core_tx_fifo_clear_reg (
-	.CLK(CTS_71),
+	.CLK(CTS_88),
 	.D(n_5005),
 	.Q(u_soc_u_uart_u_uart_core_tx_fifo_clear),
 	.Q_N(n_476),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 u_soc_reset_manager_rst_fq_reg (
-	.CLK(CTS_21),
+	.CLK(CTS_81),
 	.D(u_soc_reset_manager_rst_q),
 	.Q(FE_OFN0_io_out_37),
 	.Q_N(n_471),
-	.RESET_B(FE_OFN874_n_470), 
+	.RESET_B(FE_OFN1077_n_470), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[4][0]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_6841),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[4] [0]),
 	.Q_N(n_468),
-	.RESET_B(FE_OFN151_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_buffer_reg[60][0]  (
-	.CLK(CTS_51),
+	.CLK(CTS_86),
 	.D(n_7335),
 	.Q(\u_soc_u_uart_u_uart_core_write_fifo_buffer[60] [0]),
 	.Q_N(n_467),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_2385),
 	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
 	.Q_N(n_198),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[2]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_6659),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
 	.Q_N(n_195),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_21),
+	.CLK(CTS_84),
 	.D(n_5525),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
 	.Q_N(n_188),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[5]  (
-	.CLK(CTS_82),
+	.CLK(CTS_49),
 	.D(n_8739),
 	.Q(u_soc_u_top_u_core_csr_mstatus_mie),
 	.Q_N(n_175),
-	.RESET_B(FE_OFN85_io_out_37), 
+	.RESET_B(FE_OFN151_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[31]  (
-	.CLK(CTS_85),
+	.CLK(CTS_53),
 	.D(n_7239),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
 	.Q_N(n_153),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN19011_FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[4]  (
-	.CLK(CTS_71),
+	.CLK(CTS_97),
 	.D(n_6903),
 	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[4]),
 	.Q_N(n_152),
-	.RESET_B(FE_OFN32_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_write_fifo_raddr_reg[5]  (
-	.CLK(CTS_71),
+	.CLK(CTS_88),
 	.D(n_7569),
 	.Q(u_soc_u_uart_u_uart_core_fifo_read_size[5]),
 	.Q_N(n_143),
-	.RESET_B(FE_OFN32_io_out_37), 
+	.RESET_B(FE_OFN54_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
-	.CLK(CTS_21),
+	.CLK(CTS_83),
 	.D(n_5141),
 	.Q(\u_soc_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
 	.Q_N(n_136),
-	.RESET_B(FE_OFN35_io_out_37), 
+	.RESET_B(FE_OFN5_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[0]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_8790),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
 	.Q_N(n_132),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_read_fifo_waddr_reg[3]  (
-	.CLK(CTS_42),
+	.CLK(CTS_10),
 	.D(n_3054),
 	.Q(u_soc_u_uart_u_uart_core_rx_buffer_size[3]),
 	.Q_N(n_129),
-	.RESET_B(FE_OFN18_io_out_37), 
+	.RESET_B(FE_OFN18812_FE_OFN146_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_86),
 	.D(n_2389),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
 	.Q_N(n_121),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN15_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_5527),
 	.Q(\u_soc_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
 	.Q_N(n_98),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_6303),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
 	.Q_N(n_89),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_2380),
 	.Q(\u_soc_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
 	.Q_N(n_82),
-	.RESET_B(FE_OFN29_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_1944),
 	.Q(\u_soc_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
 	.Q_N(n_53),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN59_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_valid_q_reg[1]  (
-	.CLK(CTS_94),
+	.CLK(CTS_40),
 	.D(n_7652),
 	.Q(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_busy [0]),
 	.Q_N(n_47),
-	.RESET_B(FE_OFN7_io_out_37), 
+	.RESET_B(FE_OFN148_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[1]  (
-	.CLK(CTS_85),
+	.CLK(CTS_47),
 	.D(n_8788),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
 	.Q_N(n_43),
-	.RESET_B(FE_OFN136_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
-	.CLK(CTS_31),
+	.CLK(CTS_82),
 	.D(n_6307),
 	.Q(\u_soc_u_tcam_u_tcam_adapter_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
 	.Q_N(n_32),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN14_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 u_soc_u_uart_u_uart_core_tx_fifo_reset_reg (
-	.CLK(CTS_71),
-	.D(n_5080),
+	.CLK(CTS_88),
+	.D(FE_OFN1825_n_5080),
 	.Q(u_soc_u_uart_u_uart_core_tx_fifo_reset),
 	.Q_N(n_29),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN53_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfxbp_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_instr_addr_q_reg[1]  (
-	.CLK(CTS_81),
+	.CLK(CTS_49),
 	.D(n_7736),
 	.Q(u_soc_u_top_u_core_pc_if[1]),
 	.Q_N(n_13), 
@@ -222630,11 +219192,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[4]  (
-	.CLK(CTS_79),
+	.CLK(CTS_47),
 	.D(n_7343),
 	.Q(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
 	.Q_N(n_11),
-	.RESET_B(FE_OFN142_io_out_37), 
+	.RESET_B(FE_OFN100_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -222647,10 +219209,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g445255 (
-	.A(n_11635),
-	.B(n_11281),
-	.X(n_15857), 
+   sky130_fd_sc_hd__and2_4 g445256 (
+	.A(n_11628),
+	.B(n_11245),
+	.X(n_15858), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -222663,15 +219225,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and3_4 g445258 (
-	.A(n_11307),
-	.B(n_11467),
-	.C(n_11261),
-	.X(n_15860), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g445259 (
 	.A(n_11633),
 	.B(n_11249),
@@ -222689,9 +219242,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and4_4 g445261 (
-	.A(FE_PSN4104_n_11294),
-	.B(FE_OFN19550_u_soc_u_top_u_core_rf_waddr_wb_1),
-	.C(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.A(n_11294),
+	.B(FE_OFN18193_u_soc_u_top_u_core_rf_waddr_wb_1),
+	.C(FE_OFN18403_u_soc_u_top_u_core_rf_waddr_wb_2),
 	.D(n_11043),
 	.X(n_15863), 
 	.VPWR(vccd1), 
@@ -222699,9 +219252,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and4_4 g445262 (
-	.A(FE_PSN4104_n_11294),
+	.A(n_11294),
 	.B(n_652),
-	.C(FE_OFN18297_u_soc_u_top_u_core_rf_waddr_wb_2),
+	.C(FE_OFN18403_u_soc_u_top_u_core_rf_waddr_wb_2),
 	.D(n_11043),
 	.X(n_15864), 
 	.VPWR(vccd1), 
@@ -222716,6 +219269,14 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__and2_4 g445265 (
+	.A(n_11287),
+	.B(n_11401),
+	.X(n_15867), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g445266 (
 	.A(n_11266),
 	.B(n_11395),
@@ -222741,8 +219302,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and4_1 g445271 (
-	.A(FE_PSN4104_n_11294),
-	.B(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.A(FE_OCPN18895_n_11294),
+	.B(FE_OFN849_u_soc_u_top_u_core_instr_rdata_id_15),
 	.C(n_11094),
 	.D(u_soc_u_top_u_core_instr_rdata_id[17]),
 	.X(n_15873), 
@@ -222751,7 +219312,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and3_4 g445272 (
-	.A(FE_PSN4104_n_11294),
+	.A(n_11294),
 	.B(n_11098),
 	.C(n_652),
 	.X(n_15874), 
@@ -222759,8 +219320,8 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 g445273 (
-	.A(FE_PSN4104_n_11294),
+   sky130_fd_sc_hd__and2_2 g445273 (
+	.A(n_11294),
 	.B(n_11170),
 	.X(n_15875), 
 	.VPWR(vccd1), 
@@ -222768,8 +219329,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_4 g445274 (
-	.A(u_soc_u_top_u_core_instr_rdata_id[22]),
-	.B(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20),
+	.A(FE_PSN3973_u_soc_u_top_u_core_instr_rdata_id_22),
+	.B(FE_OFN18441_u_soc_u_top_u_core_instr_rdata_id_20),
 	.X(n_15876), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -222777,23 +219338,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__and2_2 g445275 (
 	.A(u_soc_u_top_u_core_rf_waddr_wb[4]),
-	.B(u_soc_u_top_u_core_rf_waddr_wb[3]),
+	.B(FE_OFN18335_u_soc_u_top_u_core_rf_waddr_wb_3),
 	.X(n_15877), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g445277 (
+   sky130_fd_sc_hd__and2_2 g445277 (
 	.A(n_11036),
-	.B(u_soc_u_top_u_core_instr_rdata_id[15]),
+	.B(FE_OFN849_u_soc_u_top_u_core_instr_rdata_id_15),
 	.X(n_15879), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_1 g445278 (
+   sky130_fd_sc_hd__and2_4 g445278 (
 	.A(n_11020),
-	.B(FE_PSN4372_u_soc_u_top_u_core_instr_rdata_id_20),
+	.B(FE_OFN18441_u_soc_u_top_u_core_instr_rdata_id_20),
 	.X(n_15880), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -222801,26 +219362,17 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_4 g445279 (
 	.A_N(u_soc_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec),
-	.B(FE_OFN18451_n_11355),
+	.B(FE_OFN18144_n_11355),
 	.Y(n_15881), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor3b_2 g445280 (
-	.A(FE_COEN4312_n_11091),
-	.B(n_11212),
-	.C_N(n_16002),
-	.Y(n_15882), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nand4b_1 g445281 (
 	.A_N(n_11446),
-	.B(FE_PSN4443_n_15881),
+	.B(n_15881),
 	.C(u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
-	.D(FE_COEN4680_n_11447),
+	.D(n_11447),
 	.Y(n_15883), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -222844,13 +219396,13 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g445284 (
 	.A(n_13468),
-	.B_N(FE_PSN4263_n_11294),
+	.B_N(FE_OCPN18895_n_11294),
 	.Y(n_15886), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_1 g445285 (
+   sky130_fd_sc_hd__and3b_4 g445285 (
 	.A_N(n_11212),
 	.B(n_11463),
 	.C(n_11111),
@@ -222861,8 +219413,8 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor4b_1 g445287 (
 	.A(n_13339),
-	.B(FE_OFN715_u_soc_u_top_u_core_instr_rdata_id_27),
-	.C(FE_OFN18414_n),
+	.B(FE_OFN837_u_soc_u_top_u_core_instr_rdata_id_27),
+	.C(FE_OFN18142_u_soc_u_top_u_core_instr_rdata_id_25),
 	.D_N(n_11135),
 	.Y(n_15889), 
 	.VPWR(vccd1), 
@@ -222871,7 +219423,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand4b_1 g445288 (
 	.A_N(n_13459),
-	.B(FE_COEN4565_n_11122),
+	.B(n_11122),
 	.C(u_soc_u_top_u_core_alu_operator_ex[3]),
 	.D(n_621),
 	.Y(n_15890), 
@@ -222896,7 +219448,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand3b_4 g445295 (
-	.A_N(FE_PSN4100_u_soc_lsu_to_xbar_a_address_31),
+	.A_N(FE_PSN3933_FE_OFN877_u_soc_lsu_to_xbar_a_address__31),
 	.B(n_10330),
 	.C(n_9349),
 	.Y(n_15897), 
@@ -222906,7 +219458,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g445296 (
 	.A_N(n_10148),
-	.B(FE_OFN18361_n),
+	.B(FE_OFN18379_u_soc_lsu_to_xbar_a_address__30),
 	.Y(n_15898), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -222922,7 +219474,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g445298 (
 	.A(n_13346),
-	.B_N(FE_OFN1563_n_9004),
+	.B_N(FE_OFN1629_n_9004),
 	.Y(n_15900), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -222951,7 +219503,7 @@
    sky130_fd_sc_hd__or3b_1 g445301 (
 	.A(u_soc_u_uart_u_uart_core_read_fifo_raddr[2]),
 	.B(u_soc_u_uart_u_uart_core_read_fifo_raddr[3]),
-	.C_N(FE_OFN1550_n_8970),
+	.C_N(FE_OFN1617_n_8970),
 	.X(n_15903), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -222970,7 +219522,7 @@
    sky130_fd_sc_hd__and3b_1 g445303 (
 	.A_N(u_soc_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
 	.B(n_8802),
-	.C(FE_OFN18534_n),
+	.C(FE_OFN18441_u_soc_u_top_u_core_instr_rdata_id_20),
 	.X(n_15905), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -222979,14 +219531,14 @@
    sky130_fd_sc_hd__nand3b_1 g445304 (
 	.A_N(n_7966),
 	.B(n_8533),
-	.C(n_7382),
+	.C(FE_OFN18164_n_7382),
 	.Y(n_15906), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g445305 (
-	.A(FE_OFN18299_n_15911),
+	.A(FE_OFN18198_n_15911),
 	.B_N(n_7624),
 	.Y(n_15907), 
 	.VPWR(vccd1), 
@@ -223037,7 +219589,7 @@
    sky130_fd_sc_hd__o21bai_1 g445311 (
 	.A1(n_1014),
 	.A2(u_soc_u_top_u_core_instr_valid_clear),
-	.B1_N(FE_OFN1503_n_6915),
+	.B1_N(FE_OFN1580_n_6915),
 	.Y(n_15913), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -223078,8 +219630,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__or3b_1 g445316 (
-	.A(FE_OFN977_n_5366),
-	.B(FE_OFN974_n_5360),
+	.A(FE_OFN1168_n_5366),
+	.B(n_5360),
 	.C_N(n_5361),
 	.X(n_15918), 
 	.VPWR(vccd1), 
@@ -223131,14 +219683,14 @@
    sky130_fd_sc_hd__nand3b_1 g445322 (
 	.A_N(n_1531),
 	.B(n_1532),
-	.C(FE_OFN18276_u_soc_xbar_to_dccm_a_address__3),
+	.C(FE_OFN18213_u_soc_xbar_to_dccm_a_address__3),
 	.Y(n_15924), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2b_1 g445323 (
-	.A_N(FE_OFN19443_n_1431),
+	.A_N(FE_OFN1728_n_1431),
 	.B(n_1105),
 	.Y(n_15925), 
 	.VPWR(vccd1), 
@@ -223177,7 +219729,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2b_2 g445328 (
+   sky130_fd_sc_hd__and2b_1 g445328 (
 	.A_N(n_3101),
 	.B(n_1243),
 	.X(n_15930), 
@@ -223229,7 +219781,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 g445334 (
 	.A(n_13373),
-	.B_N(FE_OFN773_u_soc_xbar_to_dccm_a_address__4),
+	.B_N(FE_OFN904_u_soc_xbar_to_dccm_a_address__4),
 	.Y(n_15936), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -223244,17 +219796,17 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or4b_4 g445337 (
-	.A(FE_OFN19650_u_soc_xbar_to_dccm_a_address__10),
+   sky130_fd_sc_hd__or4b_1 g445337 (
+	.A(\u_soc_xbar_to_dccm[a_address] [10]),
 	.B(\u_soc_xbar_to_dccm[a_address] [13]),
-	.C(FE_OFN765_u_soc_xbar_to_dccm_a_address__12),
+	.C(\u_soc_xbar_to_dccm[a_address] [12]),
 	.D_N(n_13277),
 	.X(n_15939), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor4b_4 g445338 (
+   sky130_fd_sc_hd__nor4b_2 g445338 (
 	.A(\u_soc_lsu_to_xbar[a_address] [23]),
 	.B(\u_soc_lsu_to_xbar[a_address] [24]),
 	.C(\u_soc_lsu_to_xbar[a_address] [22]),
@@ -223265,7 +219817,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g445339 (
-	.A1(n_11207),
+	.A1(n_11208),
 	.A2(n_13410),
 	.B1(n_13160),
 	.C1(n_13242),
@@ -223276,8 +219828,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2111ai_1 g445340 (
-	.A1(n_11207),
-	.A2(FE_PSN4168_n_13409),
+	.A1(n_11208),
+	.A2(n_13409),
 	.B1(n_13157),
 	.C1(n_13241),
 	.D1(n_13265),
@@ -223286,7 +219838,16 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and3b_2 g445345 (
+   sky130_fd_sc_hd__nor3b_2 g445343 (
+	.A(n_12839),
+	.B(n_12863),
+	.C_N(n_13028),
+	.Y(n_15945), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_1 g445345 (
 	.A_N(n_12981),
 	.B(n_12322),
 	.C(n_12323),
@@ -223298,7 +219859,7 @@
    sky130_fd_sc_hd__a221o_1 g445346 (
 	.A1(n_11754),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
-	.B1(FE_OFN18440_n_11765),
+	.B1(n_11765),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
 	.C1(n_11934),
 	.X(n_15948), 
@@ -223317,7 +219878,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a221o_1 g445348 (
-	.A1(FE_PSBN19876_n_15858),
+	.A1(n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
 	.B1(n_11757),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
@@ -223330,7 +219891,7 @@
    sky130_fd_sc_hd__a221o_1 g445349 (
 	.A1(n_15861),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
-	.B1(n_11553),
+	.B1(FE_OFN18352_n_11553),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
 	.C1(n_15990),
 	.X(n_15951), 
@@ -223339,9 +219900,9 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g445350 (
-	.A1(FE_OFN18440_n_11765),
+	.A1(n_11765),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
-	.B1(FE_PSN4374_n_15860),
+	.B1(n_15860),
 	.B2(u_soc_u_top_u_core_rf_wdata_fwd_wb[3]),
 	.C1(n_11515),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
@@ -223351,11 +219912,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g445351 (
-	.A1(FE_COEN4298_n_11756),
+	.A1(n_11756),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
-	.B1(FE_PSN4423_n_15859),
+	.B1(n_15859),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
-	.C1(FE_PSBN19877_FE_PSN4174_n_11555),
+	.C1(n_11555),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
 	.Y(n_15953), 
 	.VPWR(vccd1), 
@@ -223363,11 +219924,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g445352 (
-	.A1(FE_COEN4298_n_11756),
+	.A1(n_11756),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
-	.B1(FE_PSN4164_n_15857),
+	.B1(n_15857),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
-	.C1(FE_PSN4477_FE_COEN4317_n_11475),
+	.C1(n_11475),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
 	.Y(n_15954), 
 	.VPWR(vccd1), 
@@ -223377,7 +219938,7 @@
    sky130_fd_sc_hd__a221o_1 g445353 (
 	.A1(n_11472),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
-	.B1(FE_PSBN19897_n_16001),
+	.B1(n_16001),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
 	.C1(n_11652),
 	.X(n_15955), 
@@ -223399,7 +219960,7 @@
    sky130_fd_sc_hd__a221o_1 g445355 (
 	.A1(n_11554),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
-	.B1(FE_OFN18385_n_11514),
+	.B1(n_11514),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
 	.C1(n_15991),
 	.X(n_15957), 
@@ -223407,7 +219968,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a211oi_2 g445356 (
+   sky130_fd_sc_hd__a211oi_1 g445356 (
 	.A1(n_11564),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
 	.B1(n_11579),
@@ -223417,11 +219978,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g445357 (
+   sky130_fd_sc_hd__a221oi_1 g445357 (
 	.A1(n_11563),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
 	.B1(n_11558),
-	.B2(FE_COEN4863_u_soc_u_top_u_core_gen_regfile_ff_register_file_i_rf_reg_q_11_0),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
 	.C1(n_15989),
 	.Y(n_15959), 
 	.VPWR(vccd1), 
@@ -223429,11 +219990,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g445358 (
-	.A1(FE_PSN4451_n_11751),
+	.A1(FE_OFN18477_n_11751),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
-	.B1(FE_PSN4355_n_11478),
+	.B1(FE_OFN18344_n_11478),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
-	.C1(n_11564),
+	.C1(FE_OFN1242_n_11564),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
 	.Y(n_15960), 
 	.VPWR(vccd1), 
@@ -223465,11 +220026,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g445361 (
-	.A1(FE_PSN4164_n_15857),
+	.A1(n_15857),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
-	.B1(FE_COEN4317_n_11475),
+	.B1(n_11475),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
-	.C1(FE_PSN4179_n_11516),
+	.C1(n_11516),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
 	.Y(n_15963), 
 	.VPWR(vccd1), 
@@ -223477,11 +220038,11 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g445362 (
-	.A1(FE_PSBN19876_n_15858),
+	.A1(n_15858),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
-	.B1(FE_PSN4667_n_11479),
+	.B1(n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
-	.C1(FE_PSN4831_n_11517),
+	.C1(n_11517),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
 	.Y(n_15964), 
 	.VPWR(vccd1), 
@@ -223505,7 +220066,7 @@
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
 	.B1(n_11425),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
-	.C1(FE_COEN4311_n_11474),
+	.C1(n_11474),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
 	.Y(n_15966), 
 	.VPWR(vccd1), 
@@ -223529,7 +220090,7 @@
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
 	.B1(n_11564),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
-	.C1(FE_PSN4355_n_11478),
+	.C1(FE_PSN3917_n_11478),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
 	.Y(n_15968), 
 	.VPWR(vccd1), 
@@ -223537,47 +220098,23 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g445367 (
-	.A1(FE_PSBN19873_n_11550),
+	.A1(n_11550),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
-	.B1(FE_PSN4796_n_11473),
+	.B1(n_11473),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
-	.C1(FE_OFN18425_n_15868),
+	.C1(n_15868),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
 	.Y(n_15969), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g445368 (
-	.A1(FE_PSN4174_n_11555),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
-	.B1(FE_PSN4179_n_11516),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
-	.C1(FE_OFN1118_n_15887),
-	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
-	.Y(n_15970), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g445369 (
-	.A1(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
-	.A2(n_11473),
-	.B1(FE_OFN18385_n_11514),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
-	.C1(FE_PSBN19835_n_15865),
-	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
-	.Y(n_15971), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g445370 (
 	.A1(n_15856),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
-	.B1(FE_PSN4179_n_11516),
+	.B1(n_11516),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
-	.C1(FE_OFN1118_n_15887),
+	.C1(n_15887),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
 	.Y(n_15972), 
 	.VPWR(vccd1), 
@@ -223587,16 +220124,16 @@
    sky130_fd_sc_hd__a222oi_1 g445371 (
 	.A1(n_15859),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
-	.B1(FE_COEN4317_n_11475),
+	.B1(n_11475),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
-	.C1(FE_PSN4174_n_11555),
+	.C1(n_11555),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
 	.Y(n_15973), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221o_1 g445373 (
+   sky130_fd_sc_hd__a221o_2 g445373 (
 	.A1(n_11746),
 	.A2(n_11175),
 	.B1(n_11393),
@@ -223608,7 +220145,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g445374 (
-	.A1(n_11761),
+	.A1(FE_OFN18184_n_15997),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
 	.B1(n_11559),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
@@ -223617,10 +220154,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a221oi_2 g445375 (
-	.A1(FE_PSN4375_n_11428),
+   sky130_fd_sc_hd__a221oi_1 g445375 (
+	.A1(n_11428),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
-	.B1(FE_PSN4812_n_11425),
+	.B1(n_11425),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
 	.C1(n_11647),
 	.Y(n_15977), 
@@ -223633,7 +220170,7 @@
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
 	.B1(n_15869),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
-	.C1(FE_PSBN19833_n_15866),
+	.C1(n_15866),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
 	.Y(n_15978), 
 	.VPWR(vccd1), 
@@ -223641,29 +220178,17 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g445377 (
-	.A1(FE_OFN18385_n_11514),
+	.A1(n_11514),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
-	.B1(FE_OFN18397_n_15865),
+	.B1(n_15865),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
-	.C1(FE_OFN18407_n_15871),
+	.C1(n_15871),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
 	.Y(n_15979), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g445378 (
-	.A1(n_11480),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
-	.B1(n_15870),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
-	.C1(n_11415),
-	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
-	.Y(n_15980), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g445379 (
 	.A1(n_16000),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
@@ -223676,22 +220201,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g445380 (
-	.A1(FE_PSBN19897_n_16001),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
-	.B1(FE_PSN4362_n_15872),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
-	.C1(n_15867),
-	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
-	.Y(n_15982), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__a222oi_1 g445381 (
-	.A1(FE_OFN18385_n_11514),
+	.A1(n_11514),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
-	.B1(FE_PSBN19835_n_15865),
+	.B1(n_15865),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
 	.C1(n_15871),
 	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
@@ -223700,34 +220213,22 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g445382 (
-	.A1(n_11471),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
-	.B1(n_15869),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
-	.C1(FE_PSBN19833_n_15866),
-	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
-	.Y(n_15984), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__a222oi_1 g445383 (
-	.A1(n_11550),
-	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
-	.B1(n_15868),
-	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
-	.C1(n_15871),
-	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
-	.Y(n_15985), 
+   sky130_fd_sc_hd__a222oi_1 g445384 (
+	.A1(n_16001),
+	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
+	.B1(n_15872),
+	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
+	.C1(n_15866),
+	.C2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
+	.Y(n_15986), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g445385 (
-	.A1(FE_PSN4831_n_11517),
+	.A1(n_11517),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
-	.B1(FE_PSN4667_n_11479),
+	.B1(n_11479),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
 	.X(n_15987), 
 	.VPWR(vccd1), 
@@ -223755,7 +220256,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g445388 (
-	.A1(n_15882),
+	.A1(FE_OFN18511_n),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
 	.B1(n_15869),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
@@ -223767,7 +220268,7 @@
    sky130_fd_sc_hd__a22o_1 g445389 (
 	.A1(n_15871),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
-	.B1(FE_PSBN19835_n_15865),
+	.B1(n_15865),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
 	.X(n_15991), 
 	.VPWR(vccd1), 
@@ -223785,7 +220286,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__a22o_1 g445391 (
-	.A1(FE_PSBN19833_n_15866),
+	.A1(n_15866),
 	.A2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
 	.B1(n_15869),
 	.B2(\u_soc_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
@@ -223794,10 +220295,10 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_4 g445392 (
+   sky130_fd_sc_hd__nand3b_2 g445392 (
 	.A_N(u_soc_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
 	.B(n_15881),
-	.C(FE_COEN4680_n_11447),
+	.C(n_11447),
 	.Y(n_15994), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -223806,15 +220307,24 @@
    sky130_fd_sc_hd__and3_4 g445393 (
 	.A(n_11636),
 	.B(n_11154),
-	.C(n_11092),
+	.C(FE_OFN18205_n_11093),
 	.X(n_15995), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__or3b_1 g445394 (
+	.A(n_13338),
+	.B(FE_OFN18495_n_11154),
+	.C_N(n_11637),
+	.X(n_15996), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__or3b_4 g445395 (
 	.A(n_11114),
-	.B(n_11153),
+	.B(FE_OFN18495_n_11154),
 	.C_N(n_11629),
 	.X(n_15997), 
 	.VPWR(vccd1), 
@@ -223840,7 +220350,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and3b_4 g445398 (
-	.A_N(FE_PSN4166_n_13338),
+	.A_N(n_13338),
 	.B(n_11450),
 	.C(n_11221),
 	.X(n_16000), 
@@ -223848,11 +220358,20 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__and3b_4 g445399 (
+	.A_N(n_11091),
+	.B(n_11464),
+	.C(FE_OFN18422_n_11156),
+	.X(n_16001), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__nand4_1 g445400 (
-	.A(FE_PSN4104_n_11294),
+	.A(FE_OCPN18895_n_11294),
 	.B(n_652),
 	.C(n_11094),
-	.D(FE_COEN4315_n_15877),
+	.D(n_15877),
 	.Y(n_16002), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -223861,13 +220380,22 @@
    sky130_fd_sc_hd__a21bo_4 g445401 (
 	.A1(n_11028),
 	.A2(u_soc_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]),
-	.B1_N(FE_OFN18451_n_11355),
+	.B1_N(FE_OFN18144_n_11355),
 	.X(n_16003), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand3b_4 g445403 (
+   sky130_fd_sc_hd__nand3b_1 g445402 (
+	.A_N(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
+	.B(\u_soc_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.C(n_8816),
+	.Y(n_16004), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand3b_2 g445403 (
 	.A_N(n_13879),
 	.B(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
 	.C(\u_soc_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
@@ -223898,11 +220426,11 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a22oi_4 g445406 (
+   sky130_fd_sc_hd__a22oi_2 g445406 (
 	.A1(n_12263),
 	.A2(u_soc_u_top_u_core_instr_rdata_id[7]),
 	.B1(n_12261),
-	.B2(FE_OFN18534_n),
+	.B2(FE_OFN18441_u_soc_u_top_u_core_instr_rdata_id_20),
 	.Y(n_16008), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -223911,7 +220439,7 @@
    sky130_fd_sc_hd__a21o_1 g2 (
 	.A1(n_12668),
 	.A2(n_11413),
-	.B1(n_16004),
+	.B1(FE_OFN18429_n_16004),
 	.X(n_16009), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -224844,7 +221372,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP2_g888 (
+   sky130_fd_sc_hd__ha_2 inc_ADD_UNS_OP2_g888 (
 	.A(\u_soc_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
 	.B(inc_ADD_UNS_OP2_n_50),
 	.COUT(inc_ADD_UNS_OP2_n_52),
@@ -225261,7 +221789,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g745 (
-	.A(FE_OFN1107_n_13557),
+	.A(n_13557),
 	.B(n_13803),
 	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_56),
 	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_58),
@@ -225271,7 +221799,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g746 (
-	.A(FE_OFN1107_n_13557),
+	.A(FE_OFN1270_n_13557),
 	.B(n_13802),
 	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_54),
 	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_56),
@@ -225281,7 +221809,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g747 (
-	.A(FE_OFN1107_n_13557),
+	.A(FE_OFN1270_n_13557),
 	.B(n_13801),
 	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_52),
 	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_54),
@@ -225291,7 +221819,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g748 (
-	.A(FE_OFN1107_n_13557),
+	.A(FE_OFN1270_n_13557),
 	.B(n_13800),
 	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_50),
 	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_52),
@@ -225301,7 +221829,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g749 (
-	.A(FE_OFN1107_n_13557),
+	.A(FE_OFN1270_n_13557),
 	.B(n_13799),
 	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_48),
 	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_50),
@@ -225311,7 +221839,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g750 (
-	.A(FE_OFN1107_n_13557),
+	.A(FE_OFN1270_n_13557),
 	.B(n_13798),
 	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_46),
 	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_48),
@@ -225321,7 +221849,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g751 (
-	.A(FE_OFN1107_n_13557),
+	.A(FE_OFN1270_n_13557),
 	.B(n_13797),
 	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_44),
 	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_46),
@@ -225331,7 +221859,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g752 (
-	.A(FE_OFN1107_n_13557),
+	.A(FE_OFN1270_n_13557),
 	.B(n_13796),
 	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_42),
 	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_44),
@@ -225341,7 +221869,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g753 (
-	.A(FE_OFN1107_n_13557),
+	.A(FE_OFN1270_n_13557),
 	.B(n_13795),
 	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_40),
 	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_42),
@@ -225351,7 +221879,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g754 (
-	.A(FE_OFN1107_n_13557),
+	.A(FE_OFN1270_n_13557),
 	.B(n_13794),
 	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_38),
 	.COUT(u_soc_u_top_u_core_ex_block_i_add_98_45_n_40),
@@ -225440,7 +221968,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g763 (
+   sky130_fd_sc_hd__fa_2 u_soc_u_top_u_core_ex_block_i_add_98_45_g763 (
 	.A(n_13546),
 	.B(n_13785),
 	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_20),
@@ -225450,7 +221978,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__fa_1 u_soc_u_top_u_core_ex_block_i_add_98_45_g764 (
+   sky130_fd_sc_hd__fa_2 u_soc_u_top_u_core_ex_block_i_add_98_45_g764 (
 	.A(n_13545),
 	.B(n_13784),
 	.CIN(u_soc_u_top_u_core_ex_block_i_add_98_45_n_18),
@@ -225568,7 +222096,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2368 (
-	.A(FE_PSBN19891_FE_RN_93_0),
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_103),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_215), 
 	.VPWR(vccd1), 
@@ -225576,15 +222104,15 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__inv_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2369 (
-	.A(FE_PSBN19891_FE_RN_93_0),
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_285),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_214), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2371 (
+   sky130_fd_sc_hd__o21ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2371 (
 	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104),
-	.A2(FE_PSN4128_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
 	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_210),
 	.Y(\u_soc_lsu_to_xbar[a_address] [30]), 
 	.VPWR(vccd1), 
@@ -225592,30 +222120,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2373 (
-	.A(FE_PSN4128_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_104),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_210), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2375 (
-	.A(FE_PSBN19862_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206),
+   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2375 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_206),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_47),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_208), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2376 (
-	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_86),
-	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286),
-	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_205),
-	.Y(\u_soc_lsu_to_xbar[a_address] [29]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2377 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_286),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_57),
@@ -225632,23 +222151,23 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2379 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_83),
-	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_201),
-	.X(\u_soc_lsu_to_xbar[a_address] [28]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2382 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197),
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2382 (
+	.A(FE_PSN3940_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_7),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_201), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2386 (
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2384 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_81),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193),
+	.X(\u_soc_lsu_to_xbar[a_address] [27]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2386 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_60),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_197), 
@@ -225656,24 +222175,15 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2387 (
-	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0),
-	.A2(FE_PSN4154_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192),
-	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4),
-	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_196), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2388 (
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2388 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_76),
-	.B(FE_PSN4370_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192),
 	.X(\u_soc_lsu_to_xbar[a_address] [25]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2389 (
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2389 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_74),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_191),
 	.X(\u_soc_lsu_to_xbar[a_address] [24]), 
@@ -225681,9 +222191,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2390 (
+   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2390 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_190),
-	.B(FE_COEN4705_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_193), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -225691,13 +222201,13 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2391 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_109),
-	.B(FE_PSN4765_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284),
+	.B(FE_PSN3958_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_192), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2392 (
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2392 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_188),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_5),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_191), 
@@ -225705,24 +222215,24 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2393 (
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2393 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_284),
-	.B(FE_PDN4586_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_100),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_190), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2394 (
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2394 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_72),
-	.B(FE_PSN4099_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287),
+	.B(FE_PSN3919_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287),
 	.X(\u_soc_lsu_to_xbar[a_address] [23]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2395 (
-	.A(FE_PSN4338_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287),
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_287),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_188), 
 	.VPWR(vccd1), 
@@ -225731,7 +222241,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2398 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_71),
-	.B(FE_PSN4108_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183),
+	.B(FE_PSN3956_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_183),
 	.X(\u_soc_lsu_to_xbar[a_address] [22]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -225747,7 +222257,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2401 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_70),
-	.B(FE_PSN4337_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288),
+	.B(FE_PSN3904_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_288),
 	.X(\u_soc_lsu_to_xbar[a_address] [21]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -225778,7 +222288,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2406 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173),
+	.A(FE_PSN3968_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_177), 
 	.VPWR(vccd1), 
@@ -225787,15 +222297,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2408 (
 	.A1_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106),
-	.A2_N(FE_PSN4127_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169),
+	.A2_N(FE_PSN3955_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169),
 	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_106),
-	.B2(FE_PSN4127_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169),
+	.B2(FE_PSN3955_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169),
 	.Y(\u_soc_lsu_to_xbar[a_address] [19]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2410 (
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2410 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_20),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_173), 
@@ -225812,7 +222322,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2412 (
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2412 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_94),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168),
 	.X(\u_soc_lsu_to_xbar[a_address] [17]), 
@@ -225820,7 +222330,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2413 (
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2413 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_93),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_167),
 	.X(\u_soc_lsu_to_xbar[a_address] [16]), 
@@ -225828,7 +222338,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2414 (
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2414 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_166),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_120),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_169), 
@@ -225838,7 +222348,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2415 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_108),
-	.B(FE_PSN4623_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_168), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -225852,31 +222362,23 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2417 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163),
-	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_98),
-	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_166), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2418 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_92),
-	.B(FE_PSN4121_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
+	.B(FE_PSN3938_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
 	.X(\u_soc_lsu_to_xbar[a_address] [15]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2419 (
-	.A(FE_PSN4767_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_42),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_164), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__and2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2420 (
+   sky130_fd_sc_hd__and2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2420 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_162),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_101),
 	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_163), 
@@ -225894,15 +222396,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2422 (
 	.A1_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105),
-	.A2_N(FE_PSN4119_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159),
+	.A2_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159),
 	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_105),
-	.B2(FE_PSN4119_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159),
+	.B2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159),
 	.Y(\u_soc_lsu_to_xbar[a_address] [14]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2423 (
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2423 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_160), 
@@ -225910,7 +222412,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2424 (
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2424 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_157),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_46),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_159), 
@@ -225918,7 +222420,15 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2426 (
+   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2425 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_95),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156),
+	.X(\u_soc_xbar_to_dccm[a_address] [13]), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2426 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_157), 
@@ -225926,7 +222436,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2427 (
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2427 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_154),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_156), 
@@ -225934,15 +222444,15 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2428 (
+   sky130_fd_sc_hd__xor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2428 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_91),
-	.B(FE_PSN4118_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152),
+	.B(FE_PSN3966_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152),
 	.X(\u_soc_xbar_to_dccm[a_address] [12]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2429 (
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2429 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_154), 
@@ -225950,7 +222460,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2430 (
+   sky130_fd_sc_hd__xor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2430 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_79),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_149),
 	.X(\u_soc_xbar_to_dccm[a_address] [10]), 
@@ -225958,7 +222468,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2431 (
+   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2431 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_150),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_53),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_152), 
@@ -225991,14 +222501,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2435 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_89),
-	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145),
-	.X(\u_soc_xbar_to_dccm[a_address] [9]), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2436 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_75),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_144),
@@ -226016,18 +222518,18 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2438 (
-	.A(FE_PSN4175_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111),
-	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141),
+   sky130_fd_sc_hd__nor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2438 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111),
+	.B(FE_PSN3974_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_141),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_145), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2439 (
-	.A1(FE_PSN4782_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
 	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_140),
-	.B1(FE_PSN4469_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_144), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226041,9 +222543,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2441 (
+   sky130_fd_sc_hd__xor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2441 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_88),
-	.B(FE_PSN4339_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139),
+	.B(FE_PSN3967_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_139),
 	.X(\u_soc_xbar_to_dccm[a_address] [7]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226074,7 +222576,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2445 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_77),
-	.B(FE_PSN4136_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_136),
 	.X(\u_soc_xbar_to_dccm[a_address] [6]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226098,7 +222600,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2448 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_73),
-	.B(FE_PSN4258_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_133),
 	.X(\u_soc_xbar_to_dccm[a_address] [5]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226122,7 +222624,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2451 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_78),
-	.B(FE_PSN4137_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_130),
 	.X(\u_soc_xbar_to_dccm[a_address] [4]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226146,7 +222648,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2454 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_69),
-	.B(FE_PSN4138_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_127),
 	.X(\u_soc_xbar_to_dccm[a_address] [3]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226170,15 +222672,15 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2457 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_82),
-	.B(FE_PSN4152_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124),
 	.X(\u_soc_xbar_to_dccm[a_address] [2]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2458 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28),
-	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124),
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_124),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_125), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226194,23 +222696,23 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__a2bb2o_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2460 (
 	.A1_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85),
-	.A2_N(FE_PSN4342_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116),
+	.A2_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116),
 	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85),
-	.B2(FE_PSN4342_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116),
+	.B2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116),
 	.X(u_soc_u_top_u_core_alu_adder_result_ex[1]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2461 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32),
-	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116),
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_116),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_122), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2462 (
+   sky130_fd_sc_hd__nor2b_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2462 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_118),
 	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_59),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_121), 
@@ -226218,7 +222720,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2463 (
+   sky130_fd_sc_hd__nor2b_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2463 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_117),
 	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_51),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_120), 
@@ -226226,7 +222728,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2465 (
+   sky130_fd_sc_hd__a21oi_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2465 (
 	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_112),
 	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_4),
 	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_39),
@@ -226235,7 +222737,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21oi_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2466 (
+   sky130_fd_sc_hd__a21oi_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2466 (
 	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_113),
 	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_8),
 	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10),
@@ -226253,7 +222755,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2b_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2469 (
+   sky130_fd_sc_hd__nand2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2469 (
 	.A_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_114), 
@@ -226277,6 +222779,15 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
+   sky130_fd_sc_hd__o21ai_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2472 (
+	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
+	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6),
+	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64),
+	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_111), 
+	.VPWR(vccd1), 
+	.VPB(vccd1), 
+	.VNB(vssd1), 
+	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2473 (
 	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_2),
 	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_44),
@@ -226295,7 +222806,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__o21ai_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2475 (
+   sky130_fd_sc_hd__o21ai_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2475 (
 	.A1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3),
 	.A2(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14),
 	.B1(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25),
@@ -226314,7 +222825,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2477 (
-	.A(FE_PSN4793_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34),
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_102), 
 	.VPWR(vccd1), 
@@ -226323,7 +222834,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2478 (
 	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_41),
-	.B(FE_COEN4277_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_101), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226337,9 +222848,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2480 (
-	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6),
-	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2480 (
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_99), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226394,7 +222905,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2487 (
-	.A(FE_COEN4277_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14),
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_14),
 	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_25),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_93), 
 	.VPWR(vccd1), 
@@ -226442,8 +222953,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nor2b_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2493 (
-	.A(FE_PSN4636_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
-	.B_N(FE_PSN4469_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9),
+	.B_N(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_88), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226467,7 +222978,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__xor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2496 (
 	.A(n_13839),
-	.B(FE_PSN4806_n_15975),
+	.B(n_15975),
 	.X(n_13576), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226490,8 +223001,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2499 (
-	.A(FE_PSN4162_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32),
-	.B(FE_PSN4384_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27),
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32),
+	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_85), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226632,31 +223143,31 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2519 (
-	.A(FE_PSN4628_n_13812),
-	.B(FE_PSN4376_n_13845),
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2519 (
+	.A(n_13812),
+	.B(n_13845),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_67), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2520 (
-	.A(n_13819),
-	.B(n_13852),
+   sky130_fd_sc_hd__or2_0 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2520 (
+	.A(n_13852),
+	.B(n_13819),
 	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_66), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2521 (
-	.A(n_13807),
-	.B(n_13840),
+   sky130_fd_sc_hd__nor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2521 (
+	.A(n_13840),
+	.B(n_13807),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_65), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2522 (
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2522 (
 	.A(n_13815),
 	.B(n_13848),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_64), 
@@ -226664,7 +223175,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2523 (
+   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2523 (
 	.A(n_13844),
 	.B(n_13811),
 	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_63), 
@@ -226768,7 +223279,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2536 (
+   sky130_fd_sc_hd__or2_0 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2536 (
 	.A(n_13853),
 	.B(n_13820),
 	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_50), 
@@ -226776,7 +223287,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2537 (
+   sky130_fd_sc_hd__or2_0 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2537 (
 	.A(n_13870),
 	.B(n_13837),
 	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_49), 
@@ -226784,7 +223295,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2538 (
+   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2538 (
 	.A(n_13861),
 	.B(n_13828),
 	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_48), 
@@ -226808,7 +223319,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2541 (
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2541 (
 	.A(n_13814),
 	.B(n_13847),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_45), 
@@ -226832,7 +223343,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2544 (
+   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2544 (
 	.A(n_13863),
 	.B(n_13830),
 	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_40), 
@@ -226848,7 +223359,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2546 (
+   sky130_fd_sc_hd__nor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2546 (
 	.A(n_13868),
 	.B(n_13835),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_38), 
@@ -226856,7 +223367,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2547 (
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2547 (
 	.A(n_13850),
 	.B(n_13817),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_36), 
@@ -226872,7 +223383,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2549 (
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2549 (
 	.A(n_13849),
 	.B(n_13816),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_34), 
@@ -226881,14 +223392,14 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2551 (
-	.A(n_13840),
-	.B(n_13807),
+	.A(n_13807),
+	.B(n_13840),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_33), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2552 (
+   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2552 (
 	.A(n_13841),
 	.B(n_13808),
 	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_32), 
@@ -226896,7 +223407,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2553 (
+   sky130_fd_sc_hd__or2_0 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2553 (
 	.A(n_13843),
 	.B(n_13810),
 	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_31), 
@@ -226904,9 +223415,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2554 (
-	.A(n_13812),
-	.B(n_13845),
+   sky130_fd_sc_hd__or2_0 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2554 (
+	.A(n_13845),
+	.B(n_13812),
 	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_30), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226920,7 +223431,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2556 (
+   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2556 (
 	.A(n_13842),
 	.B(n_13809),
 	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_28), 
@@ -226928,15 +223439,15 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2557 (
-	.A(FE_PSN4155_n_13808),
-	.B(FE_PSN4800_n_13841),
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2557 (
+	.A(n_13808),
+	.B(n_13841),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_27), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2558 (
+   sky130_fd_sc_hd__or2_0 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2558 (
 	.A(n_13854),
 	.B(n_13821),
 	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_26), 
@@ -226954,7 +223465,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2560 (
 	.A(n_13810),
-	.B(FE_PSN4634_n_13843),
+	.B(n_13843),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_24), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -226977,7 +223488,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2563 (
-	.A(FE_PSN4468_n_13811),
+	.A(n_13811),
 	.B(n_13844),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_21), 
 	.VPWR(vccd1), 
@@ -227016,7 +223527,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__or2_0 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2568 (
+   sky130_fd_sc_hd__or2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2568 (
 	.A(n_13862),
 	.B(n_13829),
 	.X(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_16), 
@@ -227024,9 +223535,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_8 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2569 (
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2569 (
 	.A(n_13819),
-	.B(FE_PSN4766_n_13852),
+	.B(n_13852),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_15), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -227056,7 +223567,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2573 (
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2573 (
 	.A(n_13858),
 	.B(n_13825),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_10), 
@@ -227064,9 +223575,9 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2574 (
-	.A(n_13814),
-	.B(n_13847),
+   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2574 (
+	.A(n_13847),
+	.B(n_13814),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_9), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -227088,7 +223599,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_4 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2577 (
+   sky130_fd_sc_hd__nor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2577 (
 	.A(n_13848),
 	.B(n_13815),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_6), 
@@ -227112,7 +223623,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2580 (
+   sky130_fd_sc_hd__nand2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2580 (
 	.A(n_13822),
 	.B(n_13855),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_3), 
@@ -227136,7 +223647,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2_1 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2583 (
+   sky130_fd_sc_hd__nor2_2 u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_g2583 (
 	.A(n_13865),
 	.B(n_15942),
 	.Y(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_0), 
@@ -227144,14 +223655,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__xor2_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g401  (
-	.A(u_soc_u_top_u_core_pc_if[31]),
-	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_60 ),
-	.X(n_13607), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g402  (
 	.A(u_soc_u_top_u_core_pc_if[30]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58 ),
@@ -227161,7 +223664,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g403  (
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g403  (
 	.A(u_soc_u_top_u_core_pc_if[29]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_56 ),
 	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_58 ),
@@ -227179,15 +223682,6 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g405  (
-	.A(u_soc_u_top_u_core_pc_if[27]),
-	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_52 ),
-	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_54 ),
-	.SUM(n_13603), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
    sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g406  (
 	.A(u_soc_u_top_u_core_pc_if[26]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_50 ),
@@ -227215,7 +223709,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g409  (
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g409  (
 	.A(u_soc_u_top_u_core_pc_if[23]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44 ),
 	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_46 ),
@@ -227224,16 +223718,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g410  (
-	.A(u_soc_u_top_u_core_pc_if[22]),
-	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42 ),
-	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_44 ),
-	.SUM(n_13598), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g411  (
+   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g411  (
 	.A(u_soc_u_top_u_core_pc_if[21]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_40 ),
 	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_42 ),
@@ -227260,7 +223745,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g414  (
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g414  (
 	.A(u_soc_u_top_u_core_pc_if[18]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34 ),
 	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_36 ),
@@ -227269,7 +223754,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g415  (
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g415  (
 	.A(u_soc_u_top_u_core_pc_if[17]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32 ),
 	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_34 ),
@@ -227278,7 +223763,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g416  (
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g416  (
 	.A(u_soc_u_top_u_core_pc_if[16]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30 ),
 	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_32 ),
@@ -227287,7 +223772,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g417  (
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g417  (
 	.A(u_soc_u_top_u_core_pc_if[15]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28 ),
 	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_30 ),
@@ -227296,7 +223781,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g418  (
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g418  (
 	.A(u_soc_u_top_u_core_pc_if[14]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_26 ),
 	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_28 ),
@@ -227341,16 +223826,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g423  (
-	.A(u_soc_u_top_u_core_pc_if[9]),
-	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16 ),
-	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_18 ),
-	.SUM(n_13585), 
-	.VPWR(vccd1), 
-	.VPB(vccd1), 
-	.VNB(vssd1), 
-	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g424  (
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g424  (
 	.A(u_soc_u_top_u_core_pc_if[8]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14 ),
 	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_16 ),
@@ -227359,7 +223835,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g425  (
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g425  (
 	.A(u_soc_u_top_u_core_pc_if[7]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12 ),
 	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_14 ),
@@ -227368,7 +223844,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g426  (
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g426  (
 	.A(u_soc_u_top_u_core_pc_if[6]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_10 ),
 	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_12 ),
@@ -227386,7 +223862,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__ha_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g428  (
+   sky130_fd_sc_hd__ha_2 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g428  (
 	.A(u_soc_u_top_u_core_pc_if[4]),
 	.B(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_6 ),
 	.COUT(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_8 ),
@@ -227416,7 +223892,7 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o21a_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g432  (
 	.A1(u_soc_u_top_u_core_pc_if[1]),
-	.A2(FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
+	.A2(u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
 	.B1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ),
 	.X(n_13577), 
 	.VPWR(vccd1), 
@@ -227425,16 +223901,16 @@
 	.VGND(vssd1));
    sky130_fd_sc_hd__o2bb2ai_1 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g433  (
 	.A1_N(u_soc_u_top_u_core_pc_if[2]),
-	.A2_N(FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
+	.A2_N(u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
 	.B1(u_soc_u_top_u_core_pc_if[2]),
-	.B2(FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
+	.B2(u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
 	.Y(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_2 ), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nand2_4 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g434  (
-	.A(FE_PSN4852_u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
+   sky130_fd_sc_hd__nand2_8 \u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_g434  (
+	.A(u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
 	.B(u_soc_u_top_u_core_pc_if[1]),
 	.Y(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ), 
 	.VPWR(vccd1), 
@@ -228036,7 +224512,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__xnor2_1 g445409 (
-	.A(FE_PSN4343_u_soc_u_top_u_core_alu_operand_b_ex_3),
+	.A(FE_OFN18113_n_142),
 	.B(n_1441),
 	.Y(n_16011), 
 	.VPWR(vccd1), 
@@ -228044,7 +224520,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__xnor2_1 g445410 (
-	.A(FE_PSN4429_u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13),
+	.A(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_13),
 	.B(u_soc_u_top_u_core_ex_block_i_alu_i_add_86_53_n_87),
 	.Y(n_16012), 
 	.VPWR(vccd1), 
@@ -228052,276 +224528,276 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[24]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(n_1827),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_29),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfsbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[2]  (
-	.CLK(CTS_50),
+	.CLK(CTS_64),
 	.D(n_1792),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_28),
-	.SET_B(FE_OFN33_io_out_37), 
+	.SET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[30]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1797),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_27),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[8]  (
-	.CLK(CTS_52),
+	.CLK(CTS_66),
 	.D(n_1812),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_26),
-	.RESET_B(FE_OFN75_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[31]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1789),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_25),
-	.RESET_B(FE_OFN31_io_out_37), 
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfsbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[3]  (
-	.CLK(CTS_50),
+	.CLK(CTS_64),
 	.D(n_1817),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_24),
-	.SET_B(FE_OFN33_io_out_37), 
+	.SET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[26]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1784),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_23),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[4]  (
-	.CLK(CTS_52),
+	.CLK(CTS_64),
 	.D(n_1795),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_22),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[21]  (
-	.CLK(CTS_51),
+	.CLK(CTS_67),
 	.D(n_1829),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_21),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[16]  (
-	.CLK(CTS_51),
+	.CLK(CTS_67),
 	.D(n_1804),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_20),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[10]  (
-	.CLK(CTS_52),
+	.CLK(CTS_66),
 	.D(n_1798),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_19),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[13]  (
-	.CLK(CTS_52),
+	.CLK(CTS_67),
 	.D(n_1821),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_18),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[22]  (
-	.CLK(CTS_51),
+	.CLK(CTS_67),
 	.D(n_1820),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_17),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[20]  (
-	.CLK(CTS_51),
+	.CLK(CTS_67),
 	.D(n_1810),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_16),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[7]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1816),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_15),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[27]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1825),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_14),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[25]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(n_1809),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_13),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[9]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1802),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_12),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[18]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(n_1788),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_11),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[6]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1793),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_10),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[23]  (
-	.CLK(CTS_51),
+	.CLK(CTS_67),
 	.D(n_1814),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_9),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[11]  (
-	.CLK(CTS_52),
+	.CLK(CTS_64),
 	.D(n_1822),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_8),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[19]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(n_1824),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_7),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[17]  (
-	.CLK(CTS_52),
+	.CLK(CTS_67),
 	.D(n_1823),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_6),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN99_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[15]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(n_1808),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_5),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[12]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(n_1815),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_4),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[5]  (
-	.CLK(CTS_50),
+	.CLK(CTS_64),
 	.D(n_1818),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_3),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[29]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1786),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_2),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[14]  (
-	.CLK(CTS_50),
+	.CLK(CTS_67),
 	.D(n_1826),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_1),
-	.RESET_B(FE_OFN33_io_out_37), 
+	.RESET_B(FE_OFN69_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__dfrbp_1 \u_soc_u_uart_u_uart_core_rx_time_rx_timeout_reg[28]  (
-	.CLK(CTS_50),
+	.CLK(CTS_66),
 	.D(n_1791),
 	.Q_N(u_soc_u_uart_u_uart_core_rx_time_gte_47_24_n_0),
-	.RESET_B(FE_OFN78_io_out_37), 
+	.RESET_B(FE_OFN155_FE_OFN98_io_out_37), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_1 g446493 (
+   sky130_fd_sc_hd__nor2b_2 g446493 (
 	.A(u_soc_instr_addr[9]),
 	.B_N(u_soc_instr_addr[8]),
 	.Y(n_17393), 
@@ -228337,15 +224813,15 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_2 g446495 (
-	.A(FE_PSN4553_u_soc_data_addr_9),
-	.B_N(FE_PSN4554_u_soc_data_addr_8),
+   sky130_fd_sc_hd__nor2b_1 g446495 (
+	.A(u_soc_data_addr[9]),
+	.B_N(u_soc_data_addr[8]),
 	.Y(n_17395), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__nor2b_4 g446496 (
+   sky130_fd_sc_hd__nor2b_1 g446496 (
 	.A(u_soc_data_addr[8]),
 	.B_N(u_soc_data_addr[9]),
 	.Y(n_17396), 
@@ -228353,7 +224829,7 @@
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
-   sky130_fd_sc_hd__a21boi_2 g446497 (
+   sky130_fd_sc_hd__a21boi_4 g446497 (
 	.A1(\u_soc_u_top_u_core_if_stage_i_gen_prefetch_buffer.prefetch_buffer_i_fifo_i_add_145_48_n_1 ),
 	.A2(u_soc_u_top_u_core_if_stage_i_instr_is_compressed),
 	.B1_N(u_soc_u_top_u_core_pc_if[2]),
@@ -228373,8 +224849,8 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__and3_1 g446499 (
-	.A(FE_OFN18449_n_15945),
-	.B(FE_OFN18245_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.A(FE_OFN18404_n_15945),
+	.B(FE_OFN18172_u_soc_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
 	.C(n_15905),
 	.X(n_17399), 
 	.VPWR(vccd1), 
@@ -228767,21 +225243,21 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__conb_1 tie_0_cell55 (
-	.HI(FE_OFN166_io_oeb_24),
+	.HI(io_oeb[24]),
 	.LO(logic_0_56_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__conb_1 tie_0_cell56 (
-	.HI(FE_OFN19025_io_oeb_35),
+	.HI(io_oeb[35]),
 	.LO(logic_0_57_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__conb_1 tie_0_cell57 (
-	.HI(FE_OFN18972_io_oeb_36),
+	.HI(io_oeb[36]),
 	.LO(logic_0_58_net), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
@@ -228922,7 +225398,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__conb_1 tie_0_cell80 (
-	.LO(io_oeb[16]), 
+	.LO(FE_OFN19012_io_oeb_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -228988,7 +225464,7 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__conb_1 tie_0_cell91 (
-	.LO(FE_PDN4026_io_oeb_27), 
+	.LO(io_oeb[27]), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
@@ -229120,13 +225596,13 @@
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__conb_1 tie_0_cell113 (
-	.LO(io_out[16]), 
+	.LO(FE_PDN19212_io_out_16), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
 	.VGND(vssd1));
    sky130_fd_sc_hd__conb_1 tie_0_cell114 (
-	.LO(io_out[17]), 
+	.LO(FE_OFN172_io_out_17), 
 	.VPWR(vccd1), 
 	.VPB(vccd1), 
 	.VNB(vssd1), 
diff --git a/verilog/gl/user_project_wrapper.v b/verilog/gl/user_project_wrapper.lvs.v
similarity index 100%
rename from verilog/gl/user_project_wrapper.v
rename to verilog/gl/user_project_wrapper.lvs.v