blob: de60a7a49364e05ed843fd69ba50011a5a69d17c [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 511 STEP 6900 ;
VIAS 3 ;
- via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 350 350 350 + ROWCOL 2 2 ;
- via4_1600x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 350 400 350 + ROWCOL 2 1 ;
- via4_1740x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 470 350 470 350 + ROWCOL 2 1 ;
END VIAS
COMPONENTS 3 ;
- mprj user_proj + FIXED ( 1300000 1500000 ) N ;
- sram sky130_sram_2kbyte_1rw1r_32x512_8 + FIXED ( 300000 1000000 ) N ;
- sram1 sky130_sram_2kbyte_1rw1r_32x512_8 + FIXED ( 300000 2500000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -181550 -1769310 ) ( -178450 1769310 )
+ LAYER met4 ( -361550 -1769310 ) ( -358450 1769310 )
+ LAYER met4 ( -541550 -1769310 ) ( -538450 1769310 )
+ LAYER met4 ( -721550 -1769310 ) ( -718450 1769310 )
+ LAYER met4 ( -901550 582765 ) ( -898450 1769310 )
+ LAYER met4 ( -1081550 582765 ) ( -1078450 1769310 )
+ LAYER met4 ( -1261550 582765 ) ( -1258450 1769310 )
+ LAYER met4 ( -1441550 582765 ) ( -1438450 1769310 )
+ LAYER met4 ( -1621550 -1769310 ) ( -1618450 1769310 )
+ LAYER met4 ( -1801550 -1769310 ) ( -1798450 1769310 )
+ LAYER met4 ( -1981550 1166700 ) ( -1978450 1769310 )
+ LAYER met4 ( -2161550 1166700 ) ( -2158450 1769310 )
+ LAYER met4 ( -2341550 1166700 ) ( -2338450 1769310 )
+ LAYER met4 ( -2521550 1166700 ) ( -2518450 1769310 )
+ LAYER met4 ( -2701550 -1769310 ) ( -2698450 1769310 )
+ LAYER met4 ( -2881550 -1769310 ) ( -2878450 1769310 )
+ LAYER met4 ( 36030 -1764510 ) ( 39130 1764510 )
+ LAYER met4 ( -2900550 -1764510 ) ( -2897450 1764510 )
+ LAYER met4 ( -1981550 -333300 ) ( -1978450 730160 )
+ LAYER met4 ( -2161550 -333300 ) ( -2158450 730160 )
+ LAYER met4 ( -2341550 -333300 ) ( -2338450 730160 )
+ LAYER met4 ( -2521550 -333300 ) ( -2518450 730160 )
+ LAYER met4 ( -901550 -1769310 ) ( -898450 -269840 )
+ LAYER met4 ( -1081550 -1769310 ) ( -1078450 -269840 )
+ LAYER met4 ( -1261550 -1769310 ) ( -1258450 -269840 )
+ LAYER met4 ( -1441550 -1769310 ) ( -1438450 -269840 )
+ LAYER met4 ( -1981550 -1769310 ) ( -1978450 -769840 )
+ LAYER met4 ( -2161550 -1769310 ) ( -2158450 -769840 )
+ LAYER met4 ( -2341550 -1769310 ) ( -2338450 -769840 )
+ LAYER met4 ( -2521550 -1769310 ) ( -2518450 -769840 )
+ LAYER met5 ( -2900550 1761410 ) ( 39130 1764510 )
+ LAYER met5 ( -2905350 1674490 ) ( 43930 1677590 )
+ LAYER met5 ( -2905350 1494490 ) ( 43930 1497590 )
+ LAYER met5 ( -2905350 1314490 ) ( 43930 1317590 )
+ LAYER met5 ( -2905350 1134490 ) ( 43930 1137590 )
+ LAYER met5 ( -2905350 954490 ) ( 43930 957590 )
+ LAYER met5 ( -2905350 774490 ) ( 43930 777590 )
+ LAYER met5 ( -2905350 594490 ) ( 43930 597590 )
+ LAYER met5 ( -2905350 414490 ) ( 43930 417590 )
+ LAYER met5 ( -2905350 234490 ) ( 43930 237590 )
+ LAYER met5 ( -2905350 54490 ) ( 43930 57590 )
+ LAYER met5 ( -2905350 -125510 ) ( 43930 -122410 )
+ LAYER met5 ( -2905350 -305510 ) ( 43930 -302410 )
+ LAYER met5 ( -2905350 -485510 ) ( 43930 -482410 )
+ LAYER met5 ( -2905350 -665510 ) ( 43930 -662410 )
+ LAYER met5 ( -2905350 -845510 ) ( 43930 -842410 )
+ LAYER met5 ( -2905350 -1025510 ) ( 43930 -1022410 )
+ LAYER met5 ( -2905350 -1205510 ) ( 43930 -1202410 )
+ LAYER met5 ( -2905350 -1385510 ) ( 43930 -1382410 )
+ LAYER met5 ( -2905350 -1565510 ) ( 43930 -1562410 )
+ LAYER met5 ( -2905350 -1745510 ) ( 43930 -1742410 )
+ LAYER met5 ( -2900550 -1764510 ) ( 39130 -1761410 )
+ FIXED ( 2890520 1759840 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -181550 -1778910 ) ( -178450 1778910 )
+ LAYER met4 ( -361550 -1778910 ) ( -358450 1778910 )
+ LAYER met4 ( -541550 -1778910 ) ( -538450 1778910 )
+ LAYER met4 ( -721550 -1778910 ) ( -718450 1778910 )
+ LAYER met4 ( -901550 582765 ) ( -898450 1778910 )
+ LAYER met4 ( -1081550 582765 ) ( -1078450 1778910 )
+ LAYER met4 ( -1261550 582765 ) ( -1258450 1778910 )
+ LAYER met4 ( -1441550 582765 ) ( -1438450 1778910 )
+ LAYER met4 ( -1621550 582765 ) ( -1618450 1778910 )
+ LAYER met4 ( -1801550 -1778910 ) ( -1798450 1778910 )
+ LAYER met4 ( -1981550 1166700 ) ( -1978450 1778910 )
+ LAYER met4 ( -2161550 1166700 ) ( -2158450 1778910 )
+ LAYER met4 ( -2341550 1166700 ) ( -2338450 1778910 )
+ LAYER met4 ( -2521550 1166700 ) ( -2518450 1778910 )
+ LAYER met4 ( -2701550 -1778910 ) ( -2698450 1778910 )
+ LAYER met4 ( -2881550 -1778910 ) ( -2878450 1778910 )
+ LAYER met4 ( 27030 -1774110 ) ( 30130 1774110 )
+ LAYER met4 ( -2928750 -1774110 ) ( -2925650 1774110 )
+ LAYER met4 ( -1981550 -333300 ) ( -1978450 730160 )
+ LAYER met4 ( -2161550 -333300 ) ( -2158450 730160 )
+ LAYER met4 ( -2341550 -333300 ) ( -2338450 730160 )
+ LAYER met4 ( -2521550 -333300 ) ( -2518450 730160 )
+ LAYER met4 ( -901550 -1778910 ) ( -898450 -269840 )
+ LAYER met4 ( -1081550 -1778910 ) ( -1078450 -269840 )
+ LAYER met4 ( -1261550 -1778910 ) ( -1258450 -269840 )
+ LAYER met4 ( -1441550 -1778910 ) ( -1438450 -269840 )
+ LAYER met4 ( -1621550 -1778910 ) ( -1618450 -269840 )
+ LAYER met4 ( -1981550 -1778910 ) ( -1978450 -769840 )
+ LAYER met4 ( -2161550 -1778910 ) ( -2158450 -769840 )
+ LAYER met4 ( -2341550 -1778910 ) ( -2338450 -769840 )
+ LAYER met4 ( -2521550 -1778910 ) ( -2518450 -769840 )
+ LAYER met5 ( -2928750 1771010 ) ( 30130 1774110 )
+ LAYER met5 ( -2933550 1693090 ) ( 34930 1696190 )
+ LAYER met5 ( -2933550 1513090 ) ( 34930 1516190 )
+ LAYER met5 ( -2933550 1333090 ) ( 34930 1336190 )
+ LAYER met5 ( -2933550 1153090 ) ( 34930 1156190 )
+ LAYER met5 ( -2933550 973090 ) ( 34930 976190 )
+ LAYER met5 ( -2933550 793090 ) ( 34930 796190 )
+ LAYER met5 ( -2933550 613090 ) ( 34930 616190 )
+ LAYER met5 ( -2933550 433090 ) ( 34930 436190 )
+ LAYER met5 ( -2933550 253090 ) ( 34930 256190 )
+ LAYER met5 ( -2933550 73090 ) ( 34930 76190 )
+ LAYER met5 ( -2933550 -106910 ) ( 34930 -103810 )
+ LAYER met5 ( -2933550 -286910 ) ( 34930 -283810 )
+ LAYER met5 ( -2933550 -466910 ) ( 34930 -463810 )
+ LAYER met5 ( -2933550 -646910 ) ( 34930 -643810 )
+ LAYER met5 ( -2933550 -826910 ) ( 34930 -823810 )
+ LAYER met5 ( -2933550 -1006910 ) ( 34930 -1003810 )
+ LAYER met5 ( -2933550 -1186910 ) ( 34930 -1183810 )
+ LAYER met5 ( -2933550 -1366910 ) ( 34930 -1363810 )
+ LAYER met5 ( -2933550 -1546910 ) ( 34930 -1543810 )
+ LAYER met5 ( -2933550 -1726910 ) ( 34930 -1723810 )
+ LAYER met5 ( -2928750 -1774110 ) ( 30130 -1771010 )
+ FIXED ( 2909120 1759840 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -181550 -1788510 ) ( -178450 1788510 )
+ LAYER met4 ( -361550 -1788510 ) ( -358450 1788510 )
+ LAYER met4 ( -541550 -1788510 ) ( -538450 1788510 )
+ LAYER met4 ( -721550 582765 ) ( -718450 1788510 )
+ LAYER met4 ( -901550 582765 ) ( -898450 1788510 )
+ LAYER met4 ( -1081550 582765 ) ( -1078450 1788510 )
+ LAYER met4 ( -1261550 582765 ) ( -1258450 1788510 )
+ LAYER met4 ( -1441550 582765 ) ( -1438450 1788510 )
+ LAYER met4 ( -1621550 -1788510 ) ( -1618450 1788510 )
+ LAYER met4 ( -1801550 1166700 ) ( -1798450 1788510 )
+ LAYER met4 ( -1981550 1166700 ) ( -1978450 1788510 )
+ LAYER met4 ( -2161550 1166700 ) ( -2158450 1788510 )
+ LAYER met4 ( -2341550 1166700 ) ( -2338450 1788510 )
+ LAYER met4 ( -2521550 -1788510 ) ( -2518450 1788510 )
+ LAYER met4 ( -2701550 -1788510 ) ( -2698450 1788510 )
+ LAYER met4 ( 198030 -1783710 ) ( 201130 1783710 )
+ LAYER met4 ( -2776950 -1783710 ) ( -2773850 1783710 )
+ LAYER met4 ( -1801550 -333300 ) ( -1798450 730160 )
+ LAYER met4 ( -1981550 -333300 ) ( -1978450 730160 )
+ LAYER met4 ( -2161550 -333300 ) ( -2158450 730160 )
+ LAYER met4 ( -2341550 -333300 ) ( -2338450 730160 )
+ LAYER met4 ( -721550 -1788510 ) ( -718450 -269840 )
+ LAYER met4 ( -901550 -1788510 ) ( -898450 -269840 )
+ LAYER met4 ( -1081550 -1788510 ) ( -1078450 -269840 )
+ LAYER met4 ( -1261550 -1788510 ) ( -1258450 -269840 )
+ LAYER met4 ( -1441550 -1788510 ) ( -1438450 -269840 )
+ LAYER met4 ( -1801550 -1788510 ) ( -1798450 -769840 )
+ LAYER met4 ( -1981550 -1788510 ) ( -1978450 -769840 )
+ LAYER met4 ( -2161550 -1788510 ) ( -2158450 -769840 )
+ LAYER met4 ( -2341550 -1788510 ) ( -2338450 -769840 )
+ LAYER met5 ( -2776950 1780610 ) ( 201130 1783710 )
+ LAYER met5 ( -2781750 1711690 ) ( 205930 1714790 )
+ LAYER met5 ( -2781750 1531690 ) ( 205930 1534790 )
+ LAYER met5 ( -2781750 1351690 ) ( 205930 1354790 )
+ LAYER met5 ( -2781750 1171690 ) ( 205930 1174790 )
+ LAYER met5 ( -2781750 991690 ) ( 205930 994790 )
+ LAYER met5 ( -2781750 811690 ) ( 205930 814790 )
+ LAYER met5 ( -2781750 631690 ) ( 205930 634790 )
+ LAYER met5 ( -2781750 451690 ) ( 205930 454790 )
+ LAYER met5 ( -2781750 271690 ) ( 205930 274790 )
+ LAYER met5 ( -2781750 91690 ) ( 205930 94790 )
+ LAYER met5 ( -2781750 -88310 ) ( 205930 -85210 )
+ LAYER met5 ( -2781750 -268310 ) ( 205930 -265210 )
+ LAYER met5 ( -2781750 -448310 ) ( 205930 -445210 )
+ LAYER met5 ( -2781750 -628310 ) ( 205930 -625210 )
+ LAYER met5 ( -2781750 -808310 ) ( 205930 -805210 )
+ LAYER met5 ( -2781750 -988310 ) ( 205930 -985210 )
+ LAYER met5 ( -2781750 -1168310 ) ( 205930 -1165210 )
+ LAYER met5 ( -2781750 -1348310 ) ( 205930 -1345210 )
+ LAYER met5 ( -2781750 -1528310 ) ( 205930 -1525210 )
+ LAYER met5 ( -2781750 -1708310 ) ( 205930 -1705210 )
+ LAYER met5 ( -2776950 -1783710 ) ( 201130 -1780610 )
+ FIXED ( 2747720 1759840 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -181550 -1798110 ) ( -178450 1798110 )
+ LAYER met4 ( -361550 -1798110 ) ( -358450 1798110 )
+ LAYER met4 ( -541550 -1798110 ) ( -538450 1798110 )
+ LAYER met4 ( -721550 582765 ) ( -718450 1798110 )
+ LAYER met4 ( -901550 582765 ) ( -898450 1798110 )
+ LAYER met4 ( -1081550 582765 ) ( -1078450 1798110 )
+ LAYER met4 ( -1261550 582765 ) ( -1258450 1798110 )
+ LAYER met4 ( -1441550 582765 ) ( -1438450 1798110 )
+ LAYER met4 ( -1621550 -1798110 ) ( -1618450 1798110 )
+ LAYER met4 ( -1801550 1166700 ) ( -1798450 1798110 )
+ LAYER met4 ( -1981550 1166700 ) ( -1978450 1798110 )
+ LAYER met4 ( -2161550 1166700 ) ( -2158450 1798110 )
+ LAYER met4 ( -2341550 1166700 ) ( -2338450 1798110 )
+ LAYER met4 ( -2521550 -1798110 ) ( -2518450 1798110 )
+ LAYER met4 ( -2701550 -1798110 ) ( -2698450 1798110 )
+ LAYER met4 ( 189030 -1793310 ) ( 192130 1793310 )
+ LAYER met4 ( -2805150 -1793310 ) ( -2802050 1793310 )
+ LAYER met4 ( -1801550 -333300 ) ( -1798450 730160 )
+ LAYER met4 ( -1981550 -333300 ) ( -1978450 730160 )
+ LAYER met4 ( -2161550 -333300 ) ( -2158450 730160 )
+ LAYER met4 ( -2341550 -333300 ) ( -2338450 730160 )
+ LAYER met4 ( -721550 -1798110 ) ( -718450 -269840 )
+ LAYER met4 ( -901550 -1798110 ) ( -898450 -269840 )
+ LAYER met4 ( -1081550 -1798110 ) ( -1078450 -269840 )
+ LAYER met4 ( -1261550 -1798110 ) ( -1258450 -269840 )
+ LAYER met4 ( -1441550 -1798110 ) ( -1438450 -269840 )
+ LAYER met4 ( -1801550 -1798110 ) ( -1798450 -769840 )
+ LAYER met4 ( -1981550 -1798110 ) ( -1978450 -769840 )
+ LAYER met4 ( -2161550 -1798110 ) ( -2158450 -769840 )
+ LAYER met4 ( -2341550 -1798110 ) ( -2338450 -769840 )
+ LAYER met5 ( -2805150 1790210 ) ( 192130 1793310 )
+ LAYER met5 ( -2809950 1730290 ) ( 196930 1733390 )
+ LAYER met5 ( -2809950 1550290 ) ( 196930 1553390 )
+ LAYER met5 ( -2809950 1370290 ) ( 196930 1373390 )
+ LAYER met5 ( -2809950 1190290 ) ( 196930 1193390 )
+ LAYER met5 ( -2809950 1010290 ) ( 196930 1013390 )
+ LAYER met5 ( -2809950 830290 ) ( 196930 833390 )
+ LAYER met5 ( -2809950 650290 ) ( 196930 653390 )
+ LAYER met5 ( -2809950 470290 ) ( 196930 473390 )
+ LAYER met5 ( -2809950 290290 ) ( 196930 293390 )
+ LAYER met5 ( -2809950 110290 ) ( 196930 113390 )
+ LAYER met5 ( -2809950 -69710 ) ( 196930 -66610 )
+ LAYER met5 ( -2809950 -249710 ) ( 196930 -246610 )
+ LAYER met5 ( -2809950 -429710 ) ( 196930 -426610 )
+ LAYER met5 ( -2809950 -609710 ) ( 196930 -606610 )
+ LAYER met5 ( -2809950 -789710 ) ( 196930 -786610 )
+ LAYER met5 ( -2809950 -969710 ) ( 196930 -966610 )
+ LAYER met5 ( -2809950 -1149710 ) ( 196930 -1146610 )
+ LAYER met5 ( -2809950 -1329710 ) ( 196930 -1326610 )
+ LAYER met5 ( -2809950 -1509710 ) ( 196930 -1506610 )
+ LAYER met5 ( -2809950 -1689710 ) ( 196930 -1686610 )
+ LAYER met5 ( -2805150 -1793310 ) ( 192130 -1790210 )
+ FIXED ( 2766320 1759840 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -115930 -1788510 ) ( -112830 1788510 )
+ LAYER met4 ( -295930 -1788510 ) ( -292830 1788510 )
+ LAYER met4 ( -475930 -1788510 ) ( -472830 1788510 )
+ LAYER met4 ( -655930 -1788510 ) ( -652830 1788510 )
+ LAYER met4 ( -835930 582765 ) ( -832830 1788510 )
+ LAYER met4 ( -1015930 582765 ) ( -1012830 1788510 )
+ LAYER met4 ( -1195930 582765 ) ( -1192830 1788510 )
+ LAYER met4 ( -1375930 582765 ) ( -1372830 1788510 )
+ LAYER met4 ( -1555930 582765 ) ( -1552830 1788510 )
+ LAYER met4 ( -1735930 -1788510 ) ( -1732830 1788510 )
+ LAYER met4 ( -1915930 -1788510 ) ( -1912830 1788510 )
+ LAYER met4 ( -2095930 1166700 ) ( -2092830 1788510 )
+ LAYER met4 ( -2275930 1166700 ) ( -2272830 1788510 )
+ LAYER met4 ( -2455930 1166700 ) ( -2452830 1788510 )
+ LAYER met4 ( -2635930 1166700 ) ( -2632830 1788510 )
+ LAYER met4 ( -2815930 -1788510 ) ( -2812830 1788510 )
+ LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
+ LAYER met4 ( -2095930 -333300 ) ( -2092830 730160 )
+ LAYER met4 ( -2275930 -333300 ) ( -2272830 730160 )
+ LAYER met4 ( -2455930 -333300 ) ( -2452830 730160 )
+ LAYER met4 ( -2635930 -333300 ) ( -2632830 730160 )
+ LAYER met4 ( -835930 -1788510 ) ( -832830 -269840 )
+ LAYER met4 ( -1015930 -1788510 ) ( -1012830 -269840 )
+ LAYER met4 ( -1195930 -1788510 ) ( -1192830 -269840 )
+ LAYER met4 ( -1375930 -1788510 ) ( -1372830 -269840 )
+ LAYER met4 ( -1555930 -1788510 ) ( -1552830 -269840 )
+ LAYER met4 ( -2095930 -1788510 ) ( -2092830 -769840 )
+ LAYER met4 ( -2275930 -1788510 ) ( -2272830 -769840 )
+ LAYER met4 ( -2455930 -1788510 ) ( -2452830 -769840 )
+ LAYER met4 ( -2635930 -1788510 ) ( -2632830 -769840 )
+ LAYER met5 ( -2986130 1785410 ) ( 1550 1788510 )
+ LAYER met5 ( -2986130 1621690 ) ( 1550 1624790 )
+ LAYER met5 ( -2986130 1441690 ) ( 1550 1444790 )
+ LAYER met5 ( -2986130 1261690 ) ( 1550 1264790 )
+ LAYER met5 ( -2986130 1081690 ) ( 1550 1084790 )
+ LAYER met5 ( -2986130 901690 ) ( 1550 904790 )
+ LAYER met5 ( -2986130 721690 ) ( 1550 724790 )
+ LAYER met5 ( -2986130 541690 ) ( 1550 544790 )
+ LAYER met5 ( -2986130 361690 ) ( 1550 364790 )
+ LAYER met5 ( -2986130 181690 ) ( 1550 184790 )
+ LAYER met5 ( -2986130 1690 ) ( 1550 4790 )
+ LAYER met5 ( -2986130 -178310 ) ( 1550 -175210 )
+ LAYER met5 ( -2986130 -358310 ) ( 1550 -355210 )
+ LAYER met5 ( -2986130 -538310 ) ( 1550 -535210 )
+ LAYER met5 ( -2986130 -718310 ) ( 1550 -715210 )
+ LAYER met5 ( -2986130 -898310 ) ( 1550 -895210 )
+ LAYER met5 ( -2986130 -1078310 ) ( 1550 -1075210 )
+ LAYER met5 ( -2986130 -1258310 ) ( 1550 -1255210 )
+ LAYER met5 ( -2986130 -1438310 ) ( 1550 -1435210 )
+ LAYER met5 ( -2986130 -1618310 ) ( 1550 -1615210 )
+ LAYER met5 ( -2986130 -1788510 ) ( 1550 -1785410 )
+ FIXED ( 2952100 1759840 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -106930 -1798110 ) ( -103830 1798110 )
+ LAYER met4 ( -286930 -1798110 ) ( -283830 1798110 )
+ LAYER met4 ( -466930 -1798110 ) ( -463830 1798110 )
+ LAYER met4 ( -646930 -1798110 ) ( -643830 1798110 )
+ LAYER met4 ( -826930 -1798110 ) ( -823830 1798110 )
+ LAYER met4 ( -1006930 582765 ) ( -1003830 1798110 )
+ LAYER met4 ( -1186930 582765 ) ( -1183830 1798110 )
+ LAYER met4 ( -1366930 582765 ) ( -1363830 1798110 )
+ LAYER met4 ( -1546930 582765 ) ( -1543830 1798110 )
+ LAYER met4 ( -1726930 -1798110 ) ( -1723830 1798110 )
+ LAYER met4 ( -1906930 -1798110 ) ( -1903830 1798110 )
+ LAYER met4 ( -2086930 1166700 ) ( -2083830 1798110 )
+ LAYER met4 ( -2266930 1166700 ) ( -2263830 1798110 )
+ LAYER met4 ( -2446930 1166700 ) ( -2443830 1798110 )
+ LAYER met4 ( -2626930 1166700 ) ( -2623830 1798110 )
+ LAYER met4 ( -2806930 -1798110 ) ( -2803830 1798110 )
+ LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
+ LAYER met4 ( -2086930 -333300 ) ( -2083830 730160 )
+ LAYER met4 ( -2266930 -333300 ) ( -2263830 730160 )
+ LAYER met4 ( -2446930 -333300 ) ( -2443830 730160 )
+ LAYER met4 ( -2626930 -333300 ) ( -2623830 730160 )
+ LAYER met4 ( -1006930 -1798110 ) ( -1003830 -269840 )
+ LAYER met4 ( -1186930 -1798110 ) ( -1183830 -269840 )
+ LAYER met4 ( -1366930 -1798110 ) ( -1363830 -269840 )
+ LAYER met4 ( -1546930 -1798110 ) ( -1543830 -269840 )
+ LAYER met4 ( -2086930 -1798110 ) ( -2083830 -769840 )
+ LAYER met4 ( -2266930 -1798110 ) ( -2263830 -769840 )
+ LAYER met4 ( -2446930 -1798110 ) ( -2443830 -769840 )
+ LAYER met4 ( -2626930 -1798110 ) ( -2623830 -769840 )
+ LAYER met5 ( -3005330 1795010 ) ( 1550 1798110 )
+ LAYER met5 ( -3005330 1640290 ) ( 1550 1643390 )
+ LAYER met5 ( -3005330 1460290 ) ( 1550 1463390 )
+ LAYER met5 ( -3005330 1280290 ) ( 1550 1283390 )
+ LAYER met5 ( -3005330 1100290 ) ( 1550 1103390 )
+ LAYER met5 ( -3005330 920290 ) ( 1550 923390 )
+ LAYER met5 ( -3005330 740290 ) ( 1550 743390 )
+ LAYER met5 ( -3005330 560290 ) ( 1550 563390 )
+ LAYER met5 ( -3005330 380290 ) ( 1550 383390 )
+ LAYER met5 ( -3005330 200290 ) ( 1550 203390 )
+ LAYER met5 ( -3005330 20290 ) ( 1550 23390 )
+ LAYER met5 ( -3005330 -159710 ) ( 1550 -156610 )
+ LAYER met5 ( -3005330 -339710 ) ( 1550 -336610 )
+ LAYER met5 ( -3005330 -519710 ) ( 1550 -516610 )
+ LAYER met5 ( -3005330 -699710 ) ( 1550 -696610 )
+ LAYER met5 ( -3005330 -879710 ) ( 1550 -876610 )
+ LAYER met5 ( -3005330 -1059710 ) ( 1550 -1056610 )
+ LAYER met5 ( -3005330 -1239710 ) ( 1550 -1236610 )
+ LAYER met5 ( -3005330 -1419710 ) ( 1550 -1416610 )
+ LAYER met5 ( -3005330 -1599710 ) ( 1550 -1596610 )
+ LAYER met5 ( -3005330 -1798110 ) ( 1550 -1795010 )
+ FIXED ( 2961700 1759840 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -133930 -1769310 ) ( -130830 1769310 )
+ LAYER met4 ( -313930 -1769310 ) ( -310830 1769310 )
+ LAYER met4 ( -493930 -1769310 ) ( -490830 1769310 )
+ LAYER met4 ( -673930 -1769310 ) ( -670830 1769310 )
+ LAYER met4 ( -853930 582765 ) ( -850830 1769310 )
+ LAYER met4 ( -1033930 582765 ) ( -1030830 1769310 )
+ LAYER met4 ( -1213930 582765 ) ( -1210830 1769310 )
+ LAYER met4 ( -1393930 582765 ) ( -1390830 1769310 )
+ LAYER met4 ( -1573930 582765 ) ( -1570830 1769310 )
+ LAYER met4 ( -1753930 -1769310 ) ( -1750830 1769310 )
+ LAYER met4 ( -1933930 -1769310 ) ( -1930830 1769310 )
+ LAYER met4 ( -2113930 1166700 ) ( -2110830 1769310 )
+ LAYER met4 ( -2293930 1166700 ) ( -2290830 1769310 )
+ LAYER met4 ( -2473930 1166700 ) ( -2470830 1769310 )
+ LAYER met4 ( -2653930 -1769310 ) ( -2650830 1769310 )
+ LAYER met4 ( -2833930 -1769310 ) ( -2830830 1769310 )
+ LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
+ LAYER met4 ( -2113930 -333300 ) ( -2110830 730160 )
+ LAYER met4 ( -2293930 -333300 ) ( -2290830 730160 )
+ LAYER met4 ( -2473930 -333300 ) ( -2470830 730160 )
+ LAYER met4 ( -853930 -1769310 ) ( -850830 -269840 )
+ LAYER met4 ( -1033930 -1769310 ) ( -1030830 -269840 )
+ LAYER met4 ( -1213930 -1769310 ) ( -1210830 -269840 )
+ LAYER met4 ( -1393930 -1769310 ) ( -1390830 -269840 )
+ LAYER met4 ( -1573930 -1769310 ) ( -1570830 -269840 )
+ LAYER met4 ( -2113930 -1769310 ) ( -2110830 -769840 )
+ LAYER met4 ( -2293930 -1769310 ) ( -2290830 -769840 )
+ LAYER met4 ( -2473930 -1769310 ) ( -2470830 -769840 )
+ LAYER met5 ( -2947730 1766210 ) ( 1550 1769310 )
+ LAYER met5 ( -2947730 1584490 ) ( 1550 1587590 )
+ LAYER met5 ( -2947730 1404490 ) ( 1550 1407590 )
+ LAYER met5 ( -2947730 1224490 ) ( 1550 1227590 )
+ LAYER met5 ( -2947730 1044490 ) ( 1550 1047590 )
+ LAYER met5 ( -2947730 864490 ) ( 1550 867590 )
+ LAYER met5 ( -2947730 684490 ) ( 1550 687590 )
+ LAYER met5 ( -2947730 504490 ) ( 1550 507590 )
+ LAYER met5 ( -2947730 324490 ) ( 1550 327590 )
+ LAYER met5 ( -2947730 144490 ) ( 1550 147590 )
+ LAYER met5 ( -2947730 -35510 ) ( 1550 -32410 )
+ LAYER met5 ( -2947730 -215510 ) ( 1550 -212410 )
+ LAYER met5 ( -2947730 -395510 ) ( 1550 -392410 )
+ LAYER met5 ( -2947730 -575510 ) ( 1550 -572410 )
+ LAYER met5 ( -2947730 -755510 ) ( 1550 -752410 )
+ LAYER met5 ( -2947730 -935510 ) ( 1550 -932410 )
+ LAYER met5 ( -2947730 -1115510 ) ( 1550 -1112410 )
+ LAYER met5 ( -2947730 -1295510 ) ( 1550 -1292410 )
+ LAYER met5 ( -2947730 -1475510 ) ( 1550 -1472410 )
+ LAYER met5 ( -2947730 -1655510 ) ( 1550 -1652410 )
+ LAYER met5 ( -2947730 -1769310 ) ( 1550 -1766210 )
+ FIXED ( 2932900 1759840 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -124930 -1778910 ) ( -121830 1778910 )
+ LAYER met4 ( -304930 -1778910 ) ( -301830 1778910 )
+ LAYER met4 ( -484930 -1778910 ) ( -481830 1778910 )
+ LAYER met4 ( -664930 -1778910 ) ( -661830 1778910 )
+ LAYER met4 ( -844930 582765 ) ( -841830 1778910 )
+ LAYER met4 ( -1024930 582765 ) ( -1021830 1778910 )
+ LAYER met4 ( -1204930 582765 ) ( -1201830 1778910 )
+ LAYER met4 ( -1384930 582765 ) ( -1381830 1778910 )
+ LAYER met4 ( -1564930 582765 ) ( -1561830 1778910 )
+ LAYER met4 ( -1744930 -1778910 ) ( -1741830 1778910 )
+ LAYER met4 ( -1924930 -1778910 ) ( -1921830 1778910 )
+ LAYER met4 ( -2104930 1166700 ) ( -2101830 1778910 )
+ LAYER met4 ( -2284930 1166700 ) ( -2281830 1778910 )
+ LAYER met4 ( -2464930 1166700 ) ( -2461830 1778910 )
+ LAYER met4 ( -2644930 1166700 ) ( -2641830 1778910 )
+ LAYER met4 ( -2824930 -1778910 ) ( -2821830 1778910 )
+ LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
+ LAYER met4 ( -2104930 -333300 ) ( -2101830 730160 )
+ LAYER met4 ( -2284930 -333300 ) ( -2281830 730160 )
+ LAYER met4 ( -2464930 -333300 ) ( -2461830 730160 )
+ LAYER met4 ( -2644930 -333300 ) ( -2641830 730160 )
+ LAYER met4 ( -844930 -1778910 ) ( -841830 -269840 )
+ LAYER met4 ( -1024930 -1778910 ) ( -1021830 -269840 )
+ LAYER met4 ( -1204930 -1778910 ) ( -1201830 -269840 )
+ LAYER met4 ( -1384930 -1778910 ) ( -1381830 -269840 )
+ LAYER met4 ( -1564930 -1778910 ) ( -1561830 -269840 )
+ LAYER met4 ( -2104930 -1778910 ) ( -2101830 -769840 )
+ LAYER met4 ( -2284930 -1778910 ) ( -2281830 -769840 )
+ LAYER met4 ( -2464930 -1778910 ) ( -2461830 -769840 )
+ LAYER met4 ( -2644930 -1778910 ) ( -2641830 -769840 )
+ LAYER met5 ( -2966930 1775810 ) ( 1550 1778910 )
+ LAYER met5 ( -2966930 1603090 ) ( 1550 1606190 )
+ LAYER met5 ( -2966930 1423090 ) ( 1550 1426190 )
+ LAYER met5 ( -2966930 1243090 ) ( 1550 1246190 )
+ LAYER met5 ( -2966930 1063090 ) ( 1550 1066190 )
+ LAYER met5 ( -2966930 883090 ) ( 1550 886190 )
+ LAYER met5 ( -2966930 703090 ) ( 1550 706190 )
+ LAYER met5 ( -2966930 523090 ) ( 1550 526190 )
+ LAYER met5 ( -2966930 343090 ) ( 1550 346190 )
+ LAYER met5 ( -2966930 163090 ) ( 1550 166190 )
+ LAYER met5 ( -2966930 -16910 ) ( 1550 -13810 )
+ LAYER met5 ( -2966930 -196910 ) ( 1550 -193810 )
+ LAYER met5 ( -2966930 -376910 ) ( 1550 -373810 )
+ LAYER met5 ( -2966930 -556910 ) ( 1550 -553810 )
+ LAYER met5 ( -2966930 -736910 ) ( 1550 -733810 )
+ LAYER met5 ( -2966930 -916910 ) ( 1550 -913810 )
+ LAYER met5 ( -2966930 -1096910 ) ( 1550 -1093810 )
+ LAYER met5 ( -2966930 -1276910 ) ( 1550 -1273810 )
+ LAYER met5 ( -2966930 -1456910 ) ( 1550 -1453810 )
+ LAYER met5 ( -2966930 -1636910 ) ( 1550 -1633810 )
+ LAYER met5 ( -2966930 -1778910 ) ( 1550 -1775810 )
+ FIXED ( 2942500 1759840 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
BLOCKAGES 9 ;
- LAYER met1 RECT ( 300000 1000000 ) ( 983100 1416540 ) ;
- LAYER met2 RECT ( 300000 1000000 ) ( 983100 1416540 ) ;
- LAYER met3 RECT ( 300000 1000000 ) ( 983100 1416540 ) ;
- LAYER met4 RECT ( 300000 1000000 ) ( 983100 1416540 ) ;
- LAYER met1 RECT ( 300000 2500000 ) ( 983100 2916540 ) ;
- LAYER met2 RECT ( 300000 2500000 ) ( 983100 2916540 ) ;
- LAYER met3 RECT ( 300000 2500000 ) ( 983100 2916540 ) ;
- LAYER met4 RECT ( 300000 2500000 ) ( 983100 2916540 ) ;
- LAYER met5 RECT ( 0 0 ) ( 2920000 3520000 ) ;
END BLOCKAGES
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 977470 2895880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 305630 2895880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 977470 2715880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 305630 2715880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 977470 2535880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 305630 2535880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 977470 1275880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 305630 1275880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 977470 1095880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 305630 1095880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 2175880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 1995880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 1815880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2089840 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1936240 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1782640 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1629040 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1475440 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1321840 1635880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3435880 ) ( 2934450 3435880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3255880 ) ( 2934450 3255880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3075880 ) ( 2934450 3075880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2895880 ) ( 2934450 2895880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2715880 ) ( 2934450 2715880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2535880 ) ( 2934450 2535880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2355880 ) ( 2934450 2355880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2175880 ) ( 2934450 2175880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1995880 ) ( 2934450 1995880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1815880 ) ( 2934450 1815880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1635880 ) ( 2934450 1635880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1455880 ) ( 2934450 1455880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1275880 ) ( 2934450 1275880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1095880 ) ( 2934450 1095880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 915880 ) ( 2934450 915880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 735880 ) ( 2934450 735880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 555880 ) ( 2934450 555880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 375880 ) ( 2934450 375880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 195880 ) ( 2934450 195880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 15880 ) ( 2934450 15880 )
NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3100 + SHAPE STRIPE ( 2890520 -9470 ) ( 2890520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 -9470 ) ( 2530520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 -9470 ) ( 2350520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 -9470 ) ( 2170520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 2342605 ) ( 1990520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 2342605 ) ( 1810520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 2342605 ) ( 1630520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 2342605 ) ( 1450520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 -9470 ) ( 1270520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 -9470 ) ( 1090520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 910520 2926540 ) ( 910520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 730520 2926540 ) ( 730520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 550520 2926540 ) ( 550520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 370520 2926540 ) ( 370520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 190520 -9470 ) ( 190520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 10520 -9470 ) ( 10520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 3100 + SHAPE STRIPE ( 910520 1426540 ) ( 910520 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 730520 1426540 ) ( 730520 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 550520 1426540 ) ( 550520 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 1426540 ) ( 370520 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 -9470 ) ( 1990520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 -9470 ) ( 1810520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 -9470 ) ( 1630520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 -9470 ) ( 1450520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 910520 -9470 ) ( 910520 990000 )
NEW met4 3100 + SHAPE STRIPE ( 730520 -9470 ) ( 730520 990000 )
NEW met4 3100 + SHAPE STRIPE ( 550520 -9470 ) ( 550520 990000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 -9470 ) ( 370520 990000 ) ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2937700 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 -12720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3454480 ) ( 2944050 3454480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3274480 ) ( 2944050 3274480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3094480 ) ( 2944050 3094480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2914480 ) ( 2944050 2914480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2734480 ) ( 2944050 2734480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2554480 ) ( 2944050 2554480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2374480 ) ( 2944050 2374480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2194480 ) ( 2944050 2194480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2014480 ) ( 2944050 2014480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1834480 ) ( 2944050 1834480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1654480 ) ( 2944050 1654480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1474480 ) ( 2944050 1474480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1294480 ) ( 2944050 1294480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1114480 ) ( 2944050 1114480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 934480 ) ( 2944050 934480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 754480 ) ( 2944050 754480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 574480 ) ( 2944050 574480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 394480 ) ( 2944050 394480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 214480 ) ( 2944050 214480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 34480 ) ( 2944050 34480 )
NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3100 + SHAPE STRIPE ( 2909120 -19070 ) ( 2909120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 -19070 ) ( 2549120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 -19070 ) ( 2369120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 -19070 ) ( 2189120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 2342605 ) ( 2009120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 2342605 ) ( 1829120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 2342605 ) ( 1649120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 2342605 ) ( 1469120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 2342605 ) ( 1289120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 -19070 ) ( 1109120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 929120 2926540 ) ( 929120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 749120 2926540 ) ( 749120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 569120 2926540 ) ( 569120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 389120 2926540 ) ( 389120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 209120 -19070 ) ( 209120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 29120 -19070 ) ( 29120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 3100 + SHAPE STRIPE ( 929120 1426540 ) ( 929120 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 749120 1426540 ) ( 749120 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 569120 1426540 ) ( 569120 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 1426540 ) ( 389120 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 -19070 ) ( 2009120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 -19070 ) ( 1829120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 -19070 ) ( 1649120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 -19070 ) ( 1469120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 -19070 ) ( 1289120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 929120 -19070 ) ( 929120 990000 )
NEW met4 3100 + SHAPE STRIPE ( 749120 -19070 ) ( 749120 990000 )
NEW met4 3100 + SHAPE STRIPE ( 569120 -19070 ) ( 569120 990000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 -19070 ) ( 389120 990000 ) ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2947300 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 -22320 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3473080 ) ( 2953650 3473080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3293080 ) ( 2953650 3293080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3113080 ) ( 2953650 3113080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2933080 ) ( 2953650 2933080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2753080 ) ( 2953650 2753080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2573080 ) ( 2953650 2573080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2393080 ) ( 2953650 2393080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2213080 ) ( 2953650 2213080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2033080 ) ( 2953650 2033080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1853080 ) ( 2953650 1853080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1673080 ) ( 2953650 1673080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1493080 ) ( 2953650 1493080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1313080 ) ( 2953650 1313080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1133080 ) ( 2953650 1133080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 953080 ) ( 2953650 953080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 773080 ) ( 2953650 773080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 593080 ) ( 2953650 593080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 413080 ) ( 2953650 413080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 233080 ) ( 2953650 233080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 53080 ) ( 2953650 53080 )
NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 -28670 ) ( 2747720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 -28670 ) ( 2567720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 -28670 ) ( 2387720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 -28670 ) ( 2207720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 2342605 ) ( 2027720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 2342605 ) ( 1847720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 2342605 ) ( 1667720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 2342605 ) ( 1487720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 2342605 ) ( 1307720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 -28670 ) ( 1127720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 947720 2926540 ) ( 947720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 767720 2926540 ) ( 767720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 587720 2926540 ) ( 587720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 407720 2926540 ) ( 407720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 227720 -28670 ) ( 227720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 47720 -28670 ) ( 47720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met4 3100 + SHAPE STRIPE ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 3100 + SHAPE STRIPE ( 947720 1426540 ) ( 947720 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 767720 1426540 ) ( 767720 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 587720 1426540 ) ( 587720 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 1426540 ) ( 407720 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 -28670 ) ( 2027720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 -28670 ) ( 1847720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 -28670 ) ( 1667720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 -28670 ) ( 1487720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 -28670 ) ( 1307720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 947720 -28670 ) ( 947720 990000 )
NEW met4 3100 + SHAPE STRIPE ( 767720 -28670 ) ( 767720 990000 )
NEW met4 3100 + SHAPE STRIPE ( 587720 -28670 ) ( 587720 990000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 -28670 ) ( 407720 990000 ) ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2956900 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 -31920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3491680 ) ( 2963250 3491680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3311680 ) ( 2963250 3311680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3131680 ) ( 2963250 3131680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2951680 ) ( 2963250 2951680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2771680 ) ( 2963250 2771680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2591680 ) ( 2963250 2591680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2411680 ) ( 2963250 2411680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2231680 ) ( 2963250 2231680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2051680 ) ( 2963250 2051680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1871680 ) ( 2963250 1871680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1691680 ) ( 2963250 1691680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1511680 ) ( 2963250 1511680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1331680 ) ( 2963250 1331680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1151680 ) ( 2963250 1151680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 971680 ) ( 2963250 971680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 791680 ) ( 2963250 791680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 611680 ) ( 2963250 611680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 431680 ) ( 2963250 431680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 251680 ) ( 2963250 251680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 -38270 ) ( 2226320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 2342605 ) ( 2046320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 2342605 ) ( 1866320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 2342605 ) ( 1686320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 2342605 ) ( 1506320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 2342605 ) ( 1326320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 -38270 ) ( 1146320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 966320 2926540 ) ( 966320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 786320 2926540 ) ( 786320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 606320 2926540 ) ( 606320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 426320 2926540 ) ( 426320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met4 3100 + SHAPE STRIPE ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 3100 + SHAPE STRIPE ( 966320 1426540 ) ( 966320 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 786320 1426540 ) ( 786320 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 606320 1426540 ) ( 606320 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 1426540 ) ( 426320 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 -38270 ) ( 2046320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 -38270 ) ( 1866320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 -38270 ) ( 1686320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 -38270 ) ( 1506320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 -38270 ) ( 1326320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 966320 -38270 ) ( 966320 990000 )
NEW met4 3100 + SHAPE STRIPE ( 786320 -38270 ) ( 786320 990000 )
NEW met4 3100 + SHAPE STRIPE ( 606320 -38270 ) ( 606320 990000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 -38270 ) ( 426320 990000 ) ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2952100 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 -27120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3383080 ) ( 2953650 3383080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3203080 ) ( 2953650 3203080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3023080 ) ( 2953650 3023080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2843080 ) ( 2953650 2843080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2663080 ) ( 2953650 2663080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2483080 ) ( 2953650 2483080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2303080 ) ( 2953650 2303080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2123080 ) ( 2953650 2123080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1943080 ) ( 2953650 1943080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1763080 ) ( 2953650 1763080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1583080 ) ( 2953650 1583080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1403080 ) ( 2953650 1403080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1223080 ) ( 2953650 1223080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1043080 ) ( 2953650 1043080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 863080 ) ( 2953650 863080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 683080 ) ( 2953650 683080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 503080 ) ( 2953650 503080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 323080 ) ( 2953650 323080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 143080 ) ( 2953650 143080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2837720 -28670 ) ( 2837720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 -28670 ) ( 2477720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 -28670 ) ( 2297720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 2342605 ) ( 2117720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 2342605 ) ( 1937720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 2342605 ) ( 1757720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 2342605 ) ( 1577720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 2342605 ) ( 1397720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 -28670 ) ( 1217720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 -28670 ) ( 1037720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 857720 2926540 ) ( 857720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 677720 2926540 ) ( 677720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 497720 2926540 ) ( 497720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 317720 2926540 ) ( 317720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 137720 -28670 ) ( 137720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 857720 1426540 ) ( 857720 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 677720 1426540 ) ( 677720 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 497720 1426540 ) ( 497720 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 317720 1426540 ) ( 317720 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 -28670 ) ( 2117720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 -28670 ) ( 1937720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 -28670 ) ( 1757720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 -28670 ) ( 1577720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 -28670 ) ( 1397720 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 857720 -28670 ) ( 857720 990000 )
NEW met4 3100 + SHAPE STRIPE ( 677720 -28670 ) ( 677720 990000 )
NEW met4 3100 + SHAPE STRIPE ( 497720 -28670 ) ( 497720 990000 )
NEW met4 3100 + SHAPE STRIPE ( 317720 -28670 ) ( 317720 990000 ) ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2961700 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 -36720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3401680 ) ( 2963250 3401680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3221680 ) ( 2963250 3221680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3041680 ) ( 2963250 3041680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2861680 ) ( 2963250 2861680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2681680 ) ( 2963250 2681680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2501680 ) ( 2963250 2501680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2321680 ) ( 2963250 2321680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2141680 ) ( 2963250 2141680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1961680 ) ( 2963250 1961680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1781680 ) ( 2963250 1781680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1601680 ) ( 2963250 1601680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1421680 ) ( 2963250 1421680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1241680 ) ( 2963250 1241680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1061680 ) ( 2963250 1061680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 881680 ) ( 2963250 881680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 701680 ) ( 2963250 701680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 521680 ) ( 2963250 521680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 341680 ) ( 2963250 341680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 161680 ) ( 2963250 161680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2856320 -38270 ) ( 2856320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 -38270 ) ( 2496320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 -38270 ) ( 2316320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 -38270 ) ( 2136320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 2342605 ) ( 1956320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 2342605 ) ( 1776320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 2342605 ) ( 1596320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 2342605 ) ( 1416320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 -38270 ) ( 1236320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 -38270 ) ( 1056320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 876320 2926540 ) ( 876320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 696320 2926540 ) ( 696320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 516320 2926540 ) ( 516320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 336320 2926540 ) ( 336320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 156320 -38270 ) ( 156320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 876320 1426540 ) ( 876320 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 696320 1426540 ) ( 696320 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 516320 1426540 ) ( 516320 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 336320 1426540 ) ( 336320 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 -38270 ) ( 1956320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 -38270 ) ( 1776320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 -38270 ) ( 1596320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 -38270 ) ( 1416320 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 876320 -38270 ) ( 876320 990000 )
NEW met4 3100 + SHAPE STRIPE ( 696320 -38270 ) ( 696320 990000 )
NEW met4 3100 + SHAPE STRIPE ( 516320 -38270 ) ( 516320 990000 )
NEW met4 3100 + SHAPE STRIPE ( 336320 -38270 ) ( 336320 990000 ) ;
- vssd1 ( PIN vssd1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 980870 2805880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 302230 2805880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 980870 2625880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 302230 2625880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 980870 1365880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 302230 1365880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 980870 1185880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 302230 1185880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 980870 1005880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 302230 1005880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 2265880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 2085880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1905880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1725880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2013040 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1859440 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1705840 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1552240 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1398640 1545880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 -7920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3345880 ) ( 2934450 3345880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3165880 ) ( 2934450 3165880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2985880 ) ( 2934450 2985880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2805880 ) ( 2934450 2805880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2625880 ) ( 2934450 2625880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2445880 ) ( 2934450 2445880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2265880 ) ( 2934450 2265880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2085880 ) ( 2934450 2085880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1905880 ) ( 2934450 1905880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1725880 ) ( 2934450 1725880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1545880 ) ( 2934450 1545880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1365880 ) ( 2934450 1365880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1185880 ) ( 2934450 1185880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1005880 ) ( 2934450 1005880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 825880 ) ( 2934450 825880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 645880 ) ( 2934450 645880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 465880 ) ( 2934450 465880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 285880 ) ( 2934450 285880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 105880 ) ( 2934450 105880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2800520 -9470 ) ( 2800520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 -9470 ) ( 2440520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 -9470 ) ( 2260520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 2342605 ) ( 2080520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 2342605 ) ( 1900520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 2342605 ) ( 1720520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 2342605 ) ( 1540520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 2342605 ) ( 1360520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 -9470 ) ( 1180520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 -9470 ) ( 1000520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 820520 2926540 ) ( 820520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 640520 2926540 ) ( 640520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 460520 2926540 ) ( 460520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 280520 -9470 ) ( 280520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 100520 -9470 ) ( 100520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 820520 1426540 ) ( 820520 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 640520 1426540 ) ( 640520 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 460520 1426540 ) ( 460520 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 -9470 ) ( 2080520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 -9470 ) ( 1900520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 -9470 ) ( 1720520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 -9470 ) ( 1540520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 -9470 ) ( 1360520 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 820520 -9470 ) ( 820520 990000 )
NEW met4 3100 + SHAPE STRIPE ( 640520 -9470 ) ( 640520 990000 )
NEW met4 3100 + SHAPE STRIPE ( 460520 -9470 ) ( 460520 990000 ) ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2942500 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 -17520 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3364480 ) ( 2944050 3364480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3184480 ) ( 2944050 3184480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3004480 ) ( 2944050 3004480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2824480 ) ( 2944050 2824480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2644480 ) ( 2944050 2644480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2464480 ) ( 2944050 2464480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2284480 ) ( 2944050 2284480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2104480 ) ( 2944050 2104480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1924480 ) ( 2944050 1924480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1744480 ) ( 2944050 1744480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1564480 ) ( 2944050 1564480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1384480 ) ( 2944050 1384480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1204480 ) ( 2944050 1204480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1024480 ) ( 2944050 1024480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 844480 ) ( 2944050 844480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 664480 ) ( 2944050 664480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 484480 ) ( 2944050 484480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 304480 ) ( 2944050 304480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 124480 ) ( 2944050 124480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2819120 -19070 ) ( 2819120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 -19070 ) ( 2459120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 -19070 ) ( 2279120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 2342605 ) ( 2099120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 2342605 ) ( 1919120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 2342605 ) ( 1739120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 2342605 ) ( 1559120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 2342605 ) ( 1379120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 -19070 ) ( 1199120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 -19070 ) ( 1019120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 839120 2926540 ) ( 839120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 659120 2926540 ) ( 659120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 479120 2926540 ) ( 479120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 299120 2926540 ) ( 299120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 119120 -19070 ) ( 119120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 839120 1426540 ) ( 839120 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 659120 1426540 ) ( 659120 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 479120 1426540 ) ( 479120 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 299120 1426540 ) ( 299120 2490000 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 -19070 ) ( 2099120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 -19070 ) ( 1919120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 -19070 ) ( 1739120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 -19070 ) ( 1559120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 -19070 ) ( 1379120 1490000 )
NEW met4 3100 + SHAPE STRIPE ( 839120 -19070 ) ( 839120 990000 )
NEW met4 3100 + SHAPE STRIPE ( 659120 -19070 ) ( 659120 990000 )
NEW met4 3100 + SHAPE STRIPE ( 479120 -19070 ) ( 479120 990000 )
NEW met4 3100 + SHAPE STRIPE ( 299120 -19070 ) ( 299120 990000 ) ;
END SPECIALNETS
NETS 624 ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- i_dout0\[0\] ( sram dout0[0] ) ( mprj i_dout0[0] ) + USE SIGNAL
+ ROUTED met2 ( 1853570 2332060 0 ) ( * 2345150 )
NEW met2 ( 1259250 986340 ) ( * 2345150 )
NEW met4 ( 441630 997900 ) ( 442060 * )
NEW met4 ( 442060 987020 ) ( * 997900 )
NEW met3 ( 442060 986340 ) ( * 987020 )
NEW met1 ( 1259250 2345150 ) ( 1853570 * )
NEW met4 ( 441630 997900 ) ( * 1000500 )
NEW met4 ( 441630 1000500 ) ( * 1000530 0 )
NEW met3 ( 442060 986340 ) ( 1259250 * )
NEW met2 ( 1259250 986340 ) M2M3_PR_M
NEW met1 ( 1259250 2345150 ) M1M2_PR
NEW met1 ( 1853570 2345150 ) M1M2_PR
NEW met3 ( 442060 987020 ) M3M4_PR_M ;
- i_dout0\[10\] ( sram dout0[10] ) ( mprj i_dout0[10] ) + USE SIGNAL
+ ROUTED met3 ( 568100 993140 ) ( 568790 * )
NEW met2 ( 568790 986170 ) ( * 993140 )
NEW met4 ( 568100 993140 ) ( * 1000500 )
NEW met4 ( 568100 1000530 ) ( 568110 * 0 )
NEW met4 ( 568100 1000500 ) ( * 1000530 )
NEW met3 ( 2121060 1879180 0 ) ( 2135550 * )
NEW met2 ( 2135550 1879010 ) ( * 1879180 )
NEW met1 ( 2135550 1879010 ) ( 2142910 * )
NEW met2 ( 2142910 986170 ) ( * 1879010 )
NEW met1 ( 568790 986170 ) ( 2142910 * )
NEW met3 ( 568100 993140 ) M3M4_PR_M
NEW met2 ( 568790 993140 ) M2M3_PR_M
NEW met1 ( 568790 986170 ) M1M2_PR
NEW met1 ( 2142910 986170 ) M1M2_PR
NEW met2 ( 2135550 1879180 ) M2M3_PR_M
NEW met1 ( 2135550 1879010 ) M1M2_PR
NEW met1 ( 2142910 1879010 ) M1M2_PR ;
- i_dout0\[11\] ( sram dout0[11] ) ( mprj i_dout0[11] ) + USE SIGNAL
+ ROUTED met4 ( 580060 997900 ) ( 580350 * )
NEW met4 ( 580060 993140 ) ( * 997900 )
NEW met3 ( 580060 993140 ) ( 580290 * )
NEW met2 ( 580290 985830 ) ( * 993140 )
NEW met4 ( 580350 997900 ) ( * 1000500 )
NEW met4 ( 580350 1000500 ) ( * 1000530 0 )
NEW met3 ( 2121060 1915900 0 ) ( 2135550 * )
NEW met2 ( 2135550 1913690 ) ( * 1915900 )
NEW met1 ( 2135550 1913690 ) ( 2143370 * )
NEW met2 ( 2143370 985830 ) ( * 1913690 )
NEW met1 ( 580290 985830 ) ( 2143370 * )
NEW met3 ( 580060 993140 ) M3M4_PR_M
NEW met2 ( 580290 993140 ) M2M3_PR_M
NEW met1 ( 580290 985830 ) M1M2_PR
NEW met1 ( 2143370 985830 ) M1M2_PR
NEW met2 ( 2135550 1915900 ) M2M3_PR_M
NEW met1 ( 2135550 1913690 ) M1M2_PR
NEW met1 ( 2143370 1913690 ) M1M2_PR
NEW met3 ( 580060 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[12\] ( sram dout0[12] ) ( mprj i_dout0[12] ) + USE SIGNAL
+ ROUTED met4 ( 592590 997900 ) ( 592940 * )
NEW met4 ( 592940 993140 ) ( * 997900 )
NEW met3 ( 592940 993140 ) ( 593170 * )
NEW met2 ( 593170 984130 ) ( * 993140 )
NEW met4 ( 592590 997900 ) ( * 1000500 )
NEW met4 ( 592590 1000500 ) ( * 1000530 0 )
NEW met2 ( 1980990 1500420 ) ( 1985590 * 0 )
NEW met2 ( 1980990 984130 ) ( * 1500420 )
NEW met1 ( 593170 984130 ) ( 1980990 * )
NEW met3 ( 592940 993140 ) M3M4_PR_M
NEW met2 ( 593170 993140 ) M2M3_PR_M
NEW met1 ( 593170 984130 ) M1M2_PR
NEW met1 ( 1980990 984130 ) M1M2_PR
NEW met3 ( 592940 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[13\] ( sram dout0[13] ) ( mprj i_dout0[13] ) + USE SIGNAL
+ ROUTED met4 ( 604830 997900 ) ( 604900 * )
NEW met4 ( 604900 993140 ) ( * 997900 )
NEW met3 ( 604900 993140 ) ( 605130 * )
NEW met2 ( 605130 985490 ) ( * 993140 )
NEW met3 ( 2121060 1951940 0 ) ( 2135550 * )
NEW met2 ( 2135550 1950410 ) ( * 1951940 )
NEW met1 ( 2135550 1950410 ) ( 2141070 * )
NEW met4 ( 604830 997900 ) ( * 1000500 )
NEW met4 ( 604830 1000500 ) ( * 1000530 0 )
NEW met2 ( 2141070 985490 ) ( * 1950410 )
NEW met1 ( 605130 985490 ) ( 2141070 * )
NEW met3 ( 604900 993140 ) M3M4_PR_M
NEW met2 ( 605130 993140 ) M2M3_PR_M
NEW met1 ( 605130 985490 ) M1M2_PR
NEW met1 ( 2141070 985490 ) M1M2_PR
NEW met2 ( 2135550 1951940 ) M2M3_PR_M
NEW met1 ( 2135550 1950410 ) M1M2_PR
NEW met1 ( 2141070 1950410 ) M1M2_PR
NEW met3 ( 604900 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[14\] ( sram dout0[14] ) ( mprj i_dout0[14] ) + USE SIGNAL
+ ROUTED met2 ( 2010430 2332060 0 ) ( * 2344130 )
NEW met1 ( 1232110 2344130 ) ( 2010430 * )
NEW met4 ( 617780 985660 ) ( * 1000500 )
NEW met4 ( 617750 1000500 ) ( * 1000530 0 )
NEW met4 ( 617750 1000500 ) ( 617780 * )
NEW met2 ( 1232110 985660 ) ( * 2344130 )
NEW met3 ( 617780 985660 ) ( 1232110 * )
NEW met3 ( 617780 985660 ) M3M4_PR_M
NEW met2 ( 1232110 985660 ) M2M3_PR_M
NEW met1 ( 1232110 2344130 ) M1M2_PR
NEW met1 ( 2010430 2344130 ) M1M2_PR ;
- i_dout0\[15\] ( sram dout0[15] ) ( mprj i_dout0[15] ) + USE SIGNAL
+ ROUTED met4 ( 629740 997900 ) ( 629990 * )
NEW met4 ( 629740 993140 ) ( * 997900 )
NEW met3 ( 629740 993140 ) ( 629970 * )
NEW met2 ( 629970 983450 ) ( * 993140 )
NEW met4 ( 629990 997900 ) ( * 1000500 )
NEW met4 ( 629990 1000500 ) ( * 1000530 0 )
NEW met2 ( 2001230 1500420 ) ( 2005370 * 0 )
NEW met2 ( 2001230 983450 ) ( * 1500420 )
NEW met1 ( 629970 983450 ) ( 2001230 * )
NEW met3 ( 629740 993140 ) M3M4_PR_M
NEW met2 ( 629970 993140 ) M2M3_PR_M
NEW met1 ( 629970 983450 ) M1M2_PR
NEW met1 ( 2001230 983450 ) M1M2_PR
NEW met3 ( 629740 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[16\] ( sram dout0[16] ) ( mprj i_dout0[16] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2000220 0 ) ( 2135090 * )
NEW met2 ( 2135090 1994610 ) ( * 2000220 )
NEW met1 ( 2135090 1994610 ) ( 2140610 * )
NEW met2 ( 2140610 985150 ) ( * 1994610 )
NEW met4 ( 641550 997900 ) ( 641700 * )
NEW met4 ( 641700 993140 ) ( * 997900 )
NEW met3 ( 641700 993140 ) ( 641930 * )
NEW met2 ( 641930 985150 ) ( * 993140 )
NEW met4 ( 641550 997900 ) ( * 1000500 )
NEW met4 ( 641550 1000500 ) ( * 1000530 0 )
NEW met1 ( 641930 985150 ) ( 2140610 * )
NEW met1 ( 2140610 985150 ) M1M2_PR
NEW met2 ( 2135090 2000220 ) M2M3_PR_M
NEW met1 ( 2135090 1994610 ) M1M2_PR
NEW met1 ( 2140610 1994610 ) M1M2_PR
NEW met3 ( 641700 993140 ) M3M4_PR_M
NEW met2 ( 641930 993140 ) M2M3_PR_M
NEW met1 ( 641930 985150 ) M1M2_PR
NEW met3 ( 641700 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[17\] ( sram dout0[17] ) ( mprj i_dout0[17] ) + USE SIGNAL
+ ROUTED met2 ( 2029290 2332060 0 ) ( * 2343790 )
NEW met4 ( 655150 997900 ) ( 655500 * )
NEW met4 ( 655500 988380 ) ( * 997900 )
NEW met3 ( 655270 988380 ) ( 655500 * )
NEW met2 ( 655270 980730 ) ( * 988380 )
NEW met1 ( 1225210 2343790 ) ( 2029290 * )
NEW met4 ( 655150 997900 ) ( * 1000500 )
NEW met4 ( 655150 1000500 ) ( * 1000530 0 )
NEW met2 ( 1225210 980730 ) ( * 2343790 )
NEW met1 ( 655270 980730 ) ( 1225210 * )
NEW met1 ( 2029290 2343790 ) M1M2_PR
NEW met3 ( 655500 988380 ) M3M4_PR_M
NEW met2 ( 655270 988380 ) M2M3_PR_M
NEW met1 ( 655270 980730 ) M1M2_PR
NEW met1 ( 1225210 980730 ) M1M2_PR
NEW met1 ( 1225210 2343790 ) M1M2_PR
NEW met3 ( 655500 988380 ) RECT ( 0 -150 390 150 ) ;
- i_dout0\[18\] ( sram dout0[18] ) ( mprj i_dout0[18] ) + USE SIGNAL
+ ROUTED met4 ( 667390 997900 ) ( 667460 * )
NEW met4 ( 667460 993140 ) ( * 997900 )
NEW met3 ( 667460 993140 ) ( 667690 * )
NEW met2 ( 667690 984810 ) ( * 993140 )
NEW met3 ( 2121060 2048500 0 ) ( 2140150 * )
NEW met4 ( 667390 997900 ) ( * 1000500 )
NEW met4 ( 667390 1000500 ) ( * 1000530 0 )
NEW met2 ( 2140150 984810 ) ( * 2048500 )
NEW met1 ( 667690 984810 ) ( 2140150 * )
NEW met3 ( 667460 993140 ) M3M4_PR_M
NEW met2 ( 667690 993140 ) M2M3_PR_M
NEW met1 ( 667690 984810 ) M1M2_PR
NEW met1 ( 2140150 984810 ) M1M2_PR
NEW met2 ( 2140150 2048500 ) M2M3_PR_M
NEW met3 ( 667460 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[19\] ( sram dout0[19] ) ( mprj i_dout0[19] ) + USE SIGNAL
+ ROUTED met4 ( 679420 997900 ) ( 679630 * )
NEW met4 ( 679420 993140 ) ( * 997900 )
NEW met3 ( 679420 993140 ) ( 679650 * )
NEW met2 ( 679650 980390 ) ( * 993140 )
NEW met2 ( 2037110 2332060 ) ( 2038950 * 0 )
NEW met2 ( 2037110 2332060 ) ( * 2342430 )
NEW met4 ( 679630 997900 ) ( * 1000500 )
NEW met4 ( 679630 1000500 ) ( * 1000530 0 )
NEW met1 ( 1141950 2342430 ) ( 2037110 * )
NEW met2 ( 1141950 980390 ) ( * 2342430 )
NEW met1 ( 679650 980390 ) ( 1141950 * )
NEW met3 ( 679420 993140 ) M3M4_PR_M
NEW met2 ( 679650 993140 ) M2M3_PR_M
NEW met1 ( 679650 980390 ) M1M2_PR
NEW met1 ( 2037110 2342430 ) M1M2_PR
NEW met1 ( 1141950 980390 ) M1M2_PR
NEW met1 ( 1141950 2342430 ) M1M2_PR
NEW met3 ( 679420 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[1\] ( sram dout0[1] ) ( mprj i_dout0[1] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1565700 0 ) ( 2136930 * )
NEW met2 ( 2136930 1565530 ) ( * 1565700 )
NEW met1 ( 2136930 1565530 ) ( 2141530 * )
NEW met2 ( 2141530 986510 ) ( * 1565530 )
NEW met4 ( 453870 997900 ) ( 454020 * )
NEW met4 ( 454020 993140 ) ( * 997900 )
NEW met3 ( 454020 993140 ) ( 454250 * )
NEW met2 ( 454250 986510 ) ( * 993140 )
NEW met4 ( 453870 997900 ) ( * 1000500 )
NEW met4 ( 453870 1000500 ) ( * 1000530 0 )
NEW met1 ( 454250 986510 ) ( 2141530 * )
NEW met1 ( 2141530 986510 ) M1M2_PR
NEW met2 ( 2136930 1565700 ) M2M3_PR_M
NEW met1 ( 2136930 1565530 ) M1M2_PR
NEW met1 ( 2141530 1565530 ) M1M2_PR
NEW met3 ( 454020 993140 ) M3M4_PR_M
NEW met2 ( 454250 993140 ) M2M3_PR_M
NEW met1 ( 454250 986510 ) M1M2_PR
NEW met3 ( 454020 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[20\] ( sram dout0[20] ) ( mprj i_dout0[20] ) + USE SIGNAL
+ ROUTED met4 ( 692300 997900 ) ( 692550 * )
NEW met4 ( 692300 996540 ) ( * 997900 )
NEW met2 ( 2048150 2332060 0 ) ( * 2340730 )
NEW met4 ( 692550 997900 ) ( * 1000500 )
NEW met4 ( 692550 1000500 ) ( * 1000530 0 )
NEW met2 ( 991530 996540 ) ( * 2340730 )
NEW met1 ( 991530 2340730 ) ( 2048150 * )
NEW met3 ( 692300 996540 ) ( 991530 * )
NEW met3 ( 692300 996540 ) M3M4_PR_M
NEW met2 ( 991530 996540 ) M2M3_PR_M
NEW met1 ( 991530 2340730 ) M1M2_PR
NEW met1 ( 2048150 2340730 ) M1M2_PR ;
- i_dout0\[21\] ( sram dout0[21] ) ( mprj i_dout0[21] ) + USE SIGNAL
+ ROUTED met3 ( 704790 999260 ) ( 704950 * )
NEW met2 ( 704950 995010 ) ( * 999260 )
NEW met4 ( 704790 999260 ) ( * 1000500 )
NEW met4 ( 704790 1000500 ) ( * 1000530 0 )
NEW met2 ( 2049990 995010 ) ( * 1500420 0 )
NEW met1 ( 704950 995010 ) ( 2049990 * )
NEW met3 ( 704790 999260 ) M3M4_PR_M
NEW met2 ( 704950 999260 ) M2M3_PR_M
NEW met1 ( 704950 995010 ) M1M2_PR
NEW met1 ( 2049990 995010 ) M1M2_PR
NEW met3 ( 704790 999260 ) RECT ( -460 -150 0 150 ) ;
- i_dout0\[22\] ( sram dout0[22] ) ( mprj i_dout0[22] ) + USE SIGNAL
+ ROUTED met2 ( 2053210 2332060 0 ) ( * 2341410 )
NEW met1 ( 2017790 2341410 ) ( 2053210 * )
NEW met1 ( 2017790 2341070 ) ( * 2341410 )
NEW met4 ( 717710 997900 ) ( 718060 * )
NEW met4 ( 718060 988380 ) ( * 997900 )
NEW met3 ( 718060 988380 ) ( 718290 * )
NEW met2 ( 718290 980050 ) ( * 988380 )
NEW met1 ( 1011310 2341070 ) ( 2017790 * )
NEW met4 ( 717710 997900 ) ( * 1000500 )
NEW met4 ( 717710 1000500 ) ( * 1000530 0 )
NEW met2 ( 1011310 980050 ) ( * 2341070 )
NEW met1 ( 718290 980050 ) ( 1011310 * )
NEW met1 ( 2053210 2341410 ) M1M2_PR
NEW met3 ( 718060 988380 ) M3M4_PR_M
NEW met2 ( 718290 988380 ) M2M3_PR_M
NEW met1 ( 718290 980050 ) M1M2_PR
NEW met1 ( 1011310 980050 ) M1M2_PR
NEW met1 ( 1011310 2341070 ) M1M2_PR
NEW met3 ( 718060 988380 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[23\] ( sram dout0[23] ) ( mprj i_dout0[23] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2132820 0 ) ( 2139690 * )
NEW met2 ( 2139690 984470 ) ( * 2132820 )
NEW met4 ( 729950 997900 ) ( 730020 * )
NEW met4 ( 730020 993140 ) ( * 997900 )
NEW met3 ( 730020 993140 ) ( 730250 * )
NEW met2 ( 730250 984470 ) ( * 993140 )
NEW met4 ( 729950 997900 ) ( * 1000500 )
NEW met4 ( 729950 1000500 ) ( * 1000530 0 )
NEW met1 ( 730250 984470 ) ( 2139690 * )
NEW met1 ( 2139690 984470 ) M1M2_PR
NEW met2 ( 2139690 2132820 ) M2M3_PR_M
NEW met3 ( 730020 993140 ) M3M4_PR_M
NEW met2 ( 730250 993140 ) M2M3_PR_M
NEW met1 ( 730250 984470 ) M1M2_PR
NEW met3 ( 730020 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[24\] ( sram dout0[24] ) ( mprj i_dout0[24] ) + USE SIGNAL
+ ROUTED met2 ( 992450 997220 ) ( * 2340050 )
NEW met2 ( 2067470 2332060 0 ) ( * 2340050 )
NEW met1 ( 992450 2340050 ) ( 2067470 * )
NEW met4 ( 742900 997220 ) ( * 1000500 )
NEW met4 ( 742870 1000500 ) ( * 1000530 0 )
NEW met4 ( 742870 1000500 ) ( 742900 * )
NEW met3 ( 742900 997220 ) ( 992450 * )
NEW met2 ( 992450 997220 ) M2M3_PR_M
NEW met1 ( 992450 2340050 ) M1M2_PR
NEW met3 ( 742900 997220 ) M3M4_PR_M
NEW met1 ( 2067470 2340050 ) M1M2_PR ;
- i_dout0\[25\] ( sram dout0[25] ) ( mprj i_dout0[25] ) + USE SIGNAL
+ ROUTED met2 ( 993370 997900 ) ( * 2339710 )
NEW met4 ( 754860 997900 ) ( 755110 * )
NEW met2 ( 2081730 2332060 0 ) ( * 2339710 )
NEW met1 ( 993370 2339710 ) ( 2081730 * )
NEW met4 ( 755110 997900 ) ( * 1000500 )
NEW met4 ( 755110 1000500 ) ( * 1000530 0 )
NEW met3 ( 754860 997900 ) ( 993370 * )
NEW met2 ( 993370 997900 ) M2M3_PR_M
NEW met1 ( 993370 2339710 ) M1M2_PR
NEW met3 ( 754860 997900 ) M3M4_PR_M
NEW met1 ( 2081730 2339710 ) M1M2_PR ;
- i_dout0\[26\] ( sram dout0[26] ) ( mprj i_dout0[26] ) + USE SIGNAL
+ ROUTED met4 ( 767350 997900 ) ( 767740 * )
NEW met4 ( 767740 993140 ) ( * 997900 )
NEW met3 ( 767740 993140 ) ( 767970 * )
NEW met2 ( 767970 983790 ) ( * 993140 )
NEW met4 ( 767350 997900 ) ( * 1000500 )
NEW met4 ( 767350 1000500 ) ( * 1000530 0 )
NEW met3 ( 2121060 2181100 0 ) ( 2138770 * )
NEW met2 ( 2138770 2181100 ) ( 2139230 * )
NEW met2 ( 2139230 983790 ) ( * 2181100 )
NEW met1 ( 767970 983790 ) ( 2139230 * )
NEW met3 ( 767740 993140 ) M3M4_PR_M
NEW met2 ( 767970 993140 ) M2M3_PR_M
NEW met1 ( 767970 983790 ) M1M2_PR
NEW met1 ( 2139230 983790 ) M1M2_PR
NEW met2 ( 2138770 2181100 ) M2M3_PR_M
NEW met3 ( 767740 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[27\] ( sram dout0[27] ) ( mprj i_dout0[27] ) + USE SIGNAL
+ ROUTED met4 ( 779590 997900 ) ( 779700 * )
NEW met4 ( 779700 993140 ) ( * 997900 )
NEW met3 ( 779700 993140 ) ( 779930 * )
NEW met2 ( 779930 983110 ) ( * 993140 )
NEW met3 ( 2121060 2241620 0 ) ( 2132790 * )
NEW met2 ( 2132790 2236690 ) ( * 2241620 )
NEW met1 ( 2132790 2236690 ) ( 2146130 * )
NEW met4 ( 779590 997900 ) ( * 1000500 )
NEW met4 ( 779590 1000500 ) ( * 1000530 0 )
NEW met2 ( 2146130 983110 ) ( * 2236690 )
NEW met1 ( 779930 983110 ) ( 2146130 * )
NEW met3 ( 779700 993140 ) M3M4_PR_M
NEW met2 ( 779930 993140 ) M2M3_PR_M
NEW met1 ( 779930 983110 ) M1M2_PR
NEW met1 ( 2146130 983110 ) M1M2_PR
NEW met2 ( 2132790 2241620 ) M2M3_PR_M
NEW met1 ( 2132790 2236690 ) M1M2_PR
NEW met1 ( 2146130 2236690 ) M1M2_PR
NEW met3 ( 779700 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[28\] ( sram dout0[28] ) ( mprj i_dout0[28] ) + USE SIGNAL
+ ROUTED met2 ( 2090930 1500420 ) ( 2094610 * 0 )
NEW met2 ( 2090930 988890 ) ( * 1500420 )
NEW met4 ( 792510 997900 ) ( * 1000530 0 )
NEW met4 ( 792510 997900 ) ( 792580 * )
NEW met4 ( 792580 993140 ) ( * 997900 )
NEW met3 ( 792580 993140 ) ( 792810 * )
NEW met2 ( 792810 988890 ) ( * 993140 )
NEW met1 ( 792810 988890 ) ( 2090930 * )
NEW met1 ( 2090930 988890 ) M1M2_PR
NEW met3 ( 792580 993140 ) M3M4_PR_M
NEW met2 ( 792810 993140 ) M2M3_PR_M
NEW met1 ( 792810 988890 ) M1M2_PR
NEW met3 ( 792580 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[29\] ( sram dout0[29] ) ( mprj i_dout0[29] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2289900 0 ) ( 2132330 * )
NEW met2 ( 2132330 989230 ) ( * 2289900 )
NEW met4 ( 804750 997900 ) ( * 1000530 0 )
NEW met4 ( 804540 997900 ) ( 804750 * )
NEW met4 ( 804540 993140 ) ( * 997900 )
NEW met3 ( 804540 993140 ) ( 804770 * )
NEW met2 ( 804770 989230 ) ( * 993140 )
NEW met1 ( 804770 989230 ) ( 2132330 * )
NEW met1 ( 2132330 989230 ) M1M2_PR
NEW met2 ( 2132330 2289900 ) M2M3_PR_M
NEW met3 ( 804540 993140 ) M3M4_PR_M
NEW met2 ( 804770 993140 ) M2M3_PR_M
NEW met1 ( 804770 989230 ) M1M2_PR
NEW met3 ( 804540 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[2\] ( sram dout0[2] ) ( mprj i_dout0[2] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1601740 0 ) ( 2136930 * )
NEW met2 ( 2136930 1601570 ) ( * 1601740 )
NEW met1 ( 2136930 1601570 ) ( 2148430 * )
NEW met2 ( 2148430 993990 ) ( * 1601570 )
NEW met4 ( 468150 997900 ) ( 468740 * )
NEW met4 ( 468740 993140 ) ( * 997900 )
NEW met3 ( 468740 993140 ) ( 468970 * )
NEW met2 ( 468970 993140 ) ( * 993990 )
NEW met4 ( 468150 997900 ) ( * 1000500 )
NEW met4 ( 468150 1000500 ) ( * 1000530 0 )
NEW met1 ( 468970 993990 ) ( 2148430 * )
NEW met1 ( 2148430 993990 ) M1M2_PR
NEW met2 ( 2136930 1601740 ) M2M3_PR_M
NEW met1 ( 2136930 1601570 ) M1M2_PR
NEW met1 ( 2148430 1601570 ) M1M2_PR
NEW met3 ( 468740 993140 ) M3M4_PR_M
NEW met2 ( 468970 993140 ) M2M3_PR_M
NEW met1 ( 468970 993990 ) M1M2_PR
NEW met3 ( 468740 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[30\] ( sram dout0[30] ) ( mprj i_dout0[30] ) + USE SIGNAL
+ ROUTED met2 ( 1025570 999770 ) ( * 1484610 )
NEW met2 ( 2109330 1484610 ) ( * 1500420 0 )
NEW met1 ( 1025570 1484610 ) ( 2109330 * )
NEW met4 ( 816990 999260 ) ( * 1000530 0 )
NEW met3 ( 816990 999260 ) ( 817190 * )
NEW met2 ( 817190 999260 ) ( * 999430 )
NEW met1 ( 817190 999430 ) ( * 999770 )
NEW met1 ( 817190 999770 ) ( 1025570 * )
NEW met1 ( 1025570 999770 ) M1M2_PR
NEW met1 ( 1025570 1484610 ) M1M2_PR
NEW met1 ( 2109330 1484610 ) M1M2_PR
NEW met3 ( 816990 999260 ) M3M4_PR_M
NEW met2 ( 817190 999260 ) M2M3_PR_M
NEW met1 ( 817190 999430 ) M1M2_PR
NEW met3 ( 816990 999260 ) RECT ( -420 -150 0 150 ) ;
- i_dout0\[31\] ( sram dout0[31] ) ( mprj i_dout0[31] ) + USE SIGNAL
+ ROUTED met2 ( 2119450 2329340 0 ) ( 2119910 * )
NEW met2 ( 2119910 988550 ) ( * 2329340 )
NEW met4 ( 829910 997900 ) ( * 1000530 0 )
NEW met4 ( 829910 997900 ) ( 830300 * )
NEW met4 ( 830300 993140 ) ( * 997900 )
NEW met3 ( 830300 993140 ) ( 830530 * )
NEW met2 ( 830530 988550 ) ( * 993140 )
NEW met1 ( 830530 988550 ) ( 2119910 * )
NEW met1 ( 2119910 988550 ) M1M2_PR
NEW met3 ( 830300 993140 ) M3M4_PR_M
NEW met2 ( 830530 993140 ) M2M3_PR_M
NEW met1 ( 830530 988550 ) M1M2_PR
NEW met3 ( 830300 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[3\] ( sram dout0[3] ) ( mprj i_dout0[3] ) + USE SIGNAL
+ ROUTED met4 ( 480390 997900 ) ( 480700 * )
NEW met4 ( 480700 995860 ) ( * 997900 )
NEW met4 ( 480390 997900 ) ( * 1000500 )
NEW met4 ( 480390 1000500 ) ( * 1000530 0 )
NEW met2 ( 1905550 2332060 0 ) ( * 2343110 )
NEW met1 ( 1025110 2343110 ) ( 1905550 * )
NEW met2 ( 1025110 995860 ) ( * 2343110 )
NEW met3 ( 480700 995860 ) ( 1025110 * )
NEW met3 ( 480700 995860 ) M3M4_PR_M
NEW met2 ( 1025110 995860 ) M2M3_PR_M
NEW met1 ( 1025110 2343110 ) M1M2_PR
NEW met1 ( 1905550 2343110 ) M1M2_PR ;
- i_dout0\[4\] ( sram dout0[4] ) ( mprj i_dout0[4] ) + USE SIGNAL
+ ROUTED met3 ( 492660 999260 ) ( 492890 * )
NEW met2 ( 492890 998750 ) ( * 999260 )
NEW met2 ( 1283630 1635570 ) ( * 1638460 )
NEW met4 ( 492660 999260 ) ( * 1000500 )
NEW met4 ( 492630 1000500 ) ( * 1000530 0 )
NEW met4 ( 492630 1000500 ) ( 492660 * )
NEW met2 ( 1073410 998750 ) ( * 1635570 )
NEW met1 ( 1073410 1635570 ) ( 1283630 * )
NEW met3 ( 1283630 1638460 ) ( 1300420 * 0 )
NEW met1 ( 492890 998750 ) ( 1073410 * )
NEW met3 ( 492660 999260 ) M3M4_PR_M
NEW met2 ( 492890 999260 ) M2M3_PR_M
NEW met1 ( 492890 998750 ) M1M2_PR
NEW met1 ( 1073410 998750 ) M1M2_PR
NEW met1 ( 1073410 1635570 ) M1M2_PR
NEW met1 ( 1283630 1635570 ) M1M2_PR
NEW met2 ( 1283630 1638460 ) M2M3_PR_M
NEW met3 ( 492660 999260 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[5\] ( sram dout0[5] ) ( mprj i_dout0[5] ) + USE SIGNAL
+ ROUTED met2 ( 1929470 2332060 0 ) ( * 2342090 )
NEW met4 ( 505540 989060 ) ( * 1000500 )
NEW met4 ( 505550 1000500 ) ( * 1000530 0 )
NEW met4 ( 505540 1000500 ) ( 505550 * )
NEW met2 ( 991070 989060 ) ( * 2342090 )
NEW met1 ( 991070 2342090 ) ( 1929470 * )
NEW met3 ( 505540 989060 ) ( 991070 * )
NEW met3 ( 505540 989060 ) M3M4_PR_M
NEW met2 ( 991070 989060 ) M2M3_PR_M
NEW met1 ( 991070 2342090 ) M1M2_PR
NEW met1 ( 1929470 2342090 ) M1M2_PR ;
- i_dout0\[6\] ( sram dout0[6] ) ( mprj i_dout0[6] ) + USE SIGNAL
+ ROUTED met2 ( 572470 993310 ) ( * 998070 )
NEW met2 ( 1925790 1500420 ) ( 1926250 * 0 )
NEW met2 ( 1925790 998070 ) ( * 1500420 )
NEW met4 ( 517790 997900 ) ( 518420 * )
NEW met4 ( 518420 993140 ) ( * 997900 )
NEW met3 ( 518420 993140 ) ( 518650 * )
NEW met2 ( 518650 992290 ) ( * 993140 )
NEW met1 ( 518650 992290 ) ( 528310 * )
NEW li1 ( 528310 992290 ) ( * 993310 )
NEW met1 ( 528310 993310 ) ( 572470 * )
NEW met4 ( 517790 997900 ) ( * 1000500 )
NEW met4 ( 517790 1000500 ) ( * 1000530 0 )
NEW met1 ( 572470 998070 ) ( 1925790 * )
NEW met1 ( 572470 993310 ) M1M2_PR
NEW met1 ( 572470 998070 ) M1M2_PR
NEW met1 ( 1925790 998070 ) M1M2_PR
NEW met3 ( 518420 993140 ) M3M4_PR_M
NEW met2 ( 518650 993140 ) M2M3_PR_M
NEW met1 ( 518650 992290 ) M1M2_PR
NEW li1 ( 528310 992290 ) L1M1_PR_MR
NEW li1 ( 528310 993310 ) L1M1_PR_MR
NEW met3 ( 518420 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[7\] ( sram dout0[7] ) ( mprj i_dout0[7] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1783300 0 ) ( 2138770 * )
NEW met2 ( 2138770 992290 ) ( * 1783300 )
NEW met4 ( 530030 997900 ) ( 530380 * )
NEW met4 ( 530380 993140 ) ( * 997900 )
NEW met3 ( 530380 993140 ) ( 530610 * )
NEW met2 ( 530610 992290 ) ( * 993140 )
NEW met4 ( 530030 997900 ) ( * 1000500 )
NEW met4 ( 530030 1000500 ) ( * 1000530 0 )
NEW met1 ( 530610 992290 ) ( 2138770 * )
NEW met1 ( 2138770 992290 ) M1M2_PR
NEW met2 ( 2138770 1783300 ) M2M3_PR_M
NEW met3 ( 530380 993140 ) M3M4_PR_M
NEW met2 ( 530610 993140 ) M2M3_PR_M
NEW met1 ( 530610 992290 ) M1M2_PR
NEW met3 ( 530380 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[8\] ( sram dout0[8] ) ( mprj i_dout0[8] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1819340 0 ) ( 2136470 * )
NEW met2 ( 2136470 991950 ) ( * 1819340 )
NEW met4 ( 541420 997900 ) ( 541590 * )
NEW met4 ( 541420 993140 ) ( * 997900 )
NEW met3 ( 541420 993140 ) ( 541650 * )
NEW met2 ( 541650 991950 ) ( * 993140 )
NEW met4 ( 541590 997900 ) ( * 1000500 )
NEW met4 ( 541590 1000500 ) ( * 1000530 0 )
NEW met1 ( 541650 991950 ) ( 2136470 * )
NEW met1 ( 2136470 991950 ) M1M2_PR
NEW met2 ( 2136470 1819340 ) M2M3_PR_M
NEW met3 ( 541420 993140 ) M3M4_PR_M
NEW met2 ( 541650 993140 ) M2M3_PR_M
NEW met1 ( 541650 991950 ) M1M2_PR
NEW met3 ( 541420 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[9\] ( sram dout0[9] ) ( mprj i_dout0[9] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1830900 0 ) ( 2136010 * )
NEW met2 ( 2136010 991610 ) ( * 1830900 )
NEW met3 ( 555220 993140 ) ( 555450 * )
NEW met2 ( 555450 991610 ) ( * 993140 )
NEW met4 ( 555220 993140 ) ( * 1000500 )
NEW met4 ( 555190 1000500 ) ( * 1000530 0 )
NEW met4 ( 555190 1000500 ) ( 555220 * )
NEW met1 ( 555450 991610 ) ( 2136010 * )
NEW met1 ( 2136010 991610 ) M1M2_PR
NEW met2 ( 2136010 1830900 ) M2M3_PR_M
NEW met3 ( 555220 993140 ) M3M4_PR_M
NEW met2 ( 555450 993140 ) M2M3_PR_M
NEW met1 ( 555450 991610 ) M1M2_PR
NEW met3 ( 555220 993140 ) RECT ( -390 -150 0 150 ) ;
- i_dout0_1\[0\] ( sram1 dout0[0] ) ( mprj i_dout0_1[0] ) + USE SIGNAL
+ ROUTED met2 ( 1856790 1497530 ) ( * 1500420 0 )
NEW met4 ( 441630 2497800 ) ( * 2500530 0 )
NEW met4 ( 441630 2497800 ) ( 442060 * )
NEW met4 ( 442060 2484380 ) ( * 2497800 )
NEW met3 ( 442060 2484380 ) ( 446890 * )
NEW met2 ( 446890 2484210 ) ( * 2484380 )
NEW met1 ( 446890 2484210 ) ( 451950 * )
NEW met2 ( 451950 1497530 ) ( * 2484210 )
NEW met1 ( 451950 1497530 ) ( 1856790 * )
NEW met1 ( 1856790 1497530 ) M1M2_PR
NEW met1 ( 451950 1497530 ) M1M2_PR
NEW met3 ( 442060 2484380 ) M3M4_PR_M
NEW met2 ( 446890 2484380 ) M2M3_PR_M
NEW met1 ( 446890 2484210 ) M1M2_PR
NEW met1 ( 451950 2484210 ) M1M2_PR ;
- i_dout0_1\[10\] ( sram1 dout0[10] ) ( mprj i_dout0_1[10] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1867620 0 ) ( 2122670 * )
NEW met1 ( 2121750 2329510 ) ( 2122670 * )
NEW met4 ( 568110 2497800 ) ( * 2500530 0 )
NEW met4 ( 568100 2497800 ) ( 568110 * )
NEW met4 ( 568100 2491180 ) ( * 2497800 )
NEW met3 ( 568100 2490500 ) ( * 2491180 )
NEW met3 ( 568100 2490500 ) ( 572010 * )
NEW met2 ( 572010 2357390 ) ( * 2490500 )
NEW met2 ( 2122670 1867620 ) ( * 2329510 )
NEW met2 ( 2121750 2329510 ) ( * 2357390 )
NEW met1 ( 572010 2357390 ) ( 2121750 * )
NEW met2 ( 2122670 1867620 ) M2M3_PR_M
NEW met1 ( 2121750 2329510 ) M1M2_PR
NEW met1 ( 2122670 2329510 ) M1M2_PR
NEW met1 ( 572010 2357390 ) M1M2_PR
NEW met3 ( 568100 2491180 ) M3M4_PR_M
NEW met2 ( 572010 2490500 ) M2M3_PR_M
NEW met1 ( 2121750 2357390 ) M1M2_PR ;
- i_dout0_1\[11\] ( sram1 dout0[11] ) ( mprj i_dout0_1[11] ) + USE SIGNAL
+ ROUTED met4 ( 580350 2497800 ) ( * 2500530 0 )
NEW met4 ( 580060 2497800 ) ( 580350 * )
NEW met4 ( 580060 2485060 ) ( * 2497800 )
NEW met3 ( 580060 2485060 ) ( 585810 * )
NEW met2 ( 585810 2357050 ) ( * 2485060 )
NEW met3 ( 2121060 1903660 0 ) ( 2135550 * )
NEW met2 ( 2135550 1903660 ) ( * 1903830 )
NEW met1 ( 2135550 1903830 ) ( 2141530 * )
NEW met2 ( 2141530 1903830 ) ( * 2357050 )
NEW met1 ( 585810 2357050 ) ( 2141530 * )
NEW met1 ( 585810 2357050 ) M1M2_PR
NEW met3 ( 580060 2485060 ) M3M4_PR_M
NEW met2 ( 585810 2485060 ) M2M3_PR_M
NEW met2 ( 2135550 1903660 ) M2M3_PR_M
NEW met1 ( 2135550 1903830 ) M1M2_PR
NEW met1 ( 2141530 1903830 ) M1M2_PR
NEW met1 ( 2141530 2357050 ) M1M2_PR ;
- i_dout0_1\[12\] ( sram1 dout0[12] ) ( mprj i_dout0_1[12] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1939700 0 ) ( 2123130 * )
NEW met4 ( 592590 2497800 ) ( * 2500530 0 )
NEW met4 ( 592590 2497800 ) ( 592940 * )
NEW met4 ( 592940 2484380 ) ( * 2497800 )
NEW met3 ( 592710 2484380 ) ( 592940 * )
NEW met2 ( 592710 2357730 ) ( * 2484380 )
NEW met2 ( 2123130 1939700 ) ( * 2256300 )
NEW met2 ( 2123130 2256300 ) ( 2124050 * )
NEW met2 ( 2124050 2256300 ) ( * 2357730 )
NEW met1 ( 592710 2357730 ) ( 2124050 * )
NEW met2 ( 2123130 1939700 ) M2M3_PR_M
NEW met1 ( 592710 2357730 ) M1M2_PR
NEW met3 ( 592940 2484380 ) M3M4_PR_M
NEW met2 ( 592710 2484380 ) M2M3_PR_M
NEW met1 ( 2124050 2357730 ) M1M2_PR
NEW met3 ( 592940 2484380 ) RECT ( 0 -150 390 150 ) ;
- i_dout0_1\[13\] ( sram1 dout0[13] ) ( mprj i_dout0_1[13] ) + USE SIGNAL
+ ROUTED met4 ( 604830 2497800 ) ( * 2500530 0 )
NEW met4 ( 604830 2497800 ) ( 604900 * )
NEW met4 ( 604900 2491180 ) ( * 2497800 )
NEW met3 ( 604900 2490500 ) ( * 2491180 )
NEW met3 ( 604900 2490500 ) ( 605130 * )
NEW met2 ( 605130 2490330 ) ( * 2490500 )
NEW met1 ( 605130 2490330 ) ( 610650 * )
NEW met2 ( 610650 1497870 ) ( * 2490330 )
NEW met2 ( 1990650 1497870 ) ( * 1500420 0 )
NEW met1 ( 610650 1497870 ) ( 1990650 * )
NEW met1 ( 610650 1497870 ) M1M2_PR
NEW met3 ( 604900 2491180 ) M3M4_PR_M
NEW met2 ( 605130 2490500 ) M2M3_PR_M
NEW met1 ( 605130 2490330 ) M1M2_PR
NEW met1 ( 610650 2490330 ) M1M2_PR
NEW met1 ( 1990650 1497870 ) M1M2_PR ;
- i_dout0_1\[14\] ( sram1 dout0[14] ) ( mprj i_dout0_1[14] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1964180 0 ) ( 2135550 * )
NEW met2 ( 2135550 1964180 ) ( * 1964350 )
NEW met1 ( 2135550 1964350 ) ( 2141070 * )
NEW met2 ( 2141070 1964350 ) ( * 2364530 )
NEW met4 ( 617750 2497800 ) ( * 2500530 0 )
NEW met4 ( 617750 2497800 ) ( 617780 * )
NEW met4 ( 617780 2484380 ) ( * 2497800 )
NEW met3 ( 617780 2484380 ) ( 620770 * )
NEW met2 ( 620770 2364530 ) ( * 2484380 )
NEW met1 ( 620770 2364530 ) ( 2141070 * )
NEW met2 ( 2135550 1964180 ) M2M3_PR_M
NEW met1 ( 2135550 1964350 ) M1M2_PR
NEW met1 ( 2141070 1964350 ) M1M2_PR
NEW met1 ( 2141070 2364530 ) M1M2_PR
NEW met1 ( 620770 2364530 ) M1M2_PR
NEW met3 ( 617780 2484380 ) M3M4_PR_M
NEW met2 ( 620770 2484380 ) M2M3_PR_M ;
- i_dout0_1\[15\] ( sram1 dout0[15] ) ( mprj i_dout0_1[15] ) + USE SIGNAL
+ ROUTED met4 ( 629990 2497800 ) ( * 2500530 0 )
NEW met4 ( 629740 2497800 ) ( 629990 * )
NEW met4 ( 629740 2484380 ) ( * 2497800 )
NEW met3 ( 629740 2484380 ) ( 634570 * )
NEW met2 ( 634570 1498210 ) ( * 2484380 )
NEW met2 ( 2000310 1498210 ) ( * 1500420 0 )
NEW met1 ( 634570 1498210 ) ( 2000310 * )
NEW met1 ( 634570 1498210 ) M1M2_PR
NEW met3 ( 629740 2484380 ) M3M4_PR_M
NEW met2 ( 634570 2484380 ) M2M3_PR_M
NEW met1 ( 2000310 1498210 ) M1M2_PR ;
- i_dout0_1\[16\] ( sram1 dout0[16] ) ( mprj i_dout0_1[16] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1959420 ) ( * 1959590 )
NEW met1 ( 647910 1959590 ) ( 1283630 * )
NEW met3 ( 1283630 1959420 ) ( 1300420 * 0 )
NEW met4 ( 641550 2497800 ) ( * 2500530 0 )
NEW met4 ( 641550 2497800 ) ( 642620 * )
NEW met4 ( 642620 2490500 ) ( * 2497800 )
NEW met3 ( 642620 2490500 ) ( 647910 * )
NEW met2 ( 647910 1959590 ) ( * 2490500 )
NEW met1 ( 1283630 1959590 ) M1M2_PR
NEW met2 ( 1283630 1959420 ) M2M3_PR_M
NEW met1 ( 647910 1959590 ) M1M2_PR
NEW met3 ( 642620 2490500 ) M3M4_PR_M
NEW met2 ( 647910 2490500 ) M2M3_PR_M ;
- i_dout0_1\[17\] ( sram1 dout0[17] ) ( mprj i_dout0_1[17] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 2003620 ) ( * 2007870 )
NEW met4 ( 655150 2497800 ) ( * 2500530 0 )
NEW met4 ( 655150 2497800 ) ( 655500 * )
NEW met4 ( 655500 2484380 ) ( * 2497800 )
NEW met3 ( 655270 2484380 ) ( 655500 * )
NEW met2 ( 655270 2007870 ) ( * 2484380 )
NEW met1 ( 655270 2007870 ) ( 1283630 * )
NEW met3 ( 1283630 2003620 ) ( 1300420 * 0 )
NEW met1 ( 1283630 2007870 ) M1M2_PR
NEW met2 ( 1283630 2003620 ) M2M3_PR_M
NEW met1 ( 655270 2007870 ) M1M2_PR
NEW met3 ( 655500 2484380 ) M3M4_PR_M
NEW met2 ( 655270 2484380 ) M2M3_PR_M
NEW met3 ( 655500 2484380 ) RECT ( 0 -150 390 150 ) ;
- i_dout0_1\[18\] ( sram1 dout0[18] ) ( mprj i_dout0_1[18] ) + USE SIGNAL
+ ROUTED met4 ( 667390 2497800 ) ( * 2500530 0 )
NEW met4 ( 667390 2497800 ) ( 667460 * )
NEW met4 ( 667460 2484380 ) ( * 2497800 )
NEW met3 ( 667460 2484380 ) ( 669070 * )
NEW met2 ( 669070 2365550 ) ( * 2484380 )
NEW met2 ( 2033890 2332060 0 ) ( * 2365550 )
NEW met1 ( 669070 2365550 ) ( 2033890 * )
NEW met1 ( 669070 2365550 ) M1M2_PR
NEW met3 ( 667460 2484380 ) M3M4_PR_M
NEW met2 ( 669070 2484380 ) M2M3_PR_M
NEW met1 ( 2033890 2365550 ) M1M2_PR ;
- i_dout0_1\[19\] ( sram1 dout0[19] ) ( mprj i_dout0_1[19] ) + USE SIGNAL
+ ROUTED met4 ( 679630 2497800 ) ( * 2500530 0 )
NEW met4 ( 679630 2497800 ) ( 680340 * )
NEW met4 ( 680340 2484380 ) ( * 2497800 )
NEW met3 ( 680340 2484380 ) ( 682870 * )
NEW met2 ( 682870 2090490 ) ( * 2484380 )
NEW met2 ( 1283630 2090490 ) ( * 2090660 )
NEW met1 ( 682870 2090490 ) ( 1283630 * )
NEW met3 ( 1283630 2090660 ) ( 1300420 * 0 )
NEW met1 ( 682870 2090490 ) M1M2_PR
NEW met3 ( 680340 2484380 ) M3M4_PR_M
NEW met2 ( 682870 2484380 ) M2M3_PR_M
NEW met1 ( 1283630 2090490 ) M1M2_PR
NEW met2 ( 1283630 2090660 ) M2M3_PR_M ;
- i_dout0_1\[1\] ( sram1 dout0[1] ) ( mprj i_dout0_1[1] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1553460 0 ) ( 2136930 * )
NEW met2 ( 2136930 1553460 ) ( * 1553970 )
NEW met1 ( 2136930 1553970 ) ( 2141990 * )
NEW met2 ( 2141990 1553970 ) ( * 2480470 )
NEW met4 ( 453870 2497800 ) ( * 2500530 0 )
NEW met4 ( 453870 2497800 ) ( 454020 * )
NEW met4 ( 454020 2489140 ) ( * 2497800 )
NEW met3 ( 454020 2489140 ) ( 454710 * )
NEW met2 ( 454710 2480470 ) ( * 2489140 )
NEW met1 ( 454710 2480470 ) ( 2141990 * )
NEW met2 ( 2136930 1553460 ) M2M3_PR_M
NEW met1 ( 2136930 1553970 ) M1M2_PR
NEW met1 ( 2141990 1553970 ) M1M2_PR
NEW met1 ( 2141990 2480470 ) M1M2_PR
NEW met3 ( 454020 2489140 ) M3M4_PR_M
NEW met2 ( 454710 2489140 ) M2M3_PR_M
NEW met1 ( 454710 2480470 ) M1M2_PR ;
- i_dout0_1\[20\] ( sram1 dout0[20] ) ( mprj i_dout0_1[20] ) + USE SIGNAL
+ ROUTED met4 ( 692550 2497800 ) ( * 2500530 0 )
NEW met4 ( 692300 2497800 ) ( 692550 * )
NEW met4 ( 692300 2490500 ) ( * 2497800 )
NEW met3 ( 692300 2490500 ) ( 692530 * )
NEW met2 ( 692530 2484210 ) ( * 2490500 )
NEW met3 ( 2121060 2072300 0 ) ( 2134630 * )
NEW met2 ( 2134630 2072300 ) ( * 2358070 )
NEW met1 ( 692530 2484210 ) ( 714150 * )
NEW met2 ( 714150 2358070 ) ( * 2484210 )
NEW met1 ( 714150 2358070 ) ( 2134630 * )
NEW met3 ( 692300 2490500 ) M3M4_PR_M
NEW met2 ( 692530 2490500 ) M2M3_PR_M
NEW met1 ( 692530 2484210 ) M1M2_PR
NEW met2 ( 2134630 2072300 ) M2M3_PR_M
NEW met1 ( 2134630 2358070 ) M1M2_PR
NEW met1 ( 714150 2358070 ) M1M2_PR
NEW met1 ( 714150 2484210 ) M1M2_PR
NEW met3 ( 692300 2490500 ) RECT ( -390 -150 0 150 ) ;
- i_dout0_1\[21\] ( sram1 dout0[21] ) ( mprj i_dout0_1[21] ) + USE SIGNAL
+ ROUTED met4 ( 704790 2497800 ) ( * 2500530 0 )
NEW met4 ( 704790 2497800 ) ( 705180 * )
NEW met4 ( 705180 2484380 ) ( * 2497800 )
NEW met3 ( 705180 2484380 ) ( 710470 * )
NEW met2 ( 710470 1498550 ) ( * 2484380 )
NEW met2 ( 2044930 1498550 ) ( * 1500420 0 )
NEW met1 ( 710470 1498550 ) ( 2044930 * )
NEW met1 ( 710470 1498550 ) M1M2_PR
NEW met3 ( 705180 2484380 ) M3M4_PR_M
NEW met2 ( 710470 2484380 ) M2M3_PR_M
NEW met1 ( 2044930 1498550 ) M1M2_PR ;
- i_dout0_1\[22\] ( sram1 dout0[22] ) ( mprj i_dout0_1[22] ) + USE SIGNAL
+ ROUTED met1 ( 2120830 2326110 ) ( 2121750 * )
NEW met3 ( 2121060 2096780 0 ) ( * 2097460 )
NEW met3 ( 2121060 2097460 ) ( 2122210 * )
NEW met2 ( 2121750 2208000 ) ( 2122210 * )
NEW met2 ( 2122210 2097460 ) ( * 2208000 )
NEW met2 ( 2121750 2208000 ) ( * 2326110 )
NEW met2 ( 2120830 2326110 ) ( * 2358410 )
NEW met4 ( 717710 2497800 ) ( * 2500530 0 )
NEW met4 ( 717710 2497800 ) ( 718060 * )
NEW met4 ( 718060 2490500 ) ( * 2497800 )
NEW met3 ( 718060 2490500 ) ( 724270 * )
NEW met2 ( 724270 2358410 ) ( * 2490500 )
NEW met1 ( 724270 2358410 ) ( 2120830 * )
NEW met1 ( 2120830 2326110 ) M1M2_PR
NEW met1 ( 2121750 2326110 ) M1M2_PR
NEW met2 ( 2122210 2097460 ) M2M3_PR_M
NEW met1 ( 2120830 2358410 ) M1M2_PR
NEW met1 ( 724270 2358410 ) M1M2_PR
NEW met3 ( 718060 2490500 ) M3M4_PR_M
NEW met2 ( 724270 2490500 ) M2M3_PR_M ;
- i_dout0_1\[23\] ( sram1 dout0[23] ) ( mprj i_dout0_1[23] ) + USE SIGNAL
+ ROUTED met2 ( 2057810 2332060 0 ) ( * 2365890 )
NEW met4 ( 729950 2497800 ) ( * 2500530 0 )
NEW met4 ( 729950 2497800 ) ( 730020 * )
NEW met4 ( 730020 2491180 ) ( * 2497800 )
NEW met3 ( 730020 2490500 ) ( * 2491180 )
NEW met3 ( 730020 2490500 ) ( 730250 * )
NEW met2 ( 730250 2490330 ) ( * 2490500 )
NEW met1 ( 730250 2490330 ) ( 734850 * )
NEW met2 ( 734850 2365890 ) ( * 2490330 )
NEW met1 ( 734850 2365890 ) ( 2057810 * )
NEW met1 ( 2057810 2365890 ) M1M2_PR
NEW met1 ( 734850 2365890 ) M1M2_PR
NEW met3 ( 730020 2491180 ) M3M4_PR_M
NEW met2 ( 730250 2490500 ) M2M3_PR_M
NEW met1 ( 730250 2490330 ) M1M2_PR
NEW met1 ( 734850 2490330 ) M1M2_PR ;
- i_dout0_1\[24\] ( sram1 dout0[24] ) ( mprj i_dout0_1[24] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 2222580 ) ( * 2228530 )
NEW met1 ( 744970 2228530 ) ( 1283630 * )
NEW met3 ( 1283630 2222580 ) ( 1300420 * 0 )
NEW met4 ( 742870 2497800 ) ( * 2500530 0 )
NEW met4 ( 742870 2497800 ) ( 742900 * )
NEW met4 ( 742900 2484380 ) ( * 2497800 )
NEW met3 ( 742900 2484380 ) ( 744970 * )
NEW met2 ( 744970 2228530 ) ( * 2484380 )
NEW met1 ( 1283630 2228530 ) M1M2_PR
NEW met2 ( 1283630 2222580 ) M2M3_PR_M
NEW met1 ( 744970 2228530 ) M1M2_PR
NEW met3 ( 742900 2484380 ) M3M4_PR_M
NEW met2 ( 744970 2484380 ) M2M3_PR_M ;
- i_dout0_1\[25\] ( sram1 dout0[25] ) ( mprj i_dout0_1[25] ) + USE SIGNAL
+ ROUTED met4 ( 755110 2497800 ) ( * 2500530 0 )
NEW met4 ( 754860 2497800 ) ( 755110 * )
NEW met4 ( 754860 2484380 ) ( * 2497800 )
NEW met3 ( 754860 2484380 ) ( 758770 * )
NEW met2 ( 758770 2366230 ) ( * 2484380 )
NEW met1 ( 758770 2366230 ) ( 2072070 * )
NEW met2 ( 2072070 2332060 0 ) ( * 2366230 )
NEW met1 ( 758770 2366230 ) M1M2_PR
NEW met3 ( 754860 2484380 ) M3M4_PR_M
NEW met2 ( 758770 2484380 ) M2M3_PR_M
NEW met1 ( 2072070 2366230 ) M1M2_PR ;
- i_dout0_1\[26\] ( sram1 dout0[26] ) ( mprj i_dout0_1[26] ) + USE SIGNAL
+ ROUTED met4 ( 767350 2497800 ) ( * 2500530 0 )
NEW met4 ( 767350 2497800 ) ( 767740 * )
NEW met4 ( 767740 2491180 ) ( * 2497800 )
NEW met3 ( 767740 2490500 ) ( * 2491180 )
NEW met3 ( 767740 2490500 ) ( 767970 * )
NEW met2 ( 767970 2484210 ) ( * 2490500 )
NEW met1 ( 767970 2484210 ) ( 776250 * )
NEW met2 ( 776250 1498890 ) ( * 2484210 )
NEW met2 ( 2084490 1498890 ) ( * 1500420 0 )
NEW met1 ( 776250 1498890 ) ( 2084490 * )
NEW met1 ( 776250 1498890 ) M1M2_PR
NEW met3 ( 767740 2491180 ) M3M4_PR_M
NEW met2 ( 767970 2490500 ) M2M3_PR_M
NEW met1 ( 767970 2484210 ) M1M2_PR
NEW met1 ( 776250 2484210 ) M1M2_PR
NEW met1 ( 2084490 1498890 ) M1M2_PR ;
- i_dout0_1\[27\] ( sram1 dout0[27] ) ( mprj i_dout0_1[27] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2229380 0 ) ( 2125430 * )
NEW met1 ( 2125430 2329510 ) ( 2128650 * )
NEW met4 ( 779590 2497800 ) ( * 2500530 0 )
NEW met4 ( 779590 2497800 ) ( 779700 * )
NEW met4 ( 779700 2487100 ) ( * 2497800 )
NEW met3 ( 779700 2487100 ) ( 786370 * )
NEW met2 ( 786370 2358750 ) ( * 2487100 )
NEW met2 ( 2125430 2229380 ) ( * 2329510 )
NEW met2 ( 2128650 2329510 ) ( * 2358750 )
NEW met1 ( 786370 2358750 ) ( 2128650 * )
NEW met2 ( 2125430 2229380 ) M2M3_PR_M
NEW met1 ( 2125430 2329510 ) M1M2_PR
NEW met1 ( 2128650 2329510 ) M1M2_PR
NEW met1 ( 786370 2358750 ) M1M2_PR
NEW met3 ( 779700 2487100 ) M3M4_PR_M
NEW met2 ( 786370 2487100 ) M2M3_PR_M
NEW met1 ( 2128650 2358750 ) M1M2_PR ;
- i_dout0_1\[28\] ( sram1 dout0[28] ) ( mprj i_dout0_1[28] ) + USE SIGNAL
+ ROUTED met4 ( 792510 2497800 ) ( * 2500530 0 )
NEW met4 ( 792510 2497800 ) ( 792580 * )
NEW met4 ( 792580 2484380 ) ( * 2497800 )
NEW met3 ( 792580 2484380 ) ( 793270 * )
NEW met2 ( 793270 2335630 ) ( * 2484380 )
NEW met3 ( 2121060 2265420 0 ) ( 2132790 * )
NEW met2 ( 2132790 2265420 ) ( * 2335630 )
NEW met1 ( 793270 2335630 ) ( 2132790 * )
NEW met1 ( 793270 2335630 ) M1M2_PR
NEW met1 ( 2132790 2335630 ) M1M2_PR
NEW met3 ( 792580 2484380 ) M3M4_PR_M
NEW met2 ( 793270 2484380 ) M2M3_PR_M
NEW met2 ( 2132790 2265420 ) M2M3_PR_M ;
- i_dout0_1\[29\] ( sram1 dout0[29] ) ( mprj i_dout0_1[29] ) + USE SIGNAL
+ ROUTED met4 ( 804750 2497800 ) ( * 2500530 0 )
NEW met4 ( 804540 2497800 ) ( 804750 * )
NEW met4 ( 804540 2484380 ) ( * 2497800 )
NEW met3 ( 804540 2484380 ) ( 807070 * )
NEW met2 ( 807070 2283610 ) ( * 2484380 )
NEW met2 ( 1283630 2281060 ) ( * 2283610 )
NEW met1 ( 807070 2283610 ) ( 1283630 * )
NEW met3 ( 1283630 2281060 ) ( 1300420 * 0 )
NEW met1 ( 807070 2283610 ) M1M2_PR
NEW met3 ( 804540 2484380 ) M3M4_PR_M
NEW met2 ( 807070 2484380 ) M2M3_PR_M
NEW met1 ( 1283630 2283610 ) M1M2_PR
NEW met2 ( 1283630 2281060 ) M2M3_PR_M ;
- i_dout0_1\[2\] ( sram1 dout0[2] ) ( mprj i_dout0_1[2] ) + USE SIGNAL
+ ROUTED met2 ( 597310 2366570 ) ( * 2490330 )
NEW met4 ( 468150 2497800 ) ( * 2500530 0 )
NEW met4 ( 468150 2497800 ) ( 468740 * )
NEW met4 ( 468740 2490500 ) ( * 2497800 )
NEW met3 ( 468740 2490500 ) ( 468970 * )
NEW met2 ( 468970 2490330 ) ( * 2490500 )
NEW met1 ( 468970 2490330 ) ( 597310 * )
NEW met1 ( 597310 2366570 ) ( 1891290 * )
NEW met2 ( 1891290 2332060 0 ) ( * 2366570 )
NEW met1 ( 597310 2366570 ) M1M2_PR
NEW met1 ( 597310 2490330 ) M1M2_PR
NEW met3 ( 468740 2490500 ) M3M4_PR_M
NEW met2 ( 468970 2490500 ) M2M3_PR_M
NEW met1 ( 468970 2490330 ) M1M2_PR
NEW met1 ( 1891290 2366570 ) M1M2_PR
NEW met3 ( 468740 2490500 ) RECT ( -390 -150 0 150 ) ;
- i_dout0_1\[30\] ( sram1 dout0[30] ) ( mprj i_dout0_1[30] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2301460 0 ) ( 2132330 * )
NEW met2 ( 2132330 2301460 ) ( * 2335970 )
NEW met1 ( 820870 2335970 ) ( 2132330 * )
NEW met4 ( 816990 2497800 ) ( * 2500530 0 )
NEW met4 ( 816990 2497800 ) ( 817420 * )
NEW met4 ( 817420 2484380 ) ( * 2497800 )
NEW met3 ( 817420 2484380 ) ( 820870 * )
NEW met2 ( 820870 2335970 ) ( * 2484380 )
NEW met1 ( 2132330 2335970 ) M1M2_PR
NEW met2 ( 2132330 2301460 ) M2M3_PR_M
NEW met1 ( 820870 2335970 ) M1M2_PR
NEW met3 ( 817420 2484380 ) M3M4_PR_M
NEW met2 ( 820870 2484380 ) M2M3_PR_M ;
- i_dout0_1\[31\] ( sram1 dout0[31] ) ( mprj i_dout0_1[31] ) + USE SIGNAL
+ ROUTED met2 ( 2114850 2332060 0 ) ( * 2343110 )
NEW met2 ( 2015030 2343110 ) ( * 2362830 )
NEW met1 ( 2015030 2343110 ) ( 2114850 * )
NEW met4 ( 829910 2497800 ) ( * 2500530 0 )
NEW met4 ( 829910 2497800 ) ( 830300 * )
NEW met4 ( 830300 2484380 ) ( * 2497800 )
NEW met3 ( 830300 2484380 ) ( 834670 * )
NEW met2 ( 834670 2362830 ) ( * 2484380 )
NEW met1 ( 834670 2362830 ) ( 2015030 * )
NEW met1 ( 2015030 2343110 ) M1M2_PR
NEW met1 ( 2114850 2343110 ) M1M2_PR
NEW met1 ( 2015030 2362830 ) M1M2_PR
NEW met1 ( 834670 2362830 ) M1M2_PR
NEW met3 ( 830300 2484380 ) M3M4_PR_M
NEW met2 ( 834670 2484380 ) M2M3_PR_M ;
- i_dout0_1\[3\] ( sram1 dout0[3] ) ( mprj i_dout0_1[3] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1579810 ) ( * 1579980 )
NEW met4 ( 480390 2497800 ) ( * 2500530 0 )
NEW met4 ( 480390 2497800 ) ( 481620 * )
NEW met4 ( 481620 2484380 ) ( * 2497800 )
NEW met3 ( 481620 2484380 ) ( 482770 * )
NEW met2 ( 482770 1579810 ) ( * 2484380 )
NEW met1 ( 482770 1579810 ) ( 1283630 * )
NEW met3 ( 1283630 1579980 ) ( 1300420 * 0 )
NEW met1 ( 482770 1579810 ) M1M2_PR
NEW met1 ( 1283630 1579810 ) M1M2_PR
NEW met2 ( 1283630 1579980 ) M2M3_PR_M
NEW met3 ( 481620 2484380 ) M3M4_PR_M
NEW met2 ( 482770 2484380 ) M2M3_PR_M ;
- i_dout0_1\[4\] ( sram1 dout0[4] ) ( mprj i_dout0_1[4] ) + USE SIGNAL
+ ROUTED met4 ( 492630 2497800 ) ( * 2500530 0 )
NEW met4 ( 492630 2497800 ) ( 492660 * )
NEW met4 ( 492660 2490500 ) ( * 2497800 )
NEW met3 ( 492660 2490500 ) ( 492890 * )
NEW met2 ( 492890 2489990 ) ( * 2490500 )
NEW met1 ( 492890 2489990 ) ( 624450 * )
NEW met2 ( 624450 1499230 ) ( * 2489990 )
NEW met2 ( 1911530 1499230 ) ( * 1500420 0 )
NEW met1 ( 624450 1499230 ) ( 1911530 * )
NEW met3 ( 492660 2490500 ) M3M4_PR_M
NEW met2 ( 492890 2490500 ) M2M3_PR_M
NEW met1 ( 492890 2489990 ) M1M2_PR
NEW met1 ( 624450 1499230 ) M1M2_PR
NEW met1 ( 624450 2489990 ) M1M2_PR
NEW met1 ( 1911530 1499230 ) M1M2_PR
NEW met3 ( 492660 2490500 ) RECT ( -390 -150 0 150 ) ;
- i_dout0_1\[5\] ( sram1 dout0[5] ) ( mprj i_dout0_1[5] ) + USE SIGNAL
+ ROUTED met4 ( 505550 2497800 ) ( * 2500530 0 )
NEW met4 ( 505540 2497800 ) ( 505550 * )
NEW met4 ( 505540 2485060 ) ( * 2497800 )
NEW met3 ( 505540 2485060 ) ( 510370 * )
NEW met2 ( 510370 1697110 ) ( * 2485060 )
NEW met2 ( 1283630 1696940 ) ( * 1697110 )
NEW met1 ( 510370 1697110 ) ( 1283630 * )
NEW met3 ( 1283630 1696940 ) ( 1300420 * 0 )
NEW met1 ( 510370 1697110 ) M1M2_PR
NEW met3 ( 505540 2485060 ) M3M4_PR_M
NEW met2 ( 510370 2485060 ) M2M3_PR_M
NEW met1 ( 1283630 1697110 ) M1M2_PR
NEW met2 ( 1283630 1696940 ) M2M3_PR_M ;
- i_dout0_1\[6\] ( sram1 dout0[6] ) ( mprj i_dout0_1[6] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1726180 ) ( * 1731450 )
NEW met1 ( 524170 1731450 ) ( 1283630 * )
NEW met3 ( 1283630 1726180 ) ( 1300420 * 0 )
NEW met4 ( 517790 2497800 ) ( * 2500530 0 )
NEW met4 ( 517790 2497800 ) ( 518420 * )
NEW met4 ( 518420 2490500 ) ( * 2497800 )
NEW met3 ( 518420 2490500 ) ( 524170 * )
NEW met2 ( 524170 1731450 ) ( * 2490500 )
NEW met1 ( 1283630 1731450 ) M1M2_PR
NEW met2 ( 1283630 1726180 ) M2M3_PR_M
NEW met1 ( 524170 1731450 ) M1M2_PR
NEW met3 ( 518420 2490500 ) M3M4_PR_M
NEW met2 ( 524170 2490500 ) M2M3_PR_M ;
- i_dout0_1\[7\] ( sram1 dout0[7] ) ( mprj i_dout0_1[7] ) + USE SIGNAL
+ ROUTED met2 ( 672750 1499570 ) ( * 2489310 )
NEW met2 ( 1935910 1499570 ) ( * 1500420 0 )
NEW met4 ( 530030 2497800 ) ( * 2500530 0 )
NEW met4 ( 530030 2497800 ) ( 530380 * )
NEW met4 ( 530380 2490500 ) ( * 2497800 )
NEW met3 ( 530380 2490500 ) ( 530610 * )
NEW met2 ( 530610 2489310 ) ( * 2490500 )
NEW met1 ( 530610 2489310 ) ( 672750 * )
NEW met1 ( 672750 1499570 ) ( 1935910 * )
NEW met1 ( 672750 1499570 ) M1M2_PR
NEW met1 ( 672750 2489310 ) M1M2_PR
NEW met1 ( 1935910 1499570 ) M1M2_PR
NEW met3 ( 530380 2490500 ) M3M4_PR_M
NEW met2 ( 530610 2490500 ) M2M3_PR_M
NEW met1 ( 530610 2489310 ) M1M2_PR
NEW met3 ( 530380 2490500 ) RECT ( -390 -150 0 150 ) ;
- i_dout0_1\[8\] ( sram1 dout0[8] ) ( mprj i_dout0_1[8] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1784660 ) ( * 1786870 )
NEW met4 ( 541590 2497800 ) ( * 2500530 0 )
NEW met4 ( 541420 2497800 ) ( 541590 * )
NEW met4 ( 541420 2485060 ) ( * 2497800 )
NEW met3 ( 541420 2485060 ) ( 544870 * )
NEW met2 ( 544870 1786870 ) ( * 2485060 )
NEW met1 ( 544870 1786870 ) ( 1283630 * )
NEW met3 ( 1283630 1784660 ) ( 1300420 * 0 )
NEW met1 ( 1283630 1786870 ) M1M2_PR
NEW met2 ( 1283630 1784660 ) M2M3_PR_M
NEW met1 ( 544870 1786870 ) M1M2_PR
NEW met3 ( 541420 2485060 ) M3M4_PR_M
NEW met2 ( 544870 2485060 ) M2M3_PR_M ;
- i_dout0_1\[9\] ( sram1 dout0[9] ) ( mprj i_dout0_1[9] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1828180 ) ( * 1828350 )
NEW met1 ( 558210 1828350 ) ( 1283630 * )
NEW met3 ( 1283630 1828180 ) ( 1300420 * 0 )
NEW met4 ( 555190 2497800 ) ( * 2500530 0 )
NEW met4 ( 555190 2497800 ) ( 555220 * )
NEW met4 ( 555220 2484380 ) ( * 2497800 )
NEW met3 ( 555220 2484380 ) ( 558210 * )
NEW met2 ( 558210 1828350 ) ( * 2484380 )
NEW met1 ( 1283630 1828350 ) M1M2_PR
NEW met2 ( 1283630 1828180 ) M2M3_PR_M
NEW met1 ( 558210 1828350 ) M1M2_PR
NEW met3 ( 555220 2484380 ) M3M4_PR_M
NEW met2 ( 558210 2484380 ) M2M3_PR_M ;
- i_dout1\[0\] ( sram dout1[0] ) ( mprj i_dout1[0] ) + USE SIGNAL
+ ROUTED met2 ( 1856790 2332060 ) ( 1858170 * 0 )
NEW met2 ( 1856790 2332060 ) ( * 2332230 )
NEW met1 ( 448270 2332230 ) ( 1856790 * )
NEW met3 ( 443900 1428340 ) ( 448270 * )
NEW met4 ( 443900 1419500 ) ( * 1428340 )
NEW met4 ( 443670 1419500 ) ( 443900 * )
NEW met4 ( 443670 1416100 0 ) ( * 1419500 )
NEW met2 ( 448270 1428340 ) ( * 2332230 )
NEW met1 ( 1856790 2332230 ) M1M2_PR
NEW met1 ( 448270 2332230 ) M1M2_PR
NEW met2 ( 448270 1428340 ) M2M3_PR_M
NEW met3 ( 443900 1428340 ) M3M4_PR_M ;
- i_dout1\[10\] ( sram dout1[10] ) ( mprj i_dout1[10] ) + USE SIGNAL
+ ROUTED met3 ( 571780 1428340 ) ( 572470 * )
NEW met4 ( 571780 1419500 ) ( * 1428340 )
NEW met4 ( 568110 1419500 ) ( 571780 * )
NEW met4 ( 568110 1416100 0 ) ( * 1419500 )
NEW met2 ( 572470 1428340 ) ( * 1480190 )
NEW met3 ( 2121060 1891420 0 ) ( 2123130 * )
NEW met2 ( 2123130 1480190 ) ( * 1891420 )
NEW met1 ( 572470 1480190 ) ( 2123130 * )
NEW met1 ( 572470 1480190 ) M1M2_PR
NEW met1 ( 2123130 1480190 ) M1M2_PR
NEW met2 ( 572470 1428340 ) M2M3_PR_M
NEW met3 ( 571780 1428340 ) M3M4_PR_M
NEW met2 ( 2123130 1891420 ) M2M3_PR_M ;
- i_dout1\[11\] ( sram dout1[11] ) ( mprj i_dout1[11] ) + USE SIGNAL
+ ROUTED met3 ( 580980 1428340 ) ( 585810 * )
NEW met4 ( 580980 1419500 ) ( * 1428340 )
NEW met4 ( 580980 1419500 ) ( 581030 * )
NEW met4 ( 581030 1416100 0 ) ( * 1419500 )
NEW met2 ( 585810 1428340 ) ( * 2332570 )
NEW met2 ( 1989270 2332060 ) ( 1991110 * 0 )
NEW met2 ( 1989270 2332060 ) ( * 2332570 )
NEW met1 ( 585810 2332570 ) ( 1989270 * )
NEW met1 ( 585810 2332570 ) M1M2_PR
NEW met2 ( 585810 1428340 ) M2M3_PR_M
NEW met3 ( 580980 1428340 ) M3M4_PR_M
NEW met1 ( 1989270 2332570 ) M1M2_PR ;
- i_dout1\[12\] ( sram dout1[12] ) ( mprj i_dout1[12] ) + USE SIGNAL
+ ROUTED met3 ( 592940 1428340 ) ( 593170 * )
NEW met4 ( 592940 1419500 ) ( * 1428340 )
NEW met4 ( 592590 1419500 ) ( 592940 * )
NEW met4 ( 592590 1416100 0 ) ( * 1419500 )
NEW met2 ( 593170 1428340 ) ( * 1883770 )
NEW met2 ( 1283630 1883770 ) ( * 1886660 )
NEW met1 ( 593170 1883770 ) ( 1283630 * )
NEW met3 ( 1283630 1886660 ) ( 1300420 * 0 )
NEW met2 ( 593170 1428340 ) M2M3_PR_M
NEW met3 ( 592940 1428340 ) M3M4_PR_M
NEW met1 ( 593170 1883770 ) M1M2_PR
NEW met1 ( 1283630 1883770 ) M1M2_PR
NEW met2 ( 1283630 1886660 ) M2M3_PR_M
NEW met3 ( 593170 1428340 ) RECT ( 0 -150 390 150 ) ;
- i_dout1\[13\] ( sram dout1[13] ) ( mprj i_dout1[13] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1925250 ) ( * 1930180 )
NEW met3 ( 603980 1428340 ) ( 606970 * )
NEW met4 ( 603980 1419500 ) ( * 1428340 )
NEW met4 ( 603980 1419500 ) ( 604830 * )
NEW met4 ( 604830 1416100 0 ) ( * 1419500 )
NEW met2 ( 606970 1428340 ) ( * 1925250 )
NEW met1 ( 606970 1925250 ) ( 1283630 * )
NEW met3 ( 1283630 1930180 ) ( 1300420 * 0 )
NEW met1 ( 606970 1925250 ) M1M2_PR
NEW met1 ( 1283630 1925250 ) M1M2_PR
NEW met2 ( 1283630 1930180 ) M2M3_PR_M
NEW met2 ( 606970 1428340 ) M2M3_PR_M
NEW met3 ( 603980 1428340 ) M3M4_PR_M ;
- i_dout1\[14\] ( sram dout1[14] ) ( mprj i_dout1[14] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1973700 ) ( * 1975740 0 )
NEW met3 ( 2121060 1973700 ) ( 2122210 * )
NEW met2 ( 2122210 1480530 ) ( * 1973700 )
NEW met1 ( 620770 1480530 ) ( 2122210 * )
NEW met3 ( 618700 1428340 ) ( 620770 * )
NEW met4 ( 618700 1419500 ) ( * 1428340 )
NEW met4 ( 618430 1419500 ) ( 618700 * )
NEW met4 ( 618430 1416100 0 ) ( * 1419500 )
NEW met2 ( 620770 1428340 ) ( * 1480530 )
NEW met1 ( 2122210 1480530 ) M1M2_PR
NEW met2 ( 2122210 1973700 ) M2M3_PR_M
NEW met1 ( 620770 1480530 ) M1M2_PR
NEW met2 ( 620770 1428340 ) M2M3_PR_M
NEW met3 ( 618700 1428340 ) M3M4_PR_M ;
- i_dout1\[15\] ( sram dout1[15] ) ( mprj i_dout1[15] ) + USE SIGNAL
+ ROUTED met1 ( 634570 1452310 ) ( 1885310 * )
NEW met3 ( 630660 1428340 ) ( 634570 * )
NEW met4 ( 630660 1419500 ) ( * 1428340 )
NEW met4 ( 630660 1419500 ) ( 630670 * )
NEW met4 ( 630670 1416100 0 ) ( * 1419500 )
NEW met2 ( 634570 1428340 ) ( * 1452310 )
NEW met2 ( 1885310 1452310 ) ( * 1489030 )
NEW met2 ( 2010430 1489030 ) ( * 1500420 0 )
NEW met1 ( 1885310 1489030 ) ( 2010430 * )
NEW met1 ( 634570 1452310 ) M1M2_PR
NEW met1 ( 1885310 1452310 ) M1M2_PR
NEW met2 ( 634570 1428340 ) M2M3_PR_M
NEW met3 ( 630660 1428340 ) M3M4_PR_M
NEW met1 ( 1885310 1489030 ) M1M2_PR
NEW met1 ( 2010430 1489030 ) M1M2_PR ;
- i_dout1\[16\] ( sram dout1[16] ) ( mprj i_dout1[16] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1973530 ) ( * 1974380 )
NEW met3 ( 643540 1428340 ) ( 648370 * )
NEW met4 ( 643540 1419500 ) ( * 1428340 )
NEW met4 ( 642910 1419500 ) ( 643540 * )
NEW met4 ( 642910 1416100 0 ) ( * 1419500 )
NEW met2 ( 648370 1428340 ) ( * 1973530 )
NEW met1 ( 648370 1973530 ) ( 1283630 * )
NEW met3 ( 1283630 1974380 ) ( 1300420 * 0 )
NEW met1 ( 1283630 1973530 ) M1M2_PR
NEW met2 ( 1283630 1974380 ) M2M3_PR_M
NEW met2 ( 648370 1428340 ) M2M3_PR_M
NEW met3 ( 643540 1428340 ) M3M4_PR_M
NEW met1 ( 648370 1973530 ) M1M2_PR ;
- i_dout1\[17\] ( sram dout1[17] ) ( mprj i_dout1[17] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2021980 ) ( * 2024020 0 )
NEW met3 ( 2121060 2021980 ) ( 2121750 * )
NEW met2 ( 2121750 1474070 ) ( * 2021980 )
NEW met1 ( 655270 1474070 ) ( 2121750 * )
NEW met3 ( 655270 1428340 ) ( 655500 * )
NEW met4 ( 655500 1419500 ) ( * 1428340 )
NEW met4 ( 655150 1419500 ) ( 655500 * )
NEW met4 ( 655150 1416100 0 ) ( * 1419500 )
NEW met2 ( 655270 1428340 ) ( * 1474070 )
NEW met1 ( 2121750 1474070 ) M1M2_PR
NEW met2 ( 2121750 2021980 ) M2M3_PR_M
NEW met1 ( 655270 1474070 ) M1M2_PR
NEW met2 ( 655270 1428340 ) M2M3_PR_M
NEW met3 ( 655500 1428340 ) M3M4_PR_M
NEW met3 ( 655270 1428340 ) RECT ( -390 -150 0 150 ) ;
- i_dout1\[18\] ( sram dout1[18] ) ( mprj i_dout1[18] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 2042550 ) ( * 2047140 )
NEW met3 ( 668380 1428340 ) ( 669070 * )
NEW met4 ( 668380 1419500 ) ( * 1428340 )
NEW met4 ( 668070 1419500 ) ( 668380 * )
NEW met4 ( 668070 1416100 0 ) ( * 1419500 )
NEW met2 ( 669070 1428340 ) ( * 2042550 )
NEW met1 ( 669070 2042550 ) ( 1283630 * )
NEW met3 ( 1283630 2047140 ) ( 1300420 * 0 )
NEW met1 ( 669070 2042550 ) M1M2_PR
NEW met1 ( 1283630 2042550 ) M1M2_PR
NEW met2 ( 1283630 2047140 ) M2M3_PR_M
NEW met2 ( 669070 1428340 ) M2M3_PR_M
NEW met3 ( 668380 1428340 ) M3M4_PR_M ;
- i_dout1\[19\] ( sram dout1[19] ) ( mprj i_dout1[19] ) + USE SIGNAL
+ ROUTED met3 ( 680340 1428340 ) ( 686550 * )
NEW met4 ( 680340 1419500 ) ( * 1428340 )
NEW met4 ( 679630 1419500 ) ( 680340 * )
NEW met4 ( 679630 1416100 0 ) ( * 1419500 )
NEW met2 ( 686550 1428340 ) ( * 1474750 )
NEW met2 ( 2021930 1500420 ) ( 2025150 * 0 )
NEW met2 ( 2021930 1474750 ) ( * 1500420 )
NEW met1 ( 686550 1474750 ) ( 2021930 * )
NEW met1 ( 686550 1474750 ) M1M2_PR
NEW met1 ( 2021930 1474750 ) M1M2_PR
NEW met2 ( 686550 1428340 ) M2M3_PR_M
NEW met3 ( 680340 1428340 ) M3M4_PR_M ;
- i_dout1\[1\] ( sram dout1[1] ) ( mprj i_dout1[1] ) + USE SIGNAL
+ ROUTED met3 ( 2120830 1575220 ) ( 2121060 * )
NEW met3 ( 2121060 1575220 ) ( * 1577940 0 )
NEW li1 ( 2120830 1500590 ) ( * 1505010 )
NEW met2 ( 2120830 1505010 ) ( * 1575220 )
NEW met3 ( 454940 1428340 ) ( 455170 * )
NEW met4 ( 454940 1419500 ) ( * 1428340 )
NEW met4 ( 454940 1419500 ) ( 455230 * )
NEW met4 ( 455230 1416100 0 ) ( * 1419500 )
NEW met2 ( 455170 1428340 ) ( * 1500590 )
NEW met1 ( 455170 1500590 ) ( 2120830 * )
NEW met2 ( 2120830 1575220 ) M2M3_PR_M
NEW li1 ( 2120830 1500590 ) L1M1_PR_MR
NEW li1 ( 2120830 1505010 ) L1M1_PR_MR
NEW met1 ( 2120830 1505010 ) M1M2_PR
NEW met2 ( 455170 1428340 ) M2M3_PR_M
NEW met3 ( 454940 1428340 ) M3M4_PR_M
NEW met1 ( 455170 1500590 ) M1M2_PR
NEW met1 ( 2120830 1505010 ) RECT ( -355 -70 0 70 )
NEW met3 ( 455170 1428340 ) RECT ( 0 -150 390 150 ) ;
- i_dout1\[20\] ( sram dout1[20] ) ( mprj i_dout1[20] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 2118370 ) ( * 2119900 )
NEW met3 ( 693220 1428340 ) ( 696670 * )
NEW met4 ( 693220 1419500 ) ( * 1428340 )
NEW met4 ( 693220 1419500 ) ( 693230 * )
NEW met4 ( 693230 1416100 0 ) ( * 1419500 )
NEW met2 ( 696670 1428340 ) ( * 2118370 )
NEW met1 ( 696670 2118370 ) ( 1283630 * )
NEW met3 ( 1283630 2119900 ) ( 1300420 * 0 )
NEW met1 ( 696670 2118370 ) M1M2_PR
NEW met1 ( 1283630 2118370 ) M1M2_PR
NEW met2 ( 1283630 2119900 ) M2M3_PR_M
NEW met2 ( 696670 1428340 ) M2M3_PR_M
NEW met3 ( 693220 1428340 ) M3M4_PR_M ;
- i_dout1\[21\] ( sram dout1[21] ) ( mprj i_dout1[21] ) + USE SIGNAL
+ ROUTED met3 ( 705180 1428340 ) ( 710470 * )
NEW met4 ( 705180 1419500 ) ( * 1428340 )
NEW met4 ( 705180 1419500 ) ( 705470 * )
NEW met4 ( 705470 1416100 0 ) ( * 1419500 )
NEW met2 ( 710470 1428340 ) ( * 1474410 )
NEW met3 ( 2121060 2084540 0 ) ( * 2085900 )
NEW met3 ( 2121060 2085900 ) ( 2121290 * )
NEW met2 ( 2121290 1474410 ) ( * 2085900 )
NEW met1 ( 710470 1474410 ) ( 2121290 * )
NEW met1 ( 710470 1474410 ) M1M2_PR
NEW met1 ( 2121290 1474410 ) M1M2_PR
NEW met2 ( 710470 1428340 ) M2M3_PR_M
NEW met3 ( 705180 1428340 ) M3M4_PR_M
NEW met2 ( 2121290 2085900 ) M2M3_PR_M ;
- i_dout1\[22\] ( sram dout1[22] ) ( mprj i_dout1[22] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2108340 0 ) ( 2135090 * )
NEW met2 ( 2135090 2107490 ) ( * 2108340 )
NEW met1 ( 2135090 2107490 ) ( 2147970 * )
NEW met2 ( 2147970 1480870 ) ( * 2107490 )
NEW met1 ( 724270 1480870 ) ( 2147970 * )
NEW met3 ( 718060 1430380 ) ( 724270 * )
NEW met4 ( 718060 1419500 ) ( * 1430380 )
NEW met4 ( 717710 1419500 ) ( 718060 * )
NEW met4 ( 717710 1416100 0 ) ( * 1419500 )
NEW met2 ( 724270 1430380 ) ( * 1480870 )
NEW met1 ( 2147970 1480870 ) M1M2_PR
NEW met2 ( 2135090 2108340 ) M2M3_PR_M
NEW met1 ( 2135090 2107490 ) M1M2_PR
NEW met1 ( 2147970 2107490 ) M1M2_PR
NEW met1 ( 724270 1480870 ) M1M2_PR
NEW met2 ( 724270 1430380 ) M2M3_PR_M
NEW met3 ( 718060 1430380 ) M3M4_PR_M ;
- i_dout1\[23\] ( sram dout1[23] ) ( mprj i_dout1[23] ) + USE SIGNAL
+ ROUTED met2 ( 1362750 1432930 ) ( * 1487330 )
NEW met2 ( 2060110 1487330 ) ( * 1500420 0 )
NEW met2 ( 731170 1429020 ) ( * 1432930 )
NEW met3 ( 728180 1429020 ) ( 731170 * )
NEW met4 ( 728180 1419500 ) ( * 1429020 )
NEW met4 ( 728180 1419500 ) ( 729950 * )
NEW met4 ( 729950 1416100 0 ) ( * 1419500 )
NEW met1 ( 731170 1432930 ) ( 1362750 * )
NEW met1 ( 1362750 1487330 ) ( 2060110 * )
NEW met1 ( 1362750 1432930 ) M1M2_PR
NEW met1 ( 1362750 1487330 ) M1M2_PR
NEW met1 ( 2060110 1487330 ) M1M2_PR
NEW met1 ( 731170 1432930 ) M1M2_PR
NEW met2 ( 731170 1429020 ) M2M3_PR_M
NEW met3 ( 728180 1429020 ) M3M4_PR_M ;
- i_dout1\[24\] ( sram dout1[24] ) ( mprj i_dout1[24] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2145060 0 ) ( 2134170 * )
NEW met2 ( 2134170 2140810 ) ( * 2145060 )
NEW met1 ( 2134170 2140810 ) ( 2147510 * )
NEW met2 ( 2147510 1481210 ) ( * 2140810 )
NEW met1 ( 744970 1481210 ) ( 2147510 * )
NEW met3 ( 741980 1428340 ) ( 744970 * )
NEW met4 ( 741980 1419500 ) ( * 1428340 )
NEW met4 ( 741980 1419500 ) ( 742190 * )
NEW met4 ( 742190 1416100 0 ) ( * 1419500 )
NEW met2 ( 744970 1428340 ) ( * 1481210 )
NEW met1 ( 2147510 1481210 ) M1M2_PR
NEW met2 ( 2134170 2145060 ) M2M3_PR_M
NEW met1 ( 2134170 2140810 ) M1M2_PR
NEW met1 ( 2147510 2140810 ) M1M2_PR
NEW met1 ( 744970 1481210 ) M1M2_PR
NEW met2 ( 744970 1428340 ) M2M3_PR_M
NEW met3 ( 741980 1428340 ) M3M4_PR_M ;
- i_dout1\[25\] ( sram dout1[25] ) ( mprj i_dout1[25] ) + USE SIGNAL
+ ROUTED met2 ( 1369650 1432590 ) ( * 1486990 )
NEW met2 ( 757390 1432420 ) ( * 1432590 )
NEW met3 ( 754860 1432420 ) ( 757390 * )
NEW met4 ( 754860 1419500 ) ( * 1432420 )
NEW met4 ( 754860 1419500 ) ( 755110 * )
NEW met4 ( 755110 1416100 0 ) ( * 1419500 )
NEW met1 ( 757390 1432590 ) ( 1369650 * )
NEW met2 ( 2074830 1486990 ) ( * 1500420 0 )
NEW met1 ( 1369650 1486990 ) ( 2074830 * )
NEW met1 ( 1369650 1432590 ) M1M2_PR
NEW met1 ( 1369650 1486990 ) M1M2_PR
NEW met1 ( 757390 1432590 ) M1M2_PR
NEW met2 ( 757390 1432420 ) M2M3_PR_M
NEW met3 ( 754860 1432420 ) M3M4_PR_M
NEW met1 ( 2074830 1486990 ) M1M2_PR ;
- i_dout1\[26\] ( sram dout1[26] ) ( mprj i_dout1[26] ) + USE SIGNAL
+ ROUTED met3 ( 770500 1428340 ) ( 772570 * )
NEW met4 ( 770500 1419500 ) ( * 1428340 )
NEW met4 ( 768030 1419500 ) ( 770500 * )
NEW met4 ( 768030 1416100 0 ) ( * 1419500 )
NEW met2 ( 772570 1428340 ) ( * 1500930 )
NEW met3 ( 2121060 2193340 0 ) ( 2133250 * )
NEW met2 ( 2133250 2189090 ) ( * 2193340 )
NEW met1 ( 2133250 2189090 ) ( 2147050 * )
NEW met2 ( 2147050 1500930 ) ( * 2189090 )
NEW met1 ( 772570 1500930 ) ( 2147050 * )
NEW met2 ( 772570 1428340 ) M2M3_PR_M
NEW met3 ( 770500 1428340 ) M3M4_PR_M
NEW met1 ( 772570 1500930 ) M1M2_PR
NEW met1 ( 2147050 1500930 ) M1M2_PR
NEW met2 ( 2133250 2193340 ) M2M3_PR_M
NEW met1 ( 2133250 2189090 ) M1M2_PR
NEW met1 ( 2147050 2189090 ) M1M2_PR ;
- i_dout1\[27\] ( sram dout1[27] ) ( mprj i_dout1[27] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2253180 0 ) ( 2128650 * )
NEW met2 ( 786370 1433100 ) ( * 1433950 )
NEW met3 ( 780620 1433100 ) ( 786370 * )
NEW met4 ( 780620 1419500 ) ( * 1433100 )
NEW met4 ( 780270 1419500 ) ( 780620 * )
NEW met4 ( 780270 1416100 0 ) ( * 1419500 )
NEW met2 ( 2128650 1433950 ) ( * 2253180 )
NEW met1 ( 786370 1433950 ) ( 2128650 * )
NEW met2 ( 2128650 2253180 ) M2M3_PR_M
NEW met1 ( 786370 1433950 ) M1M2_PR
NEW met2 ( 786370 1433100 ) M2M3_PR_M
NEW met3 ( 780620 1433100 ) M3M4_PR_M
NEW met1 ( 2128650 1433950 ) M1M2_PR ;
- i_dout1\[28\] ( sram dout1[28] ) ( mprj i_dout1[28] ) + USE SIGNAL
+ ROUTED met2 ( 793270 1431740 ) ( * 1432250 )
NEW met3 ( 792580 1431740 ) ( 793270 * )
NEW met4 ( 792580 1419500 ) ( * 1431740 )
NEW met4 ( 792510 1419500 ) ( 792580 * )
NEW met4 ( 792510 1416100 0 ) ( * 1419500 )
NEW met2 ( 1190710 1432250 ) ( * 2336650 )
NEW met2 ( 2095990 2332060 0 ) ( * 2336650 )
NEW met1 ( 1190710 2336650 ) ( 2095990 * )
NEW met1 ( 793270 1432250 ) ( 1190710 * )
NEW met1 ( 1190710 2336650 ) M1M2_PR
NEW met1 ( 793270 1432250 ) M1M2_PR
NEW met2 ( 793270 1431740 ) M2M3_PR_M
NEW met3 ( 792580 1431740 ) M3M4_PR_M
NEW met1 ( 1190710 1432250 ) M1M2_PR
NEW met1 ( 2095990 2336650 ) M1M2_PR ;
- i_dout1\[29\] ( sram dout1[29] ) ( mprj i_dout1[29] ) + USE SIGNAL
+ ROUTED met2 ( 806150 1428340 ) ( * 1431910 )
NEW met3 ( 805460 1428340 ) ( 806150 * )
NEW met4 ( 805460 1419500 ) ( * 1428340 )
NEW met4 ( 805430 1419500 ) ( 805460 * )
NEW met4 ( 805430 1416100 0 ) ( * 1419500 )
NEW met2 ( 2105190 2332060 0 ) ( * 2336310 )
NEW met1 ( 1204510 2336310 ) ( 2105190 * )
NEW met1 ( 806150 1431910 ) ( 1204510 * )
NEW met2 ( 1204510 1431910 ) ( * 2336310 )
NEW met1 ( 806150 1431910 ) M1M2_PR
NEW met2 ( 806150 1428340 ) M2M3_PR_M
NEW met3 ( 805460 1428340 ) M3M4_PR_M
NEW met1 ( 1204510 2336310 ) M1M2_PR
NEW met1 ( 2105190 2336310 ) M1M2_PR
NEW met1 ( 1204510 1431910 ) M1M2_PR ;
- i_dout1\[2\] ( sram dout1[2] ) ( mprj i_dout1[2] ) + USE SIGNAL
+ ROUTED met3 ( 2120140 1611260 ) ( 2120370 * )
NEW met3 ( 2120140 1611260 ) ( * 1613980 0 )
NEW met2 ( 2120370 1473390 ) ( * 1611260 )
NEW met1 ( 468970 1473390 ) ( 2120370 * )
NEW met3 ( 467820 1433780 ) ( 468970 * )
NEW met4 ( 467820 1419500 ) ( * 1433780 )
NEW met4 ( 467470 1419500 ) ( 467820 * )
NEW met4 ( 467470 1416100 0 ) ( * 1419500 )
NEW met2 ( 468970 1433780 ) ( * 1473390 )
NEW met1 ( 2120370 1473390 ) M1M2_PR
NEW met2 ( 2120370 1611260 ) M2M3_PR_M
NEW met1 ( 468970 1473390 ) M1M2_PR
NEW met2 ( 468970 1433780 ) M2M3_PR_M
NEW met3 ( 467820 1433780 ) M3M4_PR_M ;
- i_dout1\[30\] ( sram dout1[30] ) ( mprj i_dout1[30] ) + USE SIGNAL
+ ROUTED met2 ( 1170010 1431230 ) ( * 2335290 )
NEW met2 ( 2110250 2332060 0 ) ( * 2335290 )
NEW met1 ( 1170010 2335290 ) ( 2110250 * )
NEW met2 ( 820870 1431060 ) ( * 1431230 )
NEW met3 ( 817420 1431060 ) ( 820870 * )
NEW met4 ( 817420 1419500 ) ( * 1431060 )
NEW met4 ( 816990 1419500 ) ( 817420 * )
NEW met4 ( 816990 1416100 0 ) ( * 1419500 )
NEW met1 ( 820870 1431230 ) ( 1170010 * )
NEW met1 ( 1170010 2335290 ) M1M2_PR
NEW met1 ( 1170010 1431230 ) M1M2_PR
NEW met1 ( 2110250 2335290 ) M1M2_PR
NEW met1 ( 820870 1431230 ) M1M2_PR
NEW met2 ( 820870 1431060 ) M2M3_PR_M
NEW met3 ( 817420 1431060 ) M3M4_PR_M ;
- i_dout1\[31\] ( sram dout1[31] ) ( mprj i_dout1[31] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 2318630 ) ( * 2324580 )
NEW met1 ( 834670 2318630 ) ( 1283630 * )
NEW met3 ( 1283630 2324580 ) ( 1300420 * 0 )
NEW met3 ( 830300 1428340 ) ( 834670 * )
NEW met4 ( 830300 1419500 ) ( * 1428340 )
NEW met4 ( 830300 1419500 ) ( 830590 * )
NEW met4 ( 830590 1416100 0 ) ( * 1419500 )
NEW met2 ( 834670 1428340 ) ( * 2318630 )
NEW met1 ( 1283630 2318630 ) M1M2_PR
NEW met2 ( 1283630 2324580 ) M2M3_PR_M
NEW met1 ( 834670 2318630 ) M1M2_PR
NEW met2 ( 834670 1428340 ) M2M3_PR_M
NEW met3 ( 830300 1428340 ) M3M4_PR_M ;
- i_dout1\[3\] ( sram dout1[3] ) ( mprj i_dout1[3] ) + USE SIGNAL
+ ROUTED met3 ( 481620 1428340 ) ( 482310 * )
NEW met4 ( 481620 1419500 ) ( * 1428340 )
NEW met4 ( 481070 1419500 ) ( 481620 * )
NEW met4 ( 481070 1416100 0 ) ( * 1419500 )
NEW met2 ( 482310 1428340 ) ( * 1608030 )
NEW met2 ( 1283630 1608030 ) ( * 1609220 )
NEW met1 ( 482310 1608030 ) ( 1283630 * )
NEW met3 ( 1283630 1609220 ) ( 1300420 * 0 )
NEW met2 ( 482310 1428340 ) M2M3_PR_M
NEW met3 ( 481620 1428340 ) M3M4_PR_M
NEW met1 ( 482310 1608030 ) M1M2_PR
NEW met1 ( 1283630 1608030 ) M1M2_PR
NEW met2 ( 1283630 1609220 ) M2M3_PR_M ;
- i_dout1\[4\] ( sram dout1[4] ) ( mprj i_dout1[4] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1649170 ) ( * 1652740 )
NEW met3 ( 492660 1428340 ) ( 496570 * )
NEW met4 ( 492660 1419500 ) ( * 1428340 )
NEW met4 ( 492630 1419500 ) ( 492660 * )
NEW met4 ( 492630 1416100 0 ) ( * 1419500 )
NEW met2 ( 496570 1428340 ) ( * 1649170 )
NEW met1 ( 496570 1649170 ) ( 1283630 * )
NEW met3 ( 1283630 1652740 ) ( 1300420 * 0 )
NEW met1 ( 496570 1649170 ) M1M2_PR
NEW met1 ( 1283630 1649170 ) M1M2_PR
NEW met2 ( 1283630 1652740 ) M2M3_PR_M
NEW met2 ( 496570 1428340 ) M2M3_PR_M
NEW met3 ( 492660 1428340 ) M3M4_PR_M ;
- i_dout1\[5\] ( sram dout1[5] ) ( mprj i_dout1[5] ) + USE SIGNAL
+ ROUTED met2 ( 510370 1430380 ) ( * 1430890 )
NEW met3 ( 505540 1430380 ) ( 510370 * )
NEW met4 ( 505540 1419500 ) ( * 1430380 )
NEW met4 ( 505540 1419500 ) ( 505550 * )
NEW met4 ( 505550 1416100 0 ) ( * 1419500 )
NEW met3 ( 2121060 1710540 0 ) ( 2130950 * )
NEW met2 ( 2130950 1430890 ) ( * 1710540 )
NEW met1 ( 510370 1430890 ) ( 2130950 * )
NEW met1 ( 510370 1430890 ) M1M2_PR
NEW met2 ( 510370 1430380 ) M2M3_PR_M
NEW met3 ( 505540 1430380 ) M3M4_PR_M
NEW met1 ( 2130950 1430890 ) M1M2_PR
NEW met2 ( 2130950 1710540 ) M2M3_PR_M ;
- i_dout1\[6\] ( sram dout1[6] ) ( mprj i_dout1[6] ) + USE SIGNAL
+ ROUTED met2 ( 1931310 1487670 ) ( * 1500420 0 )
NEW met2 ( 524170 1433100 ) ( * 1433270 )
NEW met3 ( 519340 1433100 ) ( 524170 * )
NEW met4 ( 519340 1419500 ) ( * 1433100 )
NEW met4 ( 517790 1419500 ) ( 519340 * )
NEW met4 ( 517790 1416100 0 ) ( * 1419500 )
NEW met1 ( 524170 1433270 ) ( 1404610 * )
NEW met1 ( 1404610 1484270 ) ( 1411510 * )
NEW li1 ( 1411510 1484270 ) ( * 1487670 )
NEW met2 ( 1404610 1433270 ) ( * 1484270 )
NEW met1 ( 1411510 1487670 ) ( 1931310 * )
NEW met1 ( 1931310 1487670 ) M1M2_PR
NEW met1 ( 524170 1433270 ) M1M2_PR
NEW met2 ( 524170 1433100 ) M2M3_PR_M
NEW met3 ( 519340 1433100 ) M3M4_PR_M
NEW met1 ( 1404610 1433270 ) M1M2_PR
NEW met1 ( 1404610 1484270 ) M1M2_PR
NEW li1 ( 1411510 1484270 ) L1M1_PR_MR
NEW li1 ( 1411510 1487670 ) L1M1_PR_MR ;
- i_dout1\[7\] ( sram dout1[7] ) ( mprj i_dout1[7] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1794860 0 ) ( 2127270 * )
NEW met2 ( 2127270 1434630 ) ( * 1794860 )
NEW met2 ( 531070 1434460 ) ( * 1434630 )
NEW met3 ( 530380 1434460 ) ( 531070 * )
NEW met4 ( 530380 1419500 ) ( * 1434460 )
NEW met4 ( 530380 1419500 ) ( 530710 * )
NEW met4 ( 530710 1416100 0 ) ( * 1419500 )
NEW met1 ( 531070 1434630 ) ( 2127270 * )
NEW met1 ( 2127270 1434630 ) M1M2_PR
NEW met2 ( 2127270 1794860 ) M2M3_PR_M
NEW met1 ( 531070 1434630 ) M1M2_PR
NEW met2 ( 531070 1434460 ) M2M3_PR_M
NEW met3 ( 530380 1434460 ) M3M4_PR_M ;
- i_dout1\[8\] ( sram dout1[8] ) ( mprj i_dout1[8] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1794010 ) ( * 1798940 )
NEW met3 ( 543260 1433780 ) ( 544410 * )
NEW met4 ( 543260 1419500 ) ( * 1433780 )
NEW met4 ( 542950 1419500 ) ( 543260 * )
NEW met4 ( 542950 1416100 0 ) ( * 1419500 )
NEW met2 ( 544410 1433780 ) ( * 1794010 )
NEW met1 ( 544410 1794010 ) ( 1283630 * )
NEW met3 ( 1283630 1798940 ) ( 1300420 * 0 )
NEW met1 ( 1283630 1794010 ) M1M2_PR
NEW met2 ( 1283630 1798940 ) M2M3_PR_M
NEW met2 ( 544410 1433780 ) M2M3_PR_M
NEW met3 ( 543260 1433780 ) M3M4_PR_M
NEW met1 ( 544410 1794010 ) M1M2_PR ;
- i_dout1\[9\] ( sram dout1[9] ) ( mprj i_dout1[9] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1843140 0 ) ( 2126810 * )
NEW met2 ( 2126810 1434290 ) ( * 1843140 )
NEW met2 ( 558670 1430380 ) ( * 1434290 )
NEW met3 ( 556140 1430380 ) ( 558670 * )
NEW met4 ( 556140 1419500 ) ( * 1430380 )
NEW met4 ( 555870 1419500 ) ( 556140 * )
NEW met4 ( 555870 1416100 0 ) ( * 1419500 )
NEW met1 ( 558670 1434290 ) ( 2126810 * )
NEW met2 ( 2126810 1843140 ) M2M3_PR_M
NEW met1 ( 2126810 1434290 ) M1M2_PR
NEW met1 ( 558670 1434290 ) M1M2_PR
NEW met2 ( 558670 1430380 ) M2M3_PR_M
NEW met3 ( 556140 1430380 ) M3M4_PR_M ;
- i_dout1_1\[0\] ( sram1 dout1[0] ) ( mprj i_dout1_1[0] ) + USE SIGNAL
+ ROUTED met2 ( 2120830 1501270 ) ( * 1504500 )
NEW met3 ( 2120830 1504500 ) ( 2121060 * )
NEW met3 ( 2121060 1504500 ) ( * 1505860 0 )
NEW met4 ( 443670 2916010 0 ) ( * 2918900 )
NEW met4 ( 443670 2918900 ) ( 443900 * )
NEW met4 ( 443900 2918900 ) ( * 2925700 )
NEW met3 ( 443900 2925700 ) ( 445510 * )
NEW met2 ( 445510 2925700 ) ( * 2929950 )
NEW met1 ( 445510 2929950 ) ( 1003950 * )
NEW met2 ( 1003950 1501270 ) ( * 2929950 )
NEW met1 ( 1003950 1501270 ) ( 2120830 * )
NEW met1 ( 2120830 1501270 ) M1M2_PR
NEW met2 ( 2120830 1504500 ) M2M3_PR_M
NEW met3 ( 443900 2925700 ) M3M4_PR_M
NEW met2 ( 445510 2925700 ) M2M3_PR_M
NEW met1 ( 445510 2929950 ) M1M2_PR
NEW met1 ( 1003950 2929950 ) M1M2_PR
NEW met1 ( 1003950 1501270 ) M1M2_PR ;
- i_dout1_1\[10\] ( sram1 dout1[10] ) ( mprj i_dout1_1[10] ) + USE SIGNAL
+ ROUTED met4 ( 568110 2916010 0 ) ( * 2918900 )
NEW met3 ( 568110 2918900 ) ( 568330 * )
NEW met2 ( 568330 2918900 ) ( * 2923150 )
NEW met2 ( 1984210 2332060 ) ( 1986510 * 0 )
NEW met1 ( 568330 2923150 ) ( 1980990 * )
NEW met2 ( 1980990 2401200 ) ( 1984210 * )
NEW met2 ( 1984210 2332060 ) ( * 2401200 )
NEW met2 ( 1980990 2401200 ) ( * 2923150 )
NEW met3 ( 568110 2918900 ) M3M4_PR_M
NEW met2 ( 568330 2918900 ) M2M3_PR_M
NEW met1 ( 568330 2923150 ) M1M2_PR
NEW met1 ( 1980990 2923150 ) M1M2_PR
NEW met3 ( 568110 2918900 ) RECT ( -400 -150 0 150 ) ;
- i_dout1_1\[11\] ( sram1 dout1[11] ) ( mprj i_dout1_1[11] ) + USE SIGNAL
+ ROUTED met4 ( 581030 2916010 0 ) ( * 2918900 )
NEW met4 ( 580980 2918900 ) ( 581030 * )
NEW met4 ( 580980 2918900 ) ( * 2925700 )
NEW met3 ( 580980 2925700 ) ( 581210 * )
NEW met2 ( 581210 2925530 ) ( * 2925700 )
NEW met2 ( 1287770 1857420 ) ( * 2925530 )
NEW met1 ( 581210 2925530 ) ( 1287770 * )
NEW met3 ( 1287770 1857420 ) ( 1300420 * 0 )
NEW met3 ( 580980 2925700 ) M3M4_PR_M
NEW met2 ( 581210 2925700 ) M2M3_PR_M
NEW met1 ( 581210 2925530 ) M1M2_PR
NEW met2 ( 1287770 1857420 ) M2M3_PR_M
NEW met1 ( 1287770 2925530 ) M1M2_PR
NEW met3 ( 580980 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[12\] ( sram1 dout1[12] ) ( mprj i_dout1_1[12] ) + USE SIGNAL
+ ROUTED met4 ( 592590 2916010 0 ) ( * 2918900 )
NEW met3 ( 592590 2918900 ) ( 592710 * )
NEW met2 ( 592710 2918900 ) ( * 2921790 )
NEW met2 ( 1280410 1488350 ) ( * 2921790 )
NEW li1 ( 1365970 1488350 ) ( 1367350 * )
NEW met1 ( 592710 2921790 ) ( 1280410 * )
NEW met1 ( 1280410 1488350 ) ( 1365970 * )
NEW met2 ( 1980530 1488350 ) ( * 1500420 0 )
NEW met1 ( 1367350 1488350 ) ( 1980530 * )
NEW met3 ( 592590 2918900 ) M3M4_PR_M
NEW met2 ( 592710 2918900 ) M2M3_PR_M
NEW met1 ( 592710 2921790 ) M1M2_PR
NEW met1 ( 1280410 2921790 ) M1M2_PR
NEW met1 ( 1280410 1488350 ) M1M2_PR
NEW li1 ( 1365970 1488350 ) L1M1_PR_MR
NEW li1 ( 1367350 1488350 ) L1M1_PR_MR
NEW met1 ( 1980530 1488350 ) M1M2_PR
NEW met3 ( 592590 2918900 ) RECT ( -500 -150 0 150 ) ;
- i_dout1_1\[13\] ( sram1 dout1[13] ) ( mprj i_dout1_1[13] ) + USE SIGNAL
+ ROUTED met4 ( 604830 2916010 0 ) ( * 2918900 )
NEW met3 ( 604830 2918900 ) ( 605130 * )
NEW met2 ( 605130 2918900 ) ( * 2921450 )
NEW met2 ( 1288230 1915900 ) ( * 2921450 )
NEW met1 ( 605130 2921450 ) ( 1288230 * )
NEW met3 ( 1288230 1915900 ) ( 1300420 * 0 )
NEW met3 ( 604830 2918900 ) M3M4_PR_M
NEW met2 ( 605130 2918900 ) M2M3_PR_M
NEW met1 ( 605130 2921450 ) M1M2_PR
NEW met1 ( 1288230 2921450 ) M1M2_PR
NEW met2 ( 1288230 1915900 ) M2M3_PR_M
NEW met3 ( 604830 2918900 ) RECT ( -320 -150 0 150 ) ;
- i_dout1_1\[14\] ( sram1 dout1[14] ) ( mprj i_dout1_1[14] ) + USE SIGNAL
+ ROUTED met4 ( 618430 2916010 0 ) ( * 2918900 )
NEW met3 ( 618430 2918900 ) ( 618470 * )
NEW met2 ( 618470 2918900 ) ( * 2923490 )
NEW met2 ( 2003530 2332060 ) ( 2005370 * 0 )
NEW met1 ( 618470 2923490 ) ( 2001230 * )
NEW met2 ( 2001230 2401200 ) ( 2003530 * )
NEW met2 ( 2003530 2332060 ) ( * 2401200 )
NEW met2 ( 2001230 2401200 ) ( * 2923490 )
NEW met3 ( 618430 2918900 ) M3M4_PR_M
NEW met2 ( 618470 2918900 ) M2M3_PR_M
NEW met1 ( 618470 2923490 ) M1M2_PR
NEW met1 ( 2001230 2923490 ) M1M2_PR
NEW met3 ( 618430 2918900 ) RECT ( -580 -150 0 150 ) ;
- i_dout1_1\[15\] ( sram1 dout1[15] ) ( mprj i_dout1_1[15] ) + USE SIGNAL
+ ROUTED met2 ( 2019630 2332060 0 ) ( * 2345490 )
NEW met4 ( 630670 2916010 0 ) ( * 2918900 )
NEW met3 ( 630670 2918900 ) ( 630890 * )
NEW met2 ( 630890 2918900 ) ( * 2924170 )
NEW met1 ( 1997550 2345490 ) ( 2019630 * )
NEW met1 ( 630890 2924170 ) ( 1997550 * )
NEW met2 ( 1997550 2345490 ) ( * 2924170 )
NEW met1 ( 2019630 2345490 ) M1M2_PR
NEW met3 ( 630670 2918900 ) M3M4_PR_M
NEW met2 ( 630890 2918900 ) M2M3_PR_M
NEW met1 ( 630890 2924170 ) M1M2_PR
NEW met1 ( 1997550 2345490 ) M1M2_PR
NEW met1 ( 1997550 2924170 ) M1M2_PR
NEW met3 ( 630670 2918900 ) RECT ( -400 -150 0 150 ) ;
- i_dout1_1\[16\] ( sram1 dout1[16] ) ( mprj i_dout1_1[16] ) + USE SIGNAL
+ ROUTED met2 ( 2024690 2332060 0 ) ( * 2341070 )
NEW met1 ( 2018250 2341070 ) ( 2024690 * )
NEW met2 ( 2018250 2341070 ) ( * 2923830 )
NEW met4 ( 642910 2916010 0 ) ( * 2918900 )
NEW met3 ( 642850 2918900 ) ( 642910 * )
NEW met2 ( 642850 2918900 ) ( * 2923830 )
NEW met1 ( 642850 2923830 ) ( 2018250 * )
NEW met1 ( 2024690 2341070 ) M1M2_PR
NEW met1 ( 2018250 2341070 ) M1M2_PR
NEW met1 ( 2018250 2923830 ) M1M2_PR
NEW met3 ( 642910 2918900 ) M3M4_PR_M
NEW met2 ( 642850 2918900 ) M2M3_PR_M
NEW met1 ( 642850 2923830 ) M1M2_PR
NEW met3 ( 642910 2918900 ) RECT ( 0 -150 560 150 ) ;
- i_dout1_1\[17\] ( sram1 dout1[17] ) ( mprj i_dout1_1[17] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2012460 0 ) ( 2126810 * )
NEW met2 ( 2126810 2012460 ) ( * 2922470 )
NEW met4 ( 655150 2916010 0 ) ( * 2918900 )
NEW met3 ( 655150 2918900 ) ( 655270 * )
NEW met2 ( 655270 2918900 ) ( * 2922470 )
NEW met1 ( 655270 2922470 ) ( 2126810 * )
NEW met1 ( 2126810 2922470 ) M1M2_PR
NEW met2 ( 2126810 2012460 ) M2M3_PR_M
NEW met3 ( 655150 2918900 ) M3M4_PR_M
NEW met2 ( 655270 2918900 ) M2M3_PR_M
NEW met1 ( 655270 2922470 ) M1M2_PR
NEW met3 ( 655150 2918900 ) RECT ( -500 -150 0 150 ) ;
- i_dout1_1\[18\] ( sram1 dout1[18] ) ( mprj i_dout1_1[18] ) + USE SIGNAL
+ ROUTED met4 ( 668070 2916010 0 ) ( * 2918900 )
NEW met3 ( 668070 2918900 ) ( 668150 * )
NEW met2 ( 668150 2918900 ) ( * 2921110 )
NEW met2 ( 1288690 2032860 ) ( * 2921110 )
NEW met1 ( 668150 2921110 ) ( 1288690 * )
NEW met3 ( 1288690 2032860 ) ( 1300420 * 0 )
NEW met3 ( 668070 2918900 ) M3M4_PR_M
NEW met2 ( 668150 2918900 ) M2M3_PR_M
NEW met1 ( 668150 2921110 ) M1M2_PR
NEW met2 ( 1288690 2032860 ) M2M3_PR_M
NEW met1 ( 1288690 2921110 ) M1M2_PR
NEW met3 ( 668070 2918900 ) RECT ( -540 -150 0 150 ) ;
- i_dout1_1\[19\] ( sram1 dout1[19] ) ( mprj i_dout1_1[19] ) + USE SIGNAL
+ ROUTED met4 ( 679630 2916010 0 ) ( * 2918900 )
NEW met3 ( 679630 2918900 ) ( 679650 * )
NEW met2 ( 679650 2918900 ) ( * 2922810 )
NEW met3 ( 2121060 2060740 0 ) ( 2127270 * )
NEW met2 ( 2127270 2060740 ) ( * 2922810 )
NEW met1 ( 679650 2922810 ) ( 2127270 * )
NEW met3 ( 679630 2918900 ) M3M4_PR_M
NEW met2 ( 679650 2918900 ) M2M3_PR_M
NEW met1 ( 679650 2922810 ) M1M2_PR
NEW met2 ( 2127270 2060740 ) M2M3_PR_M
NEW met1 ( 2127270 2922810 ) M1M2_PR
NEW met3 ( 679630 2918900 ) RECT ( -600 -150 0 150 ) ;
- i_dout1_1\[1\] ( sram1 dout1[1] ) ( mprj i_dout1_1[1] ) + USE SIGNAL
+ ROUTED met4 ( 455230 2916010 0 ) ( * 2918900 )
NEW met4 ( 454940 2918900 ) ( 455230 * )
NEW met4 ( 454940 2918900 ) ( * 2925700 )
NEW met3 ( 454940 2925700 ) ( 455170 * )
NEW met2 ( 455170 2925700 ) ( * 2926550 )
NEW met2 ( 1877030 2332060 0 ) ( 1877490 * )
NEW met1 ( 455170 2926550 ) ( 1877490 * )
NEW met2 ( 1877490 2332060 ) ( * 2926550 )
NEW met3 ( 454940 2925700 ) M3M4_PR_M
NEW met2 ( 455170 2925700 ) M2M3_PR_M
NEW met1 ( 455170 2926550 ) M1M2_PR
NEW met1 ( 1877490 2926550 ) M1M2_PR
NEW met3 ( 454940 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[20\] ( sram1 dout1[20] ) ( mprj i_dout1_1[20] ) + USE SIGNAL
+ ROUTED met4 ( 693230 2916010 0 ) ( * 2918900 )
NEW met3 ( 693230 2918900 ) ( 693450 * )
NEW met2 ( 693450 2918900 ) ( * 2920430 )
NEW met2 ( 1163110 2111230 ) ( * 2920430 )
NEW met2 ( 1283630 2105620 ) ( * 2111230 )
NEW met1 ( 693450 2920430 ) ( 1163110 * )
NEW met1 ( 1163110 2111230 ) ( 1283630 * )
NEW met3 ( 1283630 2105620 ) ( 1300420 * 0 )
NEW met3 ( 693230 2918900 ) M3M4_PR_M
NEW met2 ( 693450 2918900 ) M2M3_PR_M
NEW met1 ( 693450 2920430 ) M1M2_PR
NEW met1 ( 1163110 2920430 ) M1M2_PR
NEW met1 ( 1163110 2111230 ) M1M2_PR
NEW met1 ( 1283630 2111230 ) M1M2_PR
NEW met2 ( 1283630 2105620 ) M2M3_PR_M
NEW met3 ( 693230 2918900 ) RECT ( -400 -150 0 150 ) ;
- i_dout1_1\[21\] ( sram1 dout1[21] ) ( mprj i_dout1_1[21] ) + USE SIGNAL
+ ROUTED met4 ( 705470 2916010 0 ) ( * 2918900 )
NEW met4 ( 705180 2918900 ) ( 705470 * )
NEW met4 ( 705180 2918900 ) ( * 2925700 )
NEW met3 ( 705180 2925700 ) ( 705410 * )
NEW met2 ( 705410 2925700 ) ( * 2931650 )
NEW met2 ( 1283630 2134860 ) ( * 2138770 )
NEW met1 ( 705410 2931650 ) ( 1031550 * )
NEW met1 ( 1031550 2138770 ) ( 1283630 * )
NEW met3 ( 1283630 2134860 ) ( 1300420 * 0 )
NEW met2 ( 1031550 2138770 ) ( * 2931650 )
NEW met3 ( 705180 2925700 ) M3M4_PR_M
NEW met2 ( 705410 2925700 ) M2M3_PR_M
NEW met1 ( 705410 2931650 ) M1M2_PR
NEW met1 ( 1283630 2138770 ) M1M2_PR
NEW met2 ( 1283630 2134860 ) M2M3_PR_M
NEW met1 ( 1031550 2138770 ) M1M2_PR
NEW met1 ( 1031550 2931650 ) M1M2_PR
NEW met3 ( 705180 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[22\] ( sram1 dout1[22] ) ( mprj i_dout1_1[22] ) + USE SIGNAL
+ ROUTED met2 ( 1266150 1491750 ) ( * 2930630 )
NEW met2 ( 2055050 1491750 ) ( * 1500420 0 )
NEW met4 ( 717710 2916010 0 ) ( * 2918900 )
NEW met4 ( 717710 2918900 ) ( 718060 * )
NEW met4 ( 718060 2918900 ) ( * 2925700 )
NEW met3 ( 718060 2925700 ) ( 718290 * )
NEW met2 ( 718290 2925700 ) ( * 2930630 )
NEW met1 ( 718290 2930630 ) ( 1266150 * )
NEW met1 ( 1266150 1491750 ) ( 2055050 * )
NEW met1 ( 1266150 2930630 ) M1M2_PR
NEW met1 ( 1266150 1491750 ) M1M2_PR
NEW met1 ( 2055050 1491750 ) M1M2_PR
NEW met3 ( 718060 2925700 ) M3M4_PR_M
NEW met2 ( 718290 2925700 ) M2M3_PR_M
NEW met1 ( 718290 2930630 ) M1M2_PR
NEW met3 ( 718060 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[23\] ( sram1 dout1[23] ) ( mprj i_dout1_1[23] ) + USE SIGNAL
+ ROUTED met2 ( 2062410 2332060 0 ) ( * 2344470 )
NEW met4 ( 729950 2916010 0 ) ( * 2918900 )
NEW met4 ( 729950 2918900 ) ( 730020 * )
NEW met4 ( 730020 2918900 ) ( * 2925700 )
NEW met3 ( 730020 2925700 ) ( 730710 * )
NEW met2 ( 730710 2925700 ) ( * 2927910 )
NEW met1 ( 730710 2927910 ) ( 1894050 * )
NEW met1 ( 1894050 2344470 ) ( 2062410 * )
NEW met2 ( 1894050 2344470 ) ( * 2927910 )
NEW met1 ( 2062410 2344470 ) M1M2_PR
NEW met3 ( 730020 2925700 ) M3M4_PR_M
NEW met2 ( 730710 2925700 ) M2M3_PR_M
NEW met1 ( 730710 2927910 ) M1M2_PR
NEW met1 ( 1894050 2344470 ) M1M2_PR
NEW met1 ( 1894050 2927910 ) M1M2_PR ;
- i_dout1_1\[24\] ( sram1 dout1[24] ) ( mprj i_dout1_1[24] ) + USE SIGNAL
+ ROUTED met2 ( 1252350 1492090 ) ( * 2930970 )
NEW met4 ( 742190 2916010 0 ) ( * 2918900 )
NEW met4 ( 741980 2918900 ) ( 742190 * )
NEW met4 ( 741980 2918900 ) ( * 2925700 )
NEW met3 ( 741980 2925700 ) ( 742210 * )
NEW met2 ( 742210 2925700 ) ( * 2930970 )
NEW met1 ( 742210 2930970 ) ( 1252350 * )
NEW met2 ( 2064710 1492090 ) ( * 1500420 0 )
NEW met1 ( 1252350 1492090 ) ( 2064710 * )
NEW met1 ( 1252350 2930970 ) M1M2_PR
NEW met1 ( 1252350 1492090 ) M1M2_PR
NEW met3 ( 741980 2925700 ) M3M4_PR_M
NEW met2 ( 742210 2925700 ) M2M3_PR_M
NEW met1 ( 742210 2930970 ) M1M2_PR
NEW met1 ( 2064710 1492090 ) M1M2_PR
NEW met3 ( 741980 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[25\] ( sram1 dout1[25] ) ( mprj i_dout1_1[25] ) + USE SIGNAL
+ ROUTED met2 ( 2038950 2342090 ) ( * 2924510 )
NEW met4 ( 755110 2916010 0 ) ( * 2918900 )
NEW met3 ( 755090 2918900 ) ( 755110 * )
NEW met2 ( 755090 2918900 ) ( * 2924510 )
NEW met1 ( 755090 2924510 ) ( 2038950 * )
NEW met2 ( 2076670 2332060 0 ) ( * 2342090 )
NEW met1 ( 2038950 2342090 ) ( 2076670 * )
NEW met1 ( 2038950 2342090 ) M1M2_PR
NEW met1 ( 2038950 2924510 ) M1M2_PR
NEW met3 ( 755110 2918900 ) M3M4_PR_M
NEW met2 ( 755090 2918900 ) M2M3_PR_M
NEW met1 ( 755090 2924510 ) M1M2_PR
NEW met1 ( 2076670 2342090 ) M1M2_PR
NEW met3 ( 755110 2918900 ) RECT ( 0 -150 600 150 ) ;
- i_dout1_1\[26\] ( sram1 dout1[26] ) ( mprj i_dout1_1[26] ) + USE SIGNAL
+ ROUTED met4 ( 768030 2916010 0 ) ( * 2918900 )
NEW met3 ( 767970 2918900 ) ( 768030 * )
NEW met2 ( 767970 2918900 ) ( * 2920770 )
NEW met1 ( 767970 2920770 ) ( 1294210 * )
NEW met1 ( 1294210 1489370 ) ( 1316290 * )
NEW li1 ( 1316290 1489370 ) ( * 1490390 )
NEW met2 ( 1294210 1489370 ) ( * 2920770 )
NEW met2 ( 2089550 1490390 ) ( * 1500420 0 )
NEW met1 ( 1316290 1490390 ) ( 2089550 * )
NEW met3 ( 768030 2918900 ) M3M4_PR_M
NEW met2 ( 767970 2918900 ) M2M3_PR_M
NEW met1 ( 767970 2920770 ) M1M2_PR
NEW met1 ( 1294210 2920770 ) M1M2_PR
NEW met1 ( 1294210 1489370 ) M1M2_PR
NEW li1 ( 1316290 1489370 ) L1M1_PR_MR
NEW li1 ( 1316290 1490390 ) L1M1_PR_MR
NEW met1 ( 2089550 1490390 ) M1M2_PR
NEW met3 ( 768030 2918900 ) RECT ( 0 -150 560 150 ) ;
- i_dout1_1\[27\] ( sram1 dout1[27] ) ( mprj i_dout1_1[27] ) + USE SIGNAL
+ ROUTED met4 ( 780270 2916010 0 ) ( * 2918900 )
NEW met4 ( 780270 2918900 ) ( 780620 * )
NEW met4 ( 780620 2918900 ) ( * 2925700 )
NEW met3 ( 780620 2925700 ) ( 784530 * )
NEW met2 ( 784530 2925700 ) ( * 2928250 )
NEW met1 ( 784530 2928250 ) ( 1907850 * )
NEW met2 ( 2086330 2332060 0 ) ( * 2342770 )
NEW met1 ( 1907850 2342770 ) ( 2086330 * )
NEW met2 ( 1907850 2342770 ) ( * 2928250 )
NEW met3 ( 780620 2925700 ) M3M4_PR_M
NEW met2 ( 784530 2925700 ) M2M3_PR_M
NEW met1 ( 784530 2928250 ) M1M2_PR
NEW met1 ( 1907850 2342770 ) M1M2_PR
NEW met1 ( 1907850 2928250 ) M1M2_PR
NEW met1 ( 2086330 2342770 ) M1M2_PR ;
- i_dout1_1\[28\] ( sram1 dout1[28] ) ( mprj i_dout1_1[28] ) + USE SIGNAL
+ ROUTED met4 ( 792510 2916010 0 ) ( * 2918900 )
NEW met4 ( 792510 2918900 ) ( 792580 * )
NEW met4 ( 792580 2918900 ) ( * 2925700 )
NEW met3 ( 792580 2925700 ) ( 792810 * )
NEW met2 ( 792810 2925700 ) ( * 2931990 )
NEW met2 ( 1283630 2251820 ) ( * 2256070 )
NEW met1 ( 792810 2931990 ) ( 1045350 * )
NEW met1 ( 1045350 2256070 ) ( 1283630 * )
NEW met3 ( 1283630 2251820 ) ( 1300420 * 0 )
NEW met2 ( 1045350 2256070 ) ( * 2931990 )
NEW met3 ( 792580 2925700 ) M3M4_PR_M
NEW met2 ( 792810 2925700 ) M2M3_PR_M
NEW met1 ( 792810 2931990 ) M1M2_PR
NEW met1 ( 1283630 2256070 ) M1M2_PR
NEW met2 ( 1283630 2251820 ) M2M3_PR_M
NEW met1 ( 1045350 2256070 ) M1M2_PR
NEW met1 ( 1045350 2931990 ) M1M2_PR
NEW met3 ( 792580 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[29\] ( sram1 dout1[29] ) ( mprj i_dout1_1[29] ) + USE SIGNAL
+ ROUTED met4 ( 805430 2916010 0 ) ( * 2918900 )
NEW met4 ( 805430 2918900 ) ( 805460 * )
NEW met4 ( 805460 2918900 ) ( * 2925700 )
NEW met3 ( 805460 2925700 ) ( 806150 * )
NEW met2 ( 806150 2925700 ) ( * 2927570 )
NEW met3 ( 2121060 2277660 0 ) ( * 2280380 )
NEW met3 ( 2121060 2280380 ) ( 2122210 * )
NEW met2 ( 2122210 2280380 ) ( * 2927570 )
NEW met1 ( 806150 2927570 ) ( 2122210 * )
NEW met3 ( 805460 2925700 ) M3M4_PR_M
NEW met2 ( 806150 2925700 ) M2M3_PR_M
NEW met1 ( 806150 2927570 ) M1M2_PR
NEW met1 ( 2122210 2927570 ) M1M2_PR
NEW met2 ( 2122210 2280380 ) M2M3_PR_M ;
- i_dout1_1\[2\] ( sram1 dout1[2] ) ( mprj i_dout1_1[2] ) + USE SIGNAL
+ ROUTED met2 ( 1245450 1497020 ) ( * 2928930 )
NEW met4 ( 467470 2916010 0 ) ( * 2918900 )
NEW met4 ( 467470 2918900 ) ( 467820 * )
NEW met4 ( 467820 2918900 ) ( * 2925700 )
NEW met3 ( 467820 2925700 ) ( 468050 * )
NEW met2 ( 468050 2925700 ) ( * 2928930 )
NEW met1 ( 468050 2928930 ) ( 1245450 * )
NEW met2 ( 1891750 1497020 ) ( * 1500420 0 )
NEW met3 ( 1245450 1497020 ) ( 1891750 * )
NEW met1 ( 1245450 2928930 ) M1M2_PR
NEW met2 ( 1245450 1497020 ) M2M3_PR_M
NEW met3 ( 467820 2925700 ) M3M4_PR_M
NEW met2 ( 468050 2925700 ) M2M3_PR_M
NEW met1 ( 468050 2928930 ) M1M2_PR
NEW met2 ( 1891750 1497020 ) M2M3_PR_M
NEW met3 ( 467820 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[30\] ( sram1 dout1[30] ) ( mprj i_dout1_1[30] ) + USE SIGNAL
+ ROUTED met4 ( 816990 2916010 0 ) ( * 2918900 )
NEW met4 ( 816990 2918900 ) ( 817420 * )
NEW met4 ( 817420 2918900 ) ( * 2925700 )
NEW met3 ( 817420 2925700 ) ( 819950 * )
NEW met2 ( 819950 2925700 ) ( * 2931310 )
NEW met1 ( 819950 2931310 ) ( 1231650 * )
NEW met2 ( 1231650 1492770 ) ( * 2931310 )
NEW met2 ( 2104270 1492770 ) ( * 1500420 0 )
NEW met1 ( 1231650 1492770 ) ( 2104270 * )
NEW met3 ( 817420 2925700 ) M3M4_PR_M
NEW met2 ( 819950 2925700 ) M2M3_PR_M
NEW met1 ( 819950 2931310 ) M1M2_PR
NEW met1 ( 1231650 2931310 ) M1M2_PR
NEW met1 ( 1231650 1492770 ) M1M2_PR
NEW met1 ( 2104270 1492770 ) M1M2_PR ;
- i_dout1_1\[31\] ( sram1 dout1[31] ) ( mprj i_dout1_1[31] ) + USE SIGNAL
+ ROUTED met2 ( 2123590 2325940 ) ( * 2331890 )
NEW met3 ( 2121060 2325940 0 ) ( 2123590 * )
NEW met2 ( 1852650 2331890 ) ( * 2925190 )
NEW met4 ( 830590 2916010 0 ) ( * 2918900 )
NEW met4 ( 830300 2918900 ) ( 830590 * )
NEW met4 ( 830300 2918900 ) ( * 2925700 )
NEW met3 ( 830300 2925700 ) ( 830530 * )
NEW met2 ( 830530 2925190 ) ( * 2925700 )
NEW met1 ( 830530 2925190 ) ( 1852650 * )
NEW met1 ( 1852650 2331890 ) ( 2123590 * )
NEW met1 ( 1852650 2331890 ) M1M2_PR
NEW met1 ( 1852650 2925190 ) M1M2_PR
NEW met1 ( 2123590 2331890 ) M1M2_PR
NEW met2 ( 2123590 2325940 ) M2M3_PR_M
NEW met3 ( 830300 2925700 ) M3M4_PR_M
NEW met2 ( 830530 2925700 ) M2M3_PR_M
NEW met1 ( 830530 2925190 ) M1M2_PR
NEW met3 ( 830300 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[3\] ( sram1 dout1[3] ) ( mprj i_dout1_1[3] ) + USE SIGNAL
+ ROUTED met4 ( 481070 2916010 0 ) ( * 2918900 )
NEW met4 ( 481070 2918900 ) ( 481620 * )
NEW met4 ( 481620 2918900 ) ( * 2925700 )
NEW met3 ( 481620 2925700 ) ( 481850 * )
NEW met2 ( 481850 2925700 ) ( * 2929610 )
NEW met2 ( 1066050 1600550 ) ( * 2929610 )
NEW met2 ( 1283630 1594260 ) ( * 1600550 )
NEW met1 ( 481850 2929610 ) ( 1066050 * )
NEW met1 ( 1066050 1600550 ) ( 1283630 * )
NEW met3 ( 1283630 1594260 ) ( 1300420 * 0 )
NEW met3 ( 481620 2925700 ) M3M4_PR_M
NEW met2 ( 481850 2925700 ) M2M3_PR_M
NEW met1 ( 481850 2929610 ) M1M2_PR
NEW met1 ( 1066050 2929610 ) M1M2_PR
NEW met1 ( 1066050 1600550 ) M1M2_PR
NEW met1 ( 1283630 1600550 ) M1M2_PR
NEW met2 ( 1283630 1594260 ) M2M3_PR_M
NEW met3 ( 481620 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[4\] ( sram1 dout1[4] ) ( mprj i_dout1_1[4] ) + USE SIGNAL
+ ROUTED met4 ( 492630 2916010 0 ) ( * 2918900 )
NEW met4 ( 492630 2918900 ) ( 492660 * )
NEW met4 ( 492660 2918900 ) ( * 2925700 )
NEW met3 ( 492660 2925700 ) ( 492890 * )
NEW met2 ( 492890 2925700 ) ( * 2925870 )
NEW met3 ( 2121060 1674500 0 ) ( 2125890 * )
NEW met2 ( 2125890 1674500 ) ( * 2925870 )
NEW met1 ( 492890 2925870 ) ( 2125890 * )
NEW met3 ( 492660 2925700 ) M3M4_PR_M
NEW met2 ( 492890 2925700 ) M2M3_PR_M
NEW met1 ( 492890 2925870 ) M1M2_PR
NEW met2 ( 2125890 1674500 ) M2M3_PR_M
NEW met1 ( 2125890 2925870 ) M1M2_PR
NEW met3 ( 492660 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[5\] ( sram1 dout1[5] ) ( mprj i_dout1_1[5] ) + USE SIGNAL
+ ROUTED met4 ( 505550 2916010 0 ) ( * 2918900 )
NEW met4 ( 505540 2918900 ) ( 505550 * )
NEW met4 ( 505540 2918900 ) ( * 2925700 )
NEW met3 ( 505540 2925700 ) ( 505770 * )
NEW met2 ( 505770 2925700 ) ( * 2929270 )
NEW met2 ( 1162650 1491410 ) ( * 2929270 )
NEW met2 ( 1921190 1491410 ) ( * 1500420 0 )
NEW met1 ( 505770 2929270 ) ( 1162650 * )
NEW met1 ( 1162650 1491410 ) ( 1921190 * )
NEW met3 ( 505540 2925700 ) M3M4_PR_M
NEW met2 ( 505770 2925700 ) M2M3_PR_M
NEW met1 ( 505770 2929270 ) M1M2_PR
NEW met1 ( 1162650 2929270 ) M1M2_PR
NEW met1 ( 1162650 1491410 ) M1M2_PR
NEW met1 ( 1921190 1491410 ) M1M2_PR
NEW met3 ( 505540 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[6\] ( sram1 dout1[6] ) ( mprj i_dout1_1[6] ) + USE SIGNAL
+ ROUTED met2 ( 1953390 2332060 0 ) ( * 2341750 )
NEW met1 ( 1949250 2341750 ) ( 1953390 * )
NEW met2 ( 1949250 2341750 ) ( * 2926210 )
NEW met4 ( 517790 2916010 0 ) ( * 2918900 )
NEW met4 ( 517790 2918900 ) ( 518420 * )
NEW met4 ( 518420 2918900 ) ( * 2925700 )
NEW met3 ( 518420 2925700 ) ( 518650 * )
NEW met2 ( 518650 2925700 ) ( * 2926210 )
NEW met1 ( 518650 2926210 ) ( 1949250 * )
NEW met1 ( 1953390 2341750 ) M1M2_PR
NEW met1 ( 1949250 2341750 ) M1M2_PR
NEW met1 ( 1949250 2926210 ) M1M2_PR
NEW met3 ( 518420 2925700 ) M3M4_PR_M
NEW met2 ( 518650 2925700 ) M2M3_PR_M
NEW met1 ( 518650 2926210 ) M1M2_PR
NEW met3 ( 518420 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[7\] ( sram1 dout1[7] ) ( mprj i_dout1_1[7] ) + USE SIGNAL
+ ROUTED met2 ( 1955690 2332060 ) ( 1957990 * 0 )
NEW met2 ( 1953390 2401200 ) ( 1955690 * )
NEW met2 ( 1955690 2332060 ) ( * 2401200 )
NEW met2 ( 1953390 2401200 ) ( * 2926890 )
NEW met4 ( 530710 2916010 0 ) ( * 2918900 )
NEW met4 ( 530380 2918900 ) ( 530710 * )
NEW met4 ( 530380 2918900 ) ( * 2925700 )
NEW met3 ( 530380 2925700 ) ( 530610 * )
NEW met2 ( 530610 2925700 ) ( * 2926890 )
NEW met1 ( 530610 2926890 ) ( 1953390 * )
NEW met1 ( 1953390 2926890 ) M1M2_PR
NEW met3 ( 530380 2925700 ) M3M4_PR_M
NEW met2 ( 530610 2925700 ) M2M3_PR_M
NEW met1 ( 530610 2926890 ) M1M2_PR
NEW met3 ( 530380 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[8\] ( sram1 dout1[8] ) ( mprj i_dout1_1[8] ) + USE SIGNAL
+ ROUTED met2 ( 1956150 2345490 ) ( * 2927230 )
NEW met4 ( 542950 2916010 0 ) ( * 2918900 )
NEW met4 ( 542950 2918900 ) ( 543260 * )
NEW met4 ( 543260 2918900 ) ( * 2925700 )
NEW met3 ( 543260 2925700 ) ( 543490 * )
NEW met2 ( 543490 2925700 ) ( * 2927230 )
NEW met1 ( 543490 2927230 ) ( 1956150 * )
NEW met2 ( 1972250 2332060 0 ) ( * 2345490 )
NEW met1 ( 1956150 2345490 ) ( 1972250 * )
NEW met1 ( 1956150 2345490 ) M1M2_PR
NEW met1 ( 1956150 2927230 ) M1M2_PR
NEW met3 ( 543260 2925700 ) M3M4_PR_M
NEW met2 ( 543490 2925700 ) M2M3_PR_M
NEW met1 ( 543490 2927230 ) M1M2_PR
NEW met1 ( 1972250 2345490 ) M1M2_PR
NEW met3 ( 543260 2925700 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[9\] ( sram1 dout1[9] ) ( mprj i_dout1_1[9] ) + USE SIGNAL
+ ROUTED met2 ( 1965810 1492430 ) ( * 1500420 0 )
NEW met4 ( 555870 2916010 0 ) ( * 2918900 )
NEW met4 ( 555870 2918900 ) ( 556140 * )
NEW met4 ( 556140 2918900 ) ( * 2925700 )
NEW met3 ( 556140 2925700 ) ( 557290 * )
NEW met2 ( 557290 2925700 ) ( * 2930290 )
NEW met1 ( 557290 2930290 ) ( 1100550 * )
NEW met2 ( 1100550 1492430 ) ( * 2930290 )
NEW met1 ( 1100550 1492430 ) ( 1965810 * )
NEW met1 ( 1965810 1492430 ) M1M2_PR
NEW met3 ( 556140 2925700 ) M3M4_PR_M
NEW met2 ( 557290 2925700 ) M2M3_PR_M
NEW met1 ( 557290 2930290 ) M1M2_PR
NEW met1 ( 1100550 2930290 ) M1M2_PR
NEW met1 ( 1100550 1492430 ) M1M2_PR ;
- io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 32980 ) ( * 34170 )
NEW met3 ( 2900990 32980 ) ( 2917780 * 0 )
NEW met2 ( 2156250 34170 ) ( * 2350590 )
NEW met2 ( 1302490 2332060 0 ) ( * 2350590 )
NEW met1 ( 1302490 2350590 ) ( 2156250 * )
NEW met1 ( 2156250 34170 ) ( 2900990 * )
NEW met1 ( 2156250 34170 ) M1M2_PR
NEW met1 ( 2156250 2350590 ) M1M2_PR
NEW met1 ( 2900990 34170 ) M1M2_PR
NEW met2 ( 2900990 32980 ) M2M3_PR_M
NEW met1 ( 1302490 2350590 ) M1M2_PR ;
- io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) + USE SIGNAL
+ ROUTED met2 ( 1444630 2332060 0 ) ( * 2351270 )
NEW met2 ( 2900990 2290580 ) ( * 2290750 )
NEW met3 ( 2900990 2290580 ) ( 2917780 * 0 )
NEW met1 ( 1444630 2351270 ) ( 2163150 * )
NEW met2 ( 2163150 2290750 ) ( * 2351270 )
NEW met1 ( 2163150 2290750 ) ( 2900990 * )
NEW met1 ( 1444630 2351270 ) M1M2_PR
NEW met1 ( 2900990 2290750 ) M1M2_PR
NEW met2 ( 2900990 2290580 ) M2M3_PR_M
NEW met1 ( 2163150 2351270 ) M1M2_PR
NEW met1 ( 2163150 2290750 ) M1M2_PR ;
- io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) + USE SIGNAL
+ ROUTED met2 ( 1458890 2332060 0 ) ( 1461190 * )
NEW met2 ( 1461190 2332060 ) ( * 2352900 )
NEW met2 ( 1461190 2352900 ) ( 1462570 * )
NEW met2 ( 1462570 2352900 ) ( * 2553230 )
NEW met2 ( 2900990 2553230 ) ( * 2556460 )
NEW met3 ( 2900990 2556460 ) ( 2917780 * 0 )
NEW met1 ( 1462570 2553230 ) ( 2900990 * )
NEW met1 ( 1462570 2553230 ) M1M2_PR
NEW met1 ( 2900990 2553230 ) M1M2_PR
NEW met2 ( 2900990 2556460 ) M2M3_PR_M ;
- io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) + USE SIGNAL
+ ROUTED met2 ( 1473150 2332060 0 ) ( 1474990 * )
NEW met2 ( 2899150 2815370 ) ( * 2821660 )
NEW met3 ( 2899150 2821660 ) ( 2917780 * 0 )
NEW met2 ( 1474990 2332060 ) ( * 2352900 )
NEW met2 ( 1474990 2352900 ) ( 1476370 * )
NEW met2 ( 1476370 2352900 ) ( * 2815370 )
NEW met1 ( 1476370 2815370 ) ( 2899150 * )
NEW met1 ( 1476370 2815370 ) M1M2_PR
NEW met1 ( 2899150 2815370 ) M1M2_PR
NEW met2 ( 2899150 2821660 ) M2M3_PR_M ;
- io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3084310 ) ( * 3087540 )
NEW met3 ( 2900990 3087540 ) ( 2917780 * 0 )
NEW met2 ( 1487410 2332060 0 ) ( 1489710 * )
NEW met1 ( 1490170 3084310 ) ( 2900990 * )
NEW met2 ( 1489710 2332060 ) ( * 2352900 )
NEW met2 ( 1489710 2352900 ) ( 1490170 * )
NEW met2 ( 1490170 2352900 ) ( * 3084310 )
NEW met1 ( 2900990 3084310 ) M1M2_PR
NEW met2 ( 2900990 3087540 ) M2M3_PR_M
NEW met1 ( 1490170 3084310 ) M1M2_PR ;
- io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3353420 ) ( * 3353930 )
NEW met3 ( 2900990 3353420 ) ( 2917780 * 0 )
NEW met2 ( 1501670 2332060 0 ) ( 1503510 * )
NEW met2 ( 1503510 2332060 ) ( * 3353930 )
NEW met1 ( 1503510 3353930 ) ( 2900990 * )
NEW met1 ( 2900990 3353930 ) M1M2_PR
NEW met2 ( 2900990 3353420 ) M2M3_PR_M
NEW met1 ( 1503510 3353930 ) M1M2_PR ;
- io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) + USE SIGNAL
+ ROUTED met2 ( 2798410 3501490 ) ( * 3517980 0 )
NEW met1 ( 2183850 3501490 ) ( 2798410 * )
NEW met2 ( 1515930 2332060 0 ) ( * 2359430 )
NEW met1 ( 1515930 2359430 ) ( 2183850 * )
NEW met2 ( 2183850 2359430 ) ( * 3501490 )
NEW met1 ( 2798410 3501490 ) M1M2_PR
NEW met1 ( 2183850 3501490 ) M1M2_PR
NEW met1 ( 1515930 2359430 ) M1M2_PR
NEW met1 ( 2183850 2359430 ) M1M2_PR ;
- io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) + USE SIGNAL
+ ROUTED met2 ( 2342550 2359090 ) ( * 3501830 )
NEW met1 ( 2342550 3501830 ) ( 2474110 * )
NEW met2 ( 1530190 2332060 0 ) ( * 2359090 )
NEW met1 ( 1530190 2359090 ) ( 2342550 * )
NEW met2 ( 2474110 3501830 ) ( * 3517980 0 )
NEW met1 ( 2342550 3501830 ) M1M2_PR
NEW met1 ( 2342550 2359090 ) M1M2_PR
NEW met1 ( 2474110 3501830 ) M1M2_PR
NEW met1 ( 1530190 2359090 ) M1M2_PR ;
- io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 1544450 2332060 0 ) ( * 2351610 )
NEW met2 ( 2146130 3517980 ) ( 2148430 * )
NEW met2 ( 2148430 3517300 ) ( * 3517980 )
NEW met2 ( 2148430 3517300 ) ( 2149350 * )
NEW met2 ( 2149350 3517300 ) ( * 3517980 0 )
NEW met2 ( 2146130 2351610 ) ( * 3517980 )
NEW met1 ( 1544450 2351610 ) ( 2146130 * )
NEW met1 ( 1544450 2351610 ) M1M2_PR
NEW met1 ( 2146130 2351610 ) M1M2_PR ;
- io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 1558710 2332060 0 ) ( * 2355350 )
NEW met2 ( 1673250 2355350 ) ( * 3501490 )
NEW met2 ( 1825050 3501490 ) ( * 3517980 0 )
NEW met1 ( 1673250 3501490 ) ( 1825050 * )
NEW met1 ( 1558710 2355350 ) ( 1673250 * )
NEW met1 ( 1673250 3501490 ) M1M2_PR
NEW met1 ( 1825050 3501490 ) M1M2_PR
NEW met1 ( 1558710 2355350 ) M1M2_PR
NEW met1 ( 1673250 2355350 ) M1M2_PR ;
- io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) + USE SIGNAL
+ ROUTED met2 ( 1572970 2332060 0 ) ( * 2355010 )
NEW met1 ( 1500750 3498430 ) ( 1503970 * )
NEW met1 ( 1503970 2355010 ) ( 1572970 * )
NEW met2 ( 1503970 2355010 ) ( * 3498430 )
NEW met2 ( 1500750 3498430 ) ( * 3517980 0 )
NEW met1 ( 1572970 2355010 ) M1M2_PR
NEW met1 ( 1500750 3498430 ) M1M2_PR
NEW met1 ( 1503970 3498430 ) M1M2_PR
NEW met1 ( 1503970 2355010 ) M1M2_PR ;
- io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 231540 ) ( * 234430 )
NEW met3 ( 2900990 231540 ) ( 2917780 * 0 )
NEW met2 ( 1316290 2332060 0 ) ( * 2348890 )
NEW met1 ( 1316290 2348890 ) ( 2176950 * )
NEW met2 ( 2176950 234430 ) ( * 2348890 )
NEW met1 ( 2176950 234430 ) ( 2900990 * )
NEW met1 ( 2900990 234430 ) M1M2_PR
NEW met2 ( 2900990 231540 ) M2M3_PR_M
NEW met1 ( 1316290 2348890 ) M1M2_PR
NEW met1 ( 2176950 2348890 ) M1M2_PR
NEW met1 ( 2176950 234430 ) M1M2_PR ;
- io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) + USE SIGNAL
+ ROUTED met1 ( 1175990 3499110 ) ( 1190250 * )
NEW met2 ( 1190250 2355690 ) ( * 3499110 )
NEW met2 ( 1175990 3499110 ) ( * 3517980 0 )
NEW met1 ( 1190250 2355690 ) ( 1587230 * )
NEW met2 ( 1587230 2332060 0 ) ( * 2355690 )
NEW met1 ( 1175990 3499110 ) M1M2_PR
NEW met1 ( 1190250 3499110 ) M1M2_PR
NEW met1 ( 1190250 2355690 ) M1M2_PR
NEW met1 ( 1587230 2355690 ) M1M2_PR ;
- io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) + USE SIGNAL
+ ROUTED met2 ( 990150 2359770 ) ( * 3503530 )
NEW met1 ( 851690 3503530 ) ( 990150 * )
NEW met2 ( 851690 3503530 ) ( * 3517980 0 )
NEW met1 ( 990150 2359770 ) ( 1601490 * )
NEW met2 ( 1601490 2332060 0 ) ( * 2359770 )
NEW met1 ( 990150 3503530 ) M1M2_PR
NEW met1 ( 990150 2359770 ) M1M2_PR
NEW met1 ( 851690 3503530 ) M1M2_PR
NEW met1 ( 1601490 2359770 ) M1M2_PR ;
- io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) + USE SIGNAL
+ ROUTED met1 ( 527390 3502850 ) ( 1010850 * )
NEW met2 ( 527390 3502850 ) ( * 3517980 0 )
NEW met2 ( 1010850 2356030 ) ( * 3502850 )
NEW met1 ( 1010850 2356030 ) ( 1615750 * )
NEW met2 ( 1615750 2332060 0 ) ( * 2356030 )
NEW met1 ( 527390 3502850 ) M1M2_PR
NEW met1 ( 1010850 3502850 ) M1M2_PR
NEW met1 ( 1010850 2356030 ) M1M2_PR
NEW met1 ( 1615750 2356030 ) M1M2_PR ;
- io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) + USE SIGNAL
+ ROUTED met2 ( 1628630 2332060 ) ( 1630010 * 0 )
NEW met2 ( 202630 3502170 ) ( * 3517980 0 )
NEW met2 ( 1628630 2332060 ) ( * 3502170 )
NEW met1 ( 202630 3502170 ) ( 1628630 * )
NEW met1 ( 202630 3502170 ) M1M2_PR
NEW met1 ( 1628630 3502170 ) M1M2_PR ;
- io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) + USE SIGNAL
+ ROUTED met2 ( 1642430 2332060 ) ( 1644270 * 0 )
NEW met3 ( 1380 3421420 0 ) ( 17250 * )
NEW met2 ( 17250 3415810 ) ( * 3421420 )
NEW met2 ( 1642430 2332060 ) ( * 3415810 )
NEW met1 ( 17250 3415810 ) ( 1642430 * )
NEW met2 ( 17250 3421420 ) M2M3_PR_M
NEW met1 ( 17250 3415810 ) M1M2_PR
NEW met1 ( 1642430 3415810 ) M1M2_PR ;
- io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) + USE SIGNAL
+ ROUTED met2 ( 1656690 2332060 ) ( 1658530 * 0 )
NEW met3 ( 1380 3160300 0 ) ( 17250 * )
NEW met2 ( 17250 3160300 ) ( * 3160470 )
NEW met2 ( 1656230 2401200 ) ( 1656690 * )
NEW met2 ( 1656690 2332060 ) ( * 2401200 )
NEW met2 ( 1656230 2401200 ) ( * 3160470 )
NEW met1 ( 17250 3160470 ) ( 1656230 * )
NEW met2 ( 17250 3160300 ) M2M3_PR_M
NEW met1 ( 17250 3160470 ) M1M2_PR
NEW met1 ( 1656230 3160470 ) M1M2_PR ;
- io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2899860 0 ) ( 17710 * )
NEW met2 ( 1672790 2332060 0 ) ( * 2349910 )
NEW met2 ( 17710 2349910 ) ( * 2899860 )
NEW met1 ( 17710 2349910 ) ( 1672790 * )
NEW met1 ( 17710 2349910 ) M1M2_PR
NEW met2 ( 17710 2899860 ) M2M3_PR_M
NEW met1 ( 1672790 2349910 ) M1M2_PR ;
- io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2639420 0 ) ( 18170 * )
NEW li1 ( 1652550 2349570 ) ( * 2352630 )
NEW met2 ( 18170 2349570 ) ( * 2639420 )
NEW met1 ( 18170 2349570 ) ( 1652550 * )
NEW met2 ( 1687050 2332060 0 ) ( * 2352630 )
NEW met1 ( 1652550 2352630 ) ( 1687050 * )
NEW met1 ( 18170 2349570 ) M1M2_PR
NEW met2 ( 18170 2639420 ) M2M3_PR_M
NEW li1 ( 1652550 2349570 ) L1M1_PR_MR
NEW li1 ( 1652550 2352630 ) L1M1_PR_MR
NEW met1 ( 1687050 2352630 ) M1M2_PR ;
- io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2378300 0 ) ( 15410 * )
NEW met2 ( 15410 2373710 ) ( * 2378300 )
NEW met2 ( 1699010 2332060 ) ( 1701310 * 0 )
NEW met1 ( 15410 2373710 ) ( 1699010 * )
NEW met2 ( 1699010 2332060 ) ( * 2373710 )
NEW met2 ( 15410 2378300 ) M2M3_PR_M
NEW met1 ( 15410 2373710 ) M1M2_PR
NEW met1 ( 1699010 2373710 ) M1M2_PR ;
- io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2117860 0 ) ( 17710 * )
NEW met2 ( 17710 2117860 ) ( * 2118030 )
NEW met1 ( 17710 2118030 ) ( 38410 * )
NEW met2 ( 1715570 2332060 0 ) ( * 2346850 )
NEW met1 ( 38410 2346850 ) ( 1715570 * )
NEW met2 ( 38410 2118030 ) ( * 2346850 )
NEW met2 ( 17710 2117860 ) M2M3_PR_M
NEW met1 ( 17710 2118030 ) M1M2_PR
NEW met1 ( 38410 2118030 ) M1M2_PR
NEW met1 ( 38410 2346850 ) M1M2_PR
NEW met1 ( 1715570 2346850 ) M1M2_PR ;
- io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 430780 ) ( * 434690 )
NEW met3 ( 2900990 430780 ) ( 2917780 * 0 )
NEW met2 ( 1330550 2332060 0 ) ( * 2350250 )
NEW met1 ( 1330550 2350250 ) ( 2183850 * )
NEW met2 ( 2183850 434690 ) ( * 2350250 )
NEW met1 ( 2183850 434690 ) ( 2900990 * )
NEW met1 ( 2900990 434690 ) M1M2_PR
NEW met2 ( 2900990 430780 ) M2M3_PR_M
NEW met1 ( 1330550 2350250 ) M1M2_PR
NEW met1 ( 2183850 2350250 ) M1M2_PR
NEW met1 ( 2183850 434690 ) M1M2_PR ;
- io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1856740 0 ) ( 17710 * )
NEW met2 ( 17710 1856740 ) ( * 1862690 )
NEW met2 ( 1729830 2332060 0 ) ( * 2347870 )
NEW met2 ( 417450 1862690 ) ( * 2347870 )
NEW met1 ( 17710 1862690 ) ( 417450 * )
NEW met1 ( 417450 2347870 ) ( 1729830 * )
NEW met2 ( 17710 1856740 ) M2M3_PR_M
NEW met1 ( 17710 1862690 ) M1M2_PR
NEW met1 ( 417450 1862690 ) M1M2_PR
NEW met1 ( 417450 2347870 ) M1M2_PR
NEW met1 ( 1729830 2347870 ) M1M2_PR ;
- io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) + USE SIGNAL
+ ROUTED met2 ( 1744090 2332060 0 ) ( * 2348550 )
NEW met3 ( 1380 1596300 0 ) ( 17710 * )
NEW met2 ( 17710 1596300 ) ( * 1600550 )
NEW met2 ( 865950 1600550 ) ( * 2348550 )
NEW met1 ( 865950 2348550 ) ( 1744090 * )
NEW met1 ( 17710 1600550 ) ( 865950 * )
NEW met1 ( 865950 2348550 ) M1M2_PR
NEW met1 ( 1744090 2348550 ) M1M2_PR
NEW met2 ( 17710 1596300 ) M2M3_PR_M
NEW met1 ( 17710 1600550 ) M1M2_PR
NEW met1 ( 865950 1600550 ) M1M2_PR ;
- io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) + USE SIGNAL
+ ROUTED met2 ( 1758350 2332060 0 ) ( * 2347190 )
NEW met3 ( 1380 1335860 0 ) ( 17710 * )
NEW met2 ( 17710 1335860 ) ( * 1338410 )
NEW met2 ( 86710 1338410 ) ( * 2347190 )
NEW met1 ( 86710 2347190 ) ( 1758350 * )
NEW met1 ( 17710 1338410 ) ( 86710 * )
NEW met1 ( 86710 2347190 ) M1M2_PR
NEW met1 ( 1758350 2347190 ) M1M2_PR
NEW met2 ( 17710 1335860 ) M2M3_PR_M
NEW met1 ( 17710 1338410 ) M1M2_PR
NEW met1 ( 86710 1338410 ) M1M2_PR ;
- io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1074740 0 ) ( 16790 * )
NEW met2 ( 16790 1074740 ) ( * 1076270 )
NEW met2 ( 1772610 2332060 0 ) ( * 2346510 )
NEW met2 ( 93610 1076270 ) ( * 2346510 )
NEW met1 ( 16790 1076270 ) ( 93610 * )
NEW met1 ( 93610 2346510 ) ( 1772610 * )
NEW met2 ( 16790 1074740 ) M2M3_PR_M
NEW met1 ( 16790 1076270 ) M1M2_PR
NEW met1 ( 93610 1076270 ) M1M2_PR
NEW met1 ( 93610 2346510 ) M1M2_PR
NEW met1 ( 1772610 2346510 ) M1M2_PR ;
- io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) + USE SIGNAL
+ ROUTED met3 ( 1380 814300 0 ) ( 16330 * )
NEW met2 ( 16330 814300 ) ( * 820930 )
NEW met2 ( 1786870 2332060 0 ) ( * 2352290 )
NEW met1 ( 1293750 2352290 ) ( 1786870 * )
NEW met1 ( 16330 820930 ) ( 1293750 * )
NEW met2 ( 1293750 820930 ) ( * 2352290 )
NEW met2 ( 16330 814300 ) M2M3_PR_M
NEW met1 ( 16330 820930 ) M1M2_PR
NEW met1 ( 1293750 2352290 ) M1M2_PR
NEW met1 ( 1786870 2352290 ) M1M2_PR
NEW met1 ( 1293750 820930 ) M1M2_PR ;
- io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) + USE SIGNAL
+ ROUTED met3 ( 1380 553180 0 ) ( 15870 * )
NEW met2 ( 15870 553180 ) ( * 558790 )
NEW met2 ( 106950 558790 ) ( * 2322540 )
NEW met4 ( 1800900 2322540 ) ( * 2331380 )
NEW met3 ( 1800670 2331380 ) ( 1800900 * )
NEW met2 ( 1800670 2331380 ) ( 1801130 * 0 )
NEW met3 ( 106950 2322540 ) ( 1800900 * )
NEW met1 ( 15870 558790 ) ( 106950 * )
NEW met2 ( 106950 2322540 ) M2M3_PR_M
NEW met2 ( 15870 553180 ) M2M3_PR_M
NEW met1 ( 15870 558790 ) M1M2_PR
NEW met1 ( 106950 558790 ) M1M2_PR
NEW met3 ( 1800900 2322540 ) M3M4_PR_M
NEW met3 ( 1800900 2331380 ) M3M4_PR_M
NEW met2 ( 1800670 2331380 ) M2M3_PR_M
NEW met3 ( 1800900 2331380 ) RECT ( 0 -150 390 150 ) ;
- io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) + USE SIGNAL
+ ROUTED met3 ( 1380 358020 0 ) ( 17710 * )
NEW met2 ( 17710 358020 ) ( * 358530 )
NEW met2 ( 1279950 358530 ) ( * 2351950 )
NEW met2 ( 1815390 2332060 0 ) ( * 2351950 )
NEW met1 ( 1279950 2351950 ) ( 1815390 * )
NEW met1 ( 17710 358530 ) ( 1279950 * )
NEW met1 ( 1279950 2351950 ) M1M2_PR
NEW met2 ( 17710 358020 ) M2M3_PR_M
NEW met1 ( 17710 358530 ) M1M2_PR
NEW met1 ( 1279950 358530 ) M1M2_PR
NEW met1 ( 1815390 2351950 ) M1M2_PR ;
- io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) + USE SIGNAL
+ ROUTED li1 ( 1828730 2322710 ) ( * 2329850 )
NEW met2 ( 1828730 2329850 ) ( * 2330020 )
NEW met2 ( 1828730 2330020 ) ( 1829650 * 0 )
NEW met3 ( 1380 162180 0 ) ( 14490 * )
NEW met2 ( 14490 162180 ) ( * 165410 )
NEW met1 ( 148350 2322710 ) ( 1828730 * )
NEW met1 ( 14490 165410 ) ( 148350 * )
NEW met2 ( 148350 165410 ) ( * 2322710 )
NEW li1 ( 1828730 2322710 ) L1M1_PR_MR
NEW li1 ( 1828730 2329850 ) L1M1_PR_MR
NEW met1 ( 1828730 2329850 ) M1M2_PR
NEW met2 ( 14490 162180 ) M2M3_PR_M
NEW met1 ( 14490 165410 ) M1M2_PR
NEW met1 ( 148350 2322710 ) M1M2_PR
NEW met1 ( 148350 165410 ) M1M2_PR
NEW met1 ( 1828730 2329850 ) RECT ( -355 -70 0 70 ) ;
- io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 1344810 2332060 0 ) ( * 2347530 )
NEW met2 ( 2900990 630020 ) ( * 634610 )
NEW met3 ( 2900990 630020 ) ( 2917780 * 0 )
NEW met1 ( 1344810 2347530 ) ( 2866950 * )
NEW met1 ( 2866950 634610 ) ( 2900990 * )
NEW met2 ( 2866950 634610 ) ( * 2347530 )
NEW met1 ( 1344810 2347530 ) M1M2_PR
NEW met1 ( 2900990 634610 ) M1M2_PR
NEW met2 ( 2900990 630020 ) M2M3_PR_M
NEW met1 ( 2866950 2347530 ) M1M2_PR
NEW met1 ( 2866950 634610 ) M1M2_PR ;
- io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) + USE SIGNAL
+ ROUTED met4 ( 1359300 2325260 ) ( * 2330020 )
NEW met3 ( 1359300 2330020 ) ( 1359530 * )
NEW met2 ( 1359070 2330020 0 ) ( 1359530 * )
NEW met3 ( 2126580 2324580 ) ( * 2325260 )
NEW met3 ( 2126580 2325260 ) ( 2142450 * )
NEW met2 ( 2142450 834870 ) ( * 2325260 )
NEW met2 ( 2900990 829260 ) ( * 834870 )
NEW met3 ( 2900990 829260 ) ( 2917780 * 0 )
NEW met3 ( 2111400 2324580 ) ( 2126580 * )
NEW met3 ( 2111400 2324580 ) ( * 2325260 )
NEW met3 ( 1359300 2325260 ) ( 2111400 * )
NEW met1 ( 2142450 834870 ) ( 2900990 * )
NEW met3 ( 1359300 2325260 ) M3M4_PR_M
NEW met3 ( 1359300 2330020 ) M3M4_PR_M
NEW met2 ( 1359530 2330020 ) M2M3_PR_M
NEW met2 ( 2142450 2325260 ) M2M3_PR_M
NEW met1 ( 2142450 834870 ) M1M2_PR
NEW met1 ( 2900990 834870 ) M1M2_PR
NEW met2 ( 2900990 829260 ) M2M3_PR_M
NEW met3 ( 1359300 2330020 ) RECT ( -390 -150 0 150 ) ;
- io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) + USE SIGNAL
+ ROUTED met2 ( 1373330 2332060 0 ) ( * 2350930 )
NEW met2 ( 2149810 1034790 ) ( * 2350930 )
NEW met2 ( 2900990 1028500 ) ( * 1034790 )
NEW met3 ( 2900990 1028500 ) ( 2917780 * 0 )
NEW met1 ( 1373330 2350930 ) ( 2149810 * )
NEW met1 ( 2149810 1034790 ) ( 2900990 * )
NEW met1 ( 1373330 2350930 ) M1M2_PR
NEW met1 ( 2149810 2350930 ) M1M2_PR
NEW met1 ( 2149810 1034790 ) M1M2_PR
NEW met1 ( 2900990 1034790 ) M1M2_PR
NEW met2 ( 2900990 1028500 ) M2M3_PR_M ;
- io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1227740 ) ( * 1227910 )
NEW met3 ( 2900990 1227740 ) ( 2917780 * 0 )
NEW met4 ( 1388740 2323900 ) ( * 2330020 )
NEW met3 ( 1388510 2330020 ) ( 1388740 * )
NEW met2 ( 1387590 2330020 0 ) ( 1388510 * )
NEW met3 ( 1388740 2323900 ) ( 2197650 * )
NEW met2 ( 2197650 1227910 ) ( * 2323900 )
NEW met1 ( 2197650 1227910 ) ( 2900990 * )
NEW met1 ( 2900990 1227910 ) M1M2_PR
NEW met2 ( 2900990 1227740 ) M2M3_PR_M
NEW met3 ( 1388740 2323900 ) M3M4_PR_M
NEW met3 ( 1388740 2330020 ) M3M4_PR_M
NEW met2 ( 1388510 2330020 ) M2M3_PR_M
NEW met2 ( 2197650 2323900 ) M2M3_PR_M
NEW met1 ( 2197650 1227910 ) M1M2_PR
NEW met3 ( 1388740 2330020 ) RECT ( 0 -150 390 150 ) ;
- io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) + USE SIGNAL
+ ROUTED met2 ( 2218350 1497190 ) ( * 2323220 )
NEW met2 ( 2898230 1493620 ) ( * 1497190 )
NEW met3 ( 2898230 1493620 ) ( 2917780 * 0 )
NEW met4 ( 1403460 2323220 ) ( * 2330020 )
NEW met3 ( 1403230 2330020 ) ( 1403460 * )
NEW met2 ( 1401850 2330020 0 ) ( 1403230 * )
NEW met3 ( 1403460 2323220 ) ( 2218350 * )
NEW met1 ( 2218350 1497190 ) ( 2898230 * )
NEW met2 ( 2218350 2323220 ) M2M3_PR_M
NEW met1 ( 2218350 1497190 ) M1M2_PR
NEW met1 ( 2898230 1497190 ) M1M2_PR
NEW met2 ( 2898230 1493620 ) M2M3_PR_M
NEW met3 ( 1403460 2323220 ) M3M4_PR_M
NEW met3 ( 1403460 2330020 ) M3M4_PR_M
NEW met2 ( 1403230 2330020 ) M2M3_PR_M
NEW met3 ( 1403460 2330020 ) RECT ( 0 -150 390 150 ) ;
- io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1759500 ) ( * 1766130 )
NEW met3 ( 2900990 1759500 ) ( 2917780 * 0 )
NEW met2 ( 2232150 1766130 ) ( * 2343450 )
NEW met2 ( 1416110 2332060 0 ) ( * 2343450 )
NEW met1 ( 1416110 2343450 ) ( 2232150 * )
NEW met1 ( 2232150 1766130 ) ( 2900990 * )
NEW met1 ( 2232150 1766130 ) M1M2_PR
NEW met1 ( 2232150 2343450 ) M1M2_PR
NEW met1 ( 2900990 1766130 ) M1M2_PR
NEW met2 ( 2900990 1759500 ) M2M3_PR_M
NEW met1 ( 1416110 2343450 ) M1M2_PR ;
- io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) + USE SIGNAL
+ ROUTED met2 ( 2135550 2322540 ) ( * 2324580 )
NEW met2 ( 2898230 2024700 ) ( * 2028270 )
NEW met3 ( 2898230 2024700 ) ( 2917780 * 0 )
NEW met2 ( 2239050 2028270 ) ( * 2324580 )
NEW met4 ( 1431980 2324580 ) ( * 2330020 )
NEW met3 ( 1431750 2330020 ) ( 1431980 * )
NEW met2 ( 1430370 2330020 0 ) ( 1431750 * )
NEW met4 ( 2087020 2322540 ) ( * 2324580 )
NEW met3 ( 1431980 2324580 ) ( 2087020 * )
NEW met3 ( 2087020 2322540 ) ( 2135550 * )
NEW met3 ( 2135550 2324580 ) ( 2239050 * )
NEW met1 ( 2239050 2028270 ) ( 2898230 * )
NEW met2 ( 2135550 2322540 ) M2M3_PR_M
NEW met2 ( 2135550 2324580 ) M2M3_PR_M
NEW met1 ( 2239050 2028270 ) M1M2_PR
NEW met2 ( 2239050 2324580 ) M2M3_PR_M
NEW met1 ( 2898230 2028270 ) M1M2_PR
NEW met2 ( 2898230 2024700 ) M2M3_PR_M
NEW met3 ( 1431980 2324580 ) M3M4_PR_M
NEW met3 ( 1431980 2330020 ) M3M4_PR_M
NEW met2 ( 1431750 2330020 ) M2M3_PR_M
NEW met3 ( 2087020 2324580 ) M3M4_PR_M
NEW met3 ( 2087020 2322540 ) M3M4_PR_M
NEW met3 ( 1431980 2330020 ) RECT ( 0 -150 390 150 ) ;
- io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) + USE SIGNAL
+ ROUTED met2 ( 2245950 165410 ) ( * 2323050 )
NEW met2 ( 2900990 165410 ) ( * 165580 )
NEW met3 ( 2900990 165580 ) ( 2917780 * 0 )
NEW li1 ( 1307090 2323050 ) ( * 2332910 )
NEW met2 ( 1307090 2332060 0 ) ( * 2332910 )
NEW met1 ( 1307090 2323050 ) ( 2245950 * )
NEW met1 ( 2245950 165410 ) ( 2900990 * )
NEW met1 ( 2245950 2323050 ) M1M2_PR
NEW met1 ( 2245950 165410 ) M1M2_PR
NEW met1 ( 2900990 165410 ) M1M2_PR
NEW met2 ( 2900990 165580 ) M2M3_PR_M
NEW li1 ( 1307090 2323050 ) L1M1_PR_MR
NEW li1 ( 1307090 2332910 ) L1M1_PR_MR
NEW met1 ( 1307090 2332910 ) M1M2_PR
NEW met1 ( 1307090 2332910 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) + USE SIGNAL
+ ROUTED met2 ( 1449690 2332060 0 ) ( 1451990 * )
NEW met2 ( 2900990 2421990 ) ( * 2423180 )
NEW met3 ( 2900990 2423180 ) ( 2917780 * 0 )
NEW met2 ( 1455670 2401200 ) ( * 2421990 )
NEW met2 ( 1451990 2401200 ) ( 1455670 * )
NEW met2 ( 1451990 2332060 ) ( * 2401200 )
NEW met1 ( 1455670 2421990 ) ( 2900990 * )
NEW met1 ( 1455670 2421990 ) M1M2_PR
NEW met1 ( 2900990 2421990 ) M1M2_PR
NEW met2 ( 2900990 2423180 ) M2M3_PR_M ;
- io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) + USE SIGNAL
+ ROUTED met2 ( 1463950 2332060 0 ) ( 1465330 * )
NEW met2 ( 1465330 2332060 ) ( * 2339030 )
NEW met1 ( 1465330 2339030 ) ( 1469470 * )
NEW met2 ( 1469470 2339030 ) ( * 2684130 )
NEW met2 ( 2900990 2684130 ) ( * 2689060 )
NEW met3 ( 2900990 2689060 ) ( 2917780 * 0 )
NEW met1 ( 1469470 2684130 ) ( 2900990 * )
NEW met1 ( 1465330 2339030 ) M1M2_PR
NEW met1 ( 1469470 2339030 ) M1M2_PR
NEW met1 ( 1469470 2684130 ) M1M2_PR
NEW met1 ( 2900990 2684130 ) M1M2_PR
NEW met2 ( 2900990 2689060 ) M2M3_PR_M ;
- io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) + USE SIGNAL
+ ROUTED met2 ( 1478210 2332060 0 ) ( 1480050 * )
NEW met2 ( 1480050 2332060 ) ( * 2339030 )
NEW met1 ( 1480050 2339030 ) ( 1483270 * )
NEW met2 ( 1483270 2339030 ) ( * 2953410 )
NEW met2 ( 2899150 2953410 ) ( * 2954940 )
NEW met3 ( 2899150 2954940 ) ( 2917780 * 0 )
NEW met1 ( 1483270 2953410 ) ( 2899150 * )
NEW met1 ( 1480050 2339030 ) M1M2_PR
NEW met1 ( 1483270 2339030 ) M1M2_PR
NEW met1 ( 1483270 2953410 ) M1M2_PR
NEW met1 ( 2899150 2953410 ) M1M2_PR
NEW met2 ( 2899150 2954940 ) M2M3_PR_M ;
- io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3215550 ) ( * 3220140 )
NEW met3 ( 2900990 3220140 ) ( 2917780 * 0 )
NEW met2 ( 1492470 2332060 0 ) ( 1493850 * )
NEW met2 ( 1493850 2332060 ) ( * 2339030 )
NEW met1 ( 1493850 2339030 ) ( 1497070 * )
NEW met1 ( 1497070 3215550 ) ( 2900990 * )
NEW met2 ( 1497070 2339030 ) ( * 3215550 )
NEW met1 ( 2900990 3215550 ) M1M2_PR
NEW met2 ( 2900990 3220140 ) M2M3_PR_M
NEW met1 ( 1493850 2339030 ) M1M2_PR
NEW met1 ( 1497070 2339030 ) M1M2_PR
NEW met1 ( 1497070 3215550 ) M1M2_PR ;
- io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3484830 ) ( * 3486020 )
NEW met3 ( 2900990 3486020 ) ( 2917780 * 0 )
NEW met2 ( 1506730 2332060 0 ) ( 1509030 * )
NEW met1 ( 1510870 3484830 ) ( 2900990 * )
NEW met2 ( 1509030 2332060 ) ( * 2352900 )
NEW met2 ( 1509030 2352900 ) ( 1510870 * )
NEW met2 ( 1510870 2352900 ) ( * 3484830 )
NEW met1 ( 2900990 3484830 ) M1M2_PR
NEW met2 ( 2900990 3486020 ) M2M3_PR_M
NEW met1 ( 1510870 3484830 ) M1M2_PR ;
- io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) + USE SIGNAL
+ ROUTED met2 ( 2636030 3503530 ) ( * 3517980 0 )
NEW met2 ( 1520990 2332060 0 ) ( 1522830 * )
NEW met1 ( 1524670 3503530 ) ( 2636030 * )
NEW met2 ( 1522830 2332060 ) ( * 2352900 )
NEW met2 ( 1522830 2352900 ) ( 1524670 * )
NEW met2 ( 1524670 2352900 ) ( * 3503530 )
NEW met1 ( 2636030 3503530 ) M1M2_PR
NEW met1 ( 1524670 3503530 ) M1M2_PR ;
- io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) + USE SIGNAL
+ ROUTED met2 ( 1535250 2332060 0 ) ( 1537550 * )
NEW met2 ( 1537550 2332060 ) ( * 2352900 )
NEW met2 ( 1537550 2352900 ) ( 1538470 * )
NEW met2 ( 1538470 2352900 ) ( * 3504890 )
NEW met2 ( 2311730 3504890 ) ( * 3517980 0 )
NEW met1 ( 1538470 3504890 ) ( 2311730 * )
NEW met1 ( 1538470 3504890 ) M1M2_PR
NEW met1 ( 2311730 3504890 ) M1M2_PR ;
- io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) + USE SIGNAL
+ ROUTED met2 ( 1549510 2332060 0 ) ( 1551350 * )
NEW met2 ( 1551350 2332060 ) ( * 2352900 )
NEW met2 ( 1551350 2352900 ) ( 1552270 * )
NEW met2 ( 1552270 2352900 ) ( * 3500130 )
NEW met1 ( 1552270 3500130 ) ( 1987430 * )
NEW met2 ( 1987430 3500130 ) ( * 3517980 0 )
NEW met1 ( 1552270 3500130 ) M1M2_PR
NEW met1 ( 1987430 3500130 ) M1M2_PR ;
- io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) + USE SIGNAL
+ ROUTED met2 ( 1563770 2332060 0 ) ( 1566070 * )
NEW met2 ( 1566070 2332060 ) ( * 3498770 )
NEW met2 ( 1662670 3498770 ) ( * 3517980 0 )
NEW met1 ( 1566070 3498770 ) ( 1662670 * )
NEW met1 ( 1566070 3498770 ) M1M2_PR
NEW met1 ( 1662670 3498770 ) M1M2_PR ;
- io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) + USE SIGNAL
+ ROUTED met2 ( 1575730 2332060 ) ( 1578030 * 0 )
NEW met2 ( 1573430 2401200 ) ( 1575730 * )
NEW met2 ( 1575730 2332060 ) ( * 2401200 )
NEW met2 ( 1573430 2401200 ) ( * 3499790 )
NEW met1 ( 1338370 3499790 ) ( 1573430 * )
NEW met2 ( 1338370 3499790 ) ( * 3517980 0 )
NEW met1 ( 1573430 3499790 ) M1M2_PR
NEW met1 ( 1338370 3499790 ) M1M2_PR ;
- io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) + USE SIGNAL
+ ROUTED met2 ( 2252850 365670 ) ( * 2340220 )
NEW met2 ( 2900990 364820 ) ( * 365670 )
NEW met3 ( 2900990 364820 ) ( 2917780 * 0 )
NEW met2 ( 1321350 2332060 0 ) ( * 2340220 )
NEW met3 ( 1321350 2340220 ) ( 2252850 * )
NEW met1 ( 2252850 365670 ) ( 2900990 * )
NEW met2 ( 2252850 2340220 ) M2M3_PR_M
NEW met1 ( 2252850 365670 ) M1M2_PR
NEW met1 ( 2900990 365670 ) M1M2_PR
NEW met2 ( 2900990 364820 ) M2M3_PR_M
NEW met2 ( 1321350 2340220 ) M2M3_PR_M ;
- io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) + USE SIGNAL
+ ROUTED met2 ( 1590450 2332060 ) ( 1592290 * 0 )
NEW met1 ( 1014070 3501150 ) ( 1587230 * )
NEW met2 ( 1014070 3501150 ) ( * 3517980 0 )
NEW met2 ( 1587230 2401200 ) ( 1590450 * )
NEW met2 ( 1590450 2332060 ) ( * 2401200 )
NEW met2 ( 1587230 2401200 ) ( * 3501150 )
NEW met1 ( 1014070 3501150 ) M1M2_PR
NEW met1 ( 1587230 3501150 ) M1M2_PR ;
- io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) + USE SIGNAL
+ ROUTED met2 ( 689310 3503870 ) ( * 3517980 0 )
NEW met2 ( 1604250 2332060 ) ( 1606550 * 0 )
NEW met1 ( 689310 3503870 ) ( 1601030 * )
NEW met2 ( 1601030 2401200 ) ( 1604250 * )
NEW met2 ( 1604250 2332060 ) ( * 2401200 )
NEW met2 ( 1601030 2401200 ) ( * 3503870 )
NEW met1 ( 689310 3503870 ) M1M2_PR
NEW met1 ( 1601030 3503870 ) M1M2_PR ;
- io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) + USE SIGNAL
+ ROUTED met2 ( 1618970 2332060 ) ( 1620810 * 0 )
NEW met1 ( 365010 3502510 ) ( 1614830 * )
NEW met2 ( 365010 3502510 ) ( * 3517980 0 )
NEW met2 ( 1614830 2401200 ) ( 1618970 * )
NEW met2 ( 1618970 2332060 ) ( * 2401200 )
NEW met2 ( 1614830 2401200 ) ( * 3502510 )
NEW met1 ( 365010 3502510 ) M1M2_PR
NEW met1 ( 1614830 3502510 ) M1M2_PR ;
- io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) + USE SIGNAL
+ ROUTED met2 ( 1632770 2332060 ) ( 1635070 * 0 )
NEW met2 ( 1629090 2401200 ) ( 1632770 * )
NEW met2 ( 1632770 2332060 ) ( * 2401200 )
NEW met2 ( 1629090 2401200 ) ( * 3501490 )
NEW met1 ( 40710 3501490 ) ( 1629090 * )
NEW met2 ( 40710 3501490 ) ( * 3517980 0 )
NEW met1 ( 1629090 3501490 ) M1M2_PR
NEW met1 ( 40710 3501490 ) M1M2_PR ;
- io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3290860 0 ) ( 17250 * )
NEW met2 ( 17250 3284570 ) ( * 3290860 )
NEW met2 ( 1649330 2332060 0 ) ( 1649790 * )
NEW met2 ( 1649790 2332060 ) ( * 3284570 )
NEW met1 ( 17250 3284570 ) ( 1649790 * )
NEW met2 ( 17250 3290860 ) M2M3_PR_M
NEW met1 ( 17250 3284570 ) M1M2_PR
NEW met1 ( 1649790 3284570 ) M1M2_PR ;
- io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3030420 0 ) ( 16330 * )
NEW met2 ( 16330 3029230 ) ( * 3030420 )
NEW met2 ( 1663590 2332060 0 ) ( * 3029230 )
NEW met1 ( 16330 3029230 ) ( 1663590 * )
NEW met2 ( 16330 3030420 ) M2M3_PR_M
NEW met1 ( 16330 3029230 ) M1M2_PR
NEW met1 ( 1663590 3029230 ) M1M2_PR ;
- io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2769300 0 ) ( 14030 * )
NEW met2 ( 14030 2769130 ) ( * 2769300 )
NEW met1 ( 14030 2769130 ) ( 24150 * )
NEW met2 ( 24150 2356370 ) ( * 2769130 )
NEW met1 ( 24150 2356370 ) ( 1677850 * )
NEW met2 ( 1677850 2332060 0 ) ( * 2356370 )
NEW met1 ( 24150 2356370 ) M1M2_PR
NEW met2 ( 14030 2769300 ) M2M3_PR_M
NEW met1 ( 14030 2769130 ) M1M2_PR
NEW met1 ( 24150 2769130 ) M1M2_PR
NEW met1 ( 1677850 2356370 ) M1M2_PR ;
- io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2508860 0 ) ( 14950 * )
NEW met2 ( 14950 2505290 ) ( * 2508860 )
NEW met1 ( 14950 2505290 ) ( 37950 * )
NEW met2 ( 37950 2356710 ) ( * 2505290 )
NEW met1 ( 37950 2356710 ) ( 1692110 * )
NEW met2 ( 1692110 2332060 0 ) ( * 2356710 )
NEW met2 ( 14950 2508860 ) M2M3_PR_M
NEW met1 ( 14950 2505290 ) M1M2_PR
NEW met1 ( 37950 2505290 ) M1M2_PR
NEW met1 ( 37950 2356710 ) M1M2_PR
NEW met1 ( 1692110 2356710 ) M1M2_PR ;
- io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2247740 0 ) ( 16790 * )
NEW met2 ( 16790 2247740 ) ( * 2249270 )
NEW met1 ( 16790 2249270 ) ( 1295130 * )
NEW met2 ( 1706370 2332060 0 ) ( * 2345830 )
NEW met1 ( 1295130 2345830 ) ( 1706370 * )
NEW met2 ( 1295130 2249270 ) ( * 2345830 )
NEW met2 ( 16790 2247740 ) M2M3_PR_M
NEW met1 ( 16790 2249270 ) M1M2_PR
NEW met1 ( 1295130 2249270 ) M1M2_PR
NEW met1 ( 1295130 2345830 ) M1M2_PR
NEW met1 ( 1706370 2345830 ) M1M2_PR ;
- io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1987300 0 ) ( 16330 * )
NEW met2 ( 16330 1987300 ) ( * 1993930 )
NEW met2 ( 1720170 2332060 0 ) ( * 2345490 )
NEW met1 ( 1294670 2345490 ) ( 1720170 * )
NEW met1 ( 16330 1993930 ) ( 1294670 * )
NEW met2 ( 1294670 1993930 ) ( * 2345490 )
NEW met2 ( 16330 1987300 ) M2M3_PR_M
NEW met1 ( 16330 1993930 ) M1M2_PR
NEW met1 ( 1294670 2345490 ) M1M2_PR
NEW met1 ( 1720170 2345490 ) M1M2_PR
NEW met1 ( 1294670 1993930 ) M1M2_PR ;
- io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) + USE SIGNAL
+ ROUTED met2 ( 2149350 565590 ) ( * 2340900 )
NEW met2 ( 2899150 564060 ) ( * 565590 )
NEW met3 ( 2899150 564060 ) ( 2917780 * 0 )
NEW met2 ( 1335610 2332060 0 ) ( * 2340900 )
NEW met3 ( 1335610 2340900 ) ( 2149350 * )
NEW met1 ( 2149350 565590 ) ( 2899150 * )
NEW met2 ( 2149350 2340900 ) M2M3_PR_M
NEW met1 ( 2149350 565590 ) M1M2_PR
NEW met1 ( 2899150 565590 ) M1M2_PR
NEW met2 ( 2899150 564060 ) M2M3_PR_M
NEW met2 ( 1335610 2340900 ) M2M3_PR_M ;
- io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1726860 0 ) ( 15870 * )
NEW met2 ( 15870 1726860 ) ( * 1731790 )
NEW met2 ( 1732590 2331380 ) ( * 2331550 )
NEW met2 ( 1732590 2331380 ) ( 1734430 * 0 )
NEW met2 ( 1266610 1731790 ) ( * 2331550 )
NEW met1 ( 15870 1731790 ) ( 1266610 * )
NEW met1 ( 1266610 2331550 ) ( 1732590 * )
NEW met2 ( 15870 1726860 ) M2M3_PR_M
NEW met1 ( 15870 1731790 ) M1M2_PR
NEW met1 ( 1266610 1731790 ) M1M2_PR
NEW met1 ( 1266610 2331550 ) M1M2_PR
NEW met1 ( 1732590 2331550 ) M1M2_PR ;
- io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1465740 0 ) ( 15410 * )
NEW met2 ( 15410 1465740 ) ( * 1469650 )
NEW met2 ( 1747310 2331210 ) ( * 2331380 )
NEW met2 ( 1747310 2331380 ) ( 1748690 * 0 )
NEW met2 ( 1245910 1469650 ) ( * 2331210 )
NEW met1 ( 15410 1469650 ) ( 1245910 * )
NEW met1 ( 1245910 2331210 ) ( 1747310 * )
NEW met2 ( 15410 1465740 ) M2M3_PR_M
NEW met1 ( 15410 1469650 ) M1M2_PR
NEW met1 ( 1245910 1469650 ) M1M2_PR
NEW met1 ( 1245910 2331210 ) M1M2_PR
NEW met1 ( 1747310 2331210 ) M1M2_PR ;
- io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) + USE SIGNAL
+ ROUTED met2 ( 1762950 2332060 0 ) ( * 2342260 )
NEW met3 ( 1380 1205300 0 ) ( 17710 * )
NEW met2 ( 17710 1205300 ) ( * 1207170 )
NEW met3 ( 169510 2342260 ) ( 1762950 * )
NEW met1 ( 17710 1207170 ) ( 169510 * )
NEW met2 ( 169510 1207170 ) ( * 2342260 )
NEW met2 ( 1762950 2342260 ) M2M3_PR_M
NEW met2 ( 17710 1205300 ) M2M3_PR_M
NEW met1 ( 17710 1207170 ) M1M2_PR
NEW met2 ( 169510 2342260 ) M2M3_PR_M
NEW met1 ( 169510 1207170 ) M1M2_PR ;
- io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) + USE SIGNAL
+ ROUTED met3 ( 1380 944180 0 ) ( 17710 * )
NEW met2 ( 17710 944180 ) ( * 945030 )
NEW met2 ( 1252810 945030 ) ( * 2330870 )
NEW met2 ( 1775830 2330700 ) ( * 2330870 )
NEW met2 ( 1775830 2330700 ) ( 1777210 * 0 )
NEW met1 ( 1252810 2330870 ) ( 1775830 * )
NEW met1 ( 17710 945030 ) ( 1252810 * )
NEW met1 ( 1252810 2330870 ) M1M2_PR
NEW met2 ( 17710 944180 ) M2M3_PR_M
NEW met1 ( 17710 945030 ) M1M2_PR
NEW met1 ( 1252810 945030 ) M1M2_PR
NEW met1 ( 1775830 2330870 ) M1M2_PR ;
- io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) + USE SIGNAL
+ ROUTED met3 ( 1380 683740 0 ) ( 17710 * )
NEW met2 ( 17710 683740 ) ( * 689690 )
NEW met2 ( 203550 689690 ) ( * 2326110 )
NEW met1 ( 17710 689690 ) ( 203550 * )
NEW li1 ( 1789630 2326110 ) ( * 2330530 )
NEW met2 ( 1789630 2330530 ) ( * 2330700 )
NEW met2 ( 1789630 2330700 ) ( 1791470 * 0 )
NEW met1 ( 203550 2326110 ) ( 1789630 * )
NEW met2 ( 17710 683740 ) M2M3_PR_M
NEW met1 ( 17710 689690 ) M1M2_PR
NEW met1 ( 203550 689690 ) M1M2_PR
NEW met1 ( 203550 2326110 ) M1M2_PR
NEW li1 ( 1789630 2326110 ) L1M1_PR_MR
NEW li1 ( 1789630 2330530 ) L1M1_PR_MR
NEW met1 ( 1789630 2330530 ) M1M2_PR
NEW met1 ( 1789630 2330530 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) + USE SIGNAL
+ ROUTED met3 ( 1380 423300 0 ) ( 17710 * )
NEW met2 ( 17710 423300 ) ( * 427550 )
NEW met1 ( 1804350 2329510 ) ( * 2329850 )
NEW met2 ( 1804350 2329850 ) ( * 2330020 )
NEW met2 ( 1804350 2330020 ) ( 1805730 * 0 )
NEW met1 ( 1010850 2329510 ) ( 1804350 * )
NEW met1 ( 17710 427550 ) ( 1010850 * )
NEW met2 ( 1010850 427550 ) ( * 2329510 )
NEW met2 ( 17710 423300 ) M2M3_PR_M
NEW met1 ( 17710 427550 ) M1M2_PR
NEW met1 ( 1010850 2329510 ) M1M2_PR
NEW met1 ( 1804350 2329850 ) M1M2_PR
NEW met1 ( 1010850 427550 ) M1M2_PR ;
- io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) + USE SIGNAL
+ ROUTED met3 ( 1380 227460 0 ) ( 17710 * )
NEW met2 ( 17710 227460 ) ( * 227630 )
NEW met1 ( 17710 227630 ) ( 1024650 * )
NEW met3 ( 1796300 2327300 ) ( * 2330020 )
NEW met3 ( 1796300 2330020 ) ( 1818150 * )
NEW met2 ( 1818150 2330020 ) ( 1819990 * 0 )
NEW met3 ( 1024650 2327300 ) ( 1796300 * )
NEW met2 ( 1024650 227630 ) ( * 2327300 )
NEW met2 ( 17710 227460 ) M2M3_PR_M
NEW met1 ( 17710 227630 ) M1M2_PR
NEW met1 ( 1024650 227630 ) M1M2_PR
NEW met2 ( 1024650 2327300 ) M2M3_PR_M
NEW met2 ( 1818150 2330020 ) M2M3_PR_M ;
- io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) + USE SIGNAL
+ ROUTED met3 ( 1380 32300 0 ) ( 17250 * )
NEW met2 ( 17250 32300 ) ( * 33150 )
NEW met4 ( 1827580 2325940 ) ( * 2330020 )
NEW met3 ( 1827580 2330020 ) ( 1832870 * )
NEW met2 ( 1832870 2330020 ) ( 1834250 * 0 )
NEW met1 ( 17250 33150 ) ( 37950 * )
NEW met3 ( 37950 2325940 ) ( 1827580 * )
NEW met2 ( 37950 33150 ) ( * 2325940 )
NEW met2 ( 17250 32300 ) M2M3_PR_M
NEW met1 ( 17250 33150 ) M1M2_PR
NEW met3 ( 1827580 2325940 ) M3M4_PR_M
NEW met3 ( 1827580 2330020 ) M3M4_PR_M
NEW met2 ( 1832870 2330020 ) M2M3_PR_M
NEW met1 ( 37950 33150 ) M1M2_PR
NEW met2 ( 37950 2325940 ) M2M3_PR_M ;
- io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) + USE SIGNAL
+ ROUTED li1 ( 1349870 2327810 ) ( * 2332910 )
NEW met2 ( 1349870 2332060 0 ) ( * 2332910 )
NEW met2 ( 2899150 763300 ) ( * 765850 )
NEW met3 ( 2899150 763300 ) ( 2917780 * 0 )
NEW met1 ( 1349870 2327810 ) ( 2273550 * )
NEW met1 ( 2273550 765850 ) ( 2899150 * )
NEW met2 ( 2273550 765850 ) ( * 2327810 )
NEW li1 ( 1349870 2327810 ) L1M1_PR_MR
NEW li1 ( 1349870 2332910 ) L1M1_PR_MR
NEW met1 ( 1349870 2332910 ) M1M2_PR
NEW met1 ( 2899150 765850 ) M1M2_PR
NEW met2 ( 2899150 763300 ) M2M3_PR_M
NEW met1 ( 2273550 765850 ) M1M2_PR
NEW met1 ( 2273550 2327810 ) M1M2_PR
NEW met1 ( 1349870 2332910 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) + USE SIGNAL
+ ROUTED li1 ( 1364130 2328150 ) ( * 2332910 )
NEW met2 ( 1364130 2332060 0 ) ( * 2332910 )
NEW met2 ( 2900990 962540 ) ( * 965770 )
NEW met3 ( 2900990 962540 ) ( 2917780 * 0 )
NEW met1 ( 1364130 2328150 ) ( 2287350 * )
NEW met1 ( 2287350 965770 ) ( 2900990 * )
NEW met2 ( 2287350 965770 ) ( * 2328150 )
NEW li1 ( 1364130 2328150 ) L1M1_PR_MR
NEW li1 ( 1364130 2332910 ) L1M1_PR_MR
NEW met1 ( 1364130 2332910 ) M1M2_PR
NEW met1 ( 2900990 965770 ) M1M2_PR
NEW met2 ( 2900990 962540 ) M2M3_PR_M
NEW met1 ( 2287350 965770 ) M1M2_PR
NEW met1 ( 2287350 2328150 ) M1M2_PR
NEW met1 ( 1364130 2332910 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) + USE SIGNAL
+ ROUTED li1 ( 1378390 2327130 ) ( * 2332910 )
NEW met2 ( 1378390 2332060 0 ) ( * 2332910 )
NEW met2 ( 2900990 1161780 ) ( * 1166030 )
NEW met3 ( 2900990 1161780 ) ( 2917780 * 0 )
NEW met2 ( 2308050 1166030 ) ( * 2327130 )
NEW met1 ( 1378390 2327130 ) ( 2308050 * )
NEW met1 ( 2308050 1166030 ) ( 2900990 * )
NEW li1 ( 1378390 2327130 ) L1M1_PR_MR
NEW li1 ( 1378390 2332910 ) L1M1_PR_MR
NEW met1 ( 1378390 2332910 ) M1M2_PR
NEW met1 ( 2308050 1166030 ) M1M2_PR
NEW met1 ( 2308050 2327130 ) M1M2_PR
NEW met1 ( 2900990 1166030 ) M1M2_PR
NEW met2 ( 2900990 1161780 ) M2M3_PR_M
NEW met1 ( 1378390 2332910 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) + USE SIGNAL
+ ROUTED met2 ( 2899610 1361020 ) ( * 1365950 )
NEW met3 ( 2899610 1361020 ) ( 2917780 * 0 )
NEW met2 ( 2321850 1365950 ) ( * 2327470 )
NEW li1 ( 1392650 2327470 ) ( * 2332910 )
NEW met2 ( 1392650 2332060 0 ) ( * 2332910 )
NEW met1 ( 1392650 2327470 ) ( 2321850 * )
NEW met1 ( 2321850 1365950 ) ( 2899610 * )
NEW met1 ( 2321850 1365950 ) M1M2_PR
NEW met1 ( 2321850 2327470 ) M1M2_PR
NEW met1 ( 2899610 1365950 ) M1M2_PR
NEW met2 ( 2899610 1361020 ) M2M3_PR_M
NEW li1 ( 1392650 2327470 ) L1M1_PR_MR
NEW li1 ( 1392650 2332910 ) L1M1_PR_MR
NEW met1 ( 1392650 2332910 ) M1M2_PR
NEW met1 ( 1392650 2332910 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) + USE SIGNAL
+ ROUTED met2 ( 2328750 1628090 ) ( * 2348210 )
NEW met2 ( 2899610 1626220 ) ( * 1628090 )
NEW met3 ( 2899610 1626220 ) ( 2917780 * 0 )
NEW met2 ( 1406910 2332060 0 ) ( * 2348210 )
NEW met1 ( 1406910 2348210 ) ( 2328750 * )
NEW met1 ( 2328750 1628090 ) ( 2899610 * )
NEW met1 ( 2328750 2348210 ) M1M2_PR
NEW met1 ( 2328750 1628090 ) M1M2_PR
NEW met1 ( 2899610 1628090 ) M1M2_PR
NEW met2 ( 2899610 1626220 ) M2M3_PR_M
NEW met1 ( 1406910 2348210 ) M1M2_PR ;
- io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) + USE SIGNAL
+ ROUTED met2 ( 2335650 1897370 ) ( * 2328490 )
NEW met2 ( 2900990 1892100 ) ( * 1897370 )
NEW met3 ( 2900990 1892100 ) ( 2917780 * 0 )
NEW li1 ( 1421170 2328490 ) ( * 2332910 )
NEW met2 ( 1421170 2332060 0 ) ( * 2332910 )
NEW met1 ( 1421170 2328490 ) ( 2335650 * )
NEW met1 ( 2335650 1897370 ) ( 2900990 * )
NEW met1 ( 2335650 2328490 ) M1M2_PR
NEW met1 ( 2335650 1897370 ) M1M2_PR
NEW met1 ( 2900990 1897370 ) M1M2_PR
NEW met2 ( 2900990 1892100 ) M2M3_PR_M
NEW li1 ( 1421170 2328490 ) L1M1_PR_MR
NEW li1 ( 1421170 2332910 ) L1M1_PR_MR
NEW met1 ( 1421170 2332910 ) M1M2_PR
NEW met1 ( 1421170 2332910 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) + USE SIGNAL
+ ROUTED met2 ( 1435430 2328830 ) ( * 2329340 0 )
NEW met2 ( 2900990 2157980 ) ( * 2159510 )
NEW met3 ( 2900990 2157980 ) ( 2917780 * 0 )
NEW met2 ( 2342550 2159510 ) ( * 2328830 )
NEW met1 ( 1435430 2328830 ) ( 2342550 * )
NEW met1 ( 2342550 2159510 ) ( 2900990 * )
NEW met1 ( 1435430 2328830 ) M1M2_PR
NEW met1 ( 2342550 2159510 ) M1M2_PR
NEW met1 ( 2342550 2328830 ) M1M2_PR
NEW met1 ( 2900990 2159510 ) M1M2_PR
NEW met2 ( 2900990 2157980 ) M2M3_PR_M ;
- io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 98940 ) ( * 103190 )
NEW met3 ( 2900070 98940 ) ( 2917780 * 0 )
NEW met4 ( 1325260 2326620 ) ( * 2330020 )
NEW met3 ( 1313530 2330020 ) ( 1325260 * )
NEW met2 ( 1311690 2330020 0 ) ( 1313530 * )
NEW met3 ( 1325260 2326620 ) ( 2356350 * )
NEW met1 ( 2356350 103190 ) ( 2900070 * )
NEW met2 ( 2356350 103190 ) ( * 2326620 )
NEW met1 ( 2900070 103190 ) M1M2_PR
NEW met2 ( 2900070 98940 ) M2M3_PR_M
NEW met3 ( 1325260 2326620 ) M3M4_PR_M
NEW met3 ( 1325260 2330020 ) M3M4_PR_M
NEW met2 ( 1313530 2330020 ) M2M3_PR_M
NEW met1 ( 2356350 103190 ) M1M2_PR
NEW met2 ( 2356350 2326620 ) M2M3_PR_M ;
- io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) + USE SIGNAL
+ ROUTED met2 ( 1454290 2332060 0 ) ( * 2352970 )
NEW met2 ( 2900070 2352970 ) ( * 2357220 )
NEW met3 ( 2900070 2357220 ) ( 2917780 * 0 )
NEW met1 ( 1454290 2352970 ) ( 2900070 * )
NEW met1 ( 1454290 2352970 ) M1M2_PR
NEW met1 ( 2900070 2352970 ) M1M2_PR
NEW met2 ( 2900070 2357220 ) M2M3_PR_M ;
- io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) + USE SIGNAL
+ ROUTED met2 ( 1468550 2332060 0 ) ( 1469010 * )
NEW met2 ( 2900990 2622250 ) ( * 2622420 )
NEW met3 ( 2900990 2622420 ) ( 2917780 * 0 )
NEW met2 ( 1469010 2332060 ) ( * 2622250 )
NEW met1 ( 1469010 2622250 ) ( 2900990 * )
NEW met1 ( 1469010 2622250 ) M1M2_PR
NEW met1 ( 2900990 2622250 ) M1M2_PR
NEW met2 ( 2900990 2622420 ) M2M3_PR_M ;
- io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2884390 ) ( * 2888300 )
NEW met3 ( 2900990 2888300 ) ( 2917780 * 0 )
NEW met2 ( 1482810 2332060 0 ) ( * 2884390 )
NEW met1 ( 1482810 2884390 ) ( 2900990 * )
NEW met1 ( 1482810 2884390 ) M1M2_PR
NEW met1 ( 2900990 2884390 ) M1M2_PR
NEW met2 ( 2900990 2888300 ) M2M3_PR_M ;
- io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3153330 ) ( * 3154180 )
NEW met3 ( 2900990 3154180 ) ( 2917780 * 0 )
NEW met2 ( 1496610 2332060 ) ( 1497070 * 0 )
NEW met2 ( 1496610 2332060 ) ( * 3153330 )
NEW met1 ( 1496610 3153330 ) ( 2900990 * )
NEW met1 ( 2900990 3153330 ) M1M2_PR
NEW met2 ( 2900990 3154180 ) M2M3_PR_M
NEW met1 ( 1496610 3153330 ) M1M2_PR ;
- io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3416150 ) ( * 3419380 )
NEW met3 ( 2900990 3419380 ) ( 2917780 * 0 )
NEW met2 ( 1511330 2332060 0 ) ( 1513630 * )
NEW met2 ( 1513630 2401200 ) ( 1517770 * )
NEW met2 ( 1513630 2332060 ) ( * 2401200 )
NEW met2 ( 1517770 2401200 ) ( * 3416150 )
NEW met1 ( 1517770 3416150 ) ( 2900990 * )
NEW met1 ( 2900990 3416150 ) M1M2_PR
NEW met2 ( 2900990 3419380 ) M2M3_PR_M
NEW met1 ( 1517770 3416150 ) M1M2_PR ;
- io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 2717450 3502850 ) ( * 3517980 0 )
NEW met2 ( 1525590 2332060 0 ) ( 1527430 * )
NEW met1 ( 1531570 3502850 ) ( 2717450 * )
NEW met2 ( 1527430 2401200 ) ( 1531570 * )
NEW met2 ( 1527430 2332060 ) ( * 2401200 )
NEW met2 ( 1531570 2401200 ) ( * 3502850 )
NEW met1 ( 2717450 3502850 ) M1M2_PR
NEW met1 ( 1531570 3502850 ) M1M2_PR ;
- io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) + USE SIGNAL
+ ROUTED met2 ( 1539850 2332060 0 ) ( 1542150 * )
NEW met2 ( 1542150 2332060 ) ( * 2352900 )
NEW met2 ( 1542150 2352900 ) ( 1544910 * )
NEW met2 ( 1544910 2352900 ) ( * 3504210 )
NEW met1 ( 1544910 3504210 ) ( 2392690 * )
NEW met2 ( 2392690 3504210 ) ( * 3517980 0 )
NEW met1 ( 1544910 3504210 ) M1M2_PR
NEW met1 ( 2392690 3504210 ) M1M2_PR ;
- io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) + USE SIGNAL
+ ROUTED met2 ( 1554110 2332060 0 ) ( 1555950 * )
NEW met2 ( 1555950 2401200 ) ( 1559170 * )
NEW met2 ( 1555950 2332060 ) ( * 2401200 )
NEW met2 ( 1559170 2401200 ) ( * 3500810 )
NEW met1 ( 1559170 3500810 ) ( 2068390 * )
NEW met2 ( 2068390 3500810 ) ( * 3517980 0 )
NEW met1 ( 1559170 3500810 ) M1M2_PR
NEW met1 ( 2068390 3500810 ) M1M2_PR ;
- io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) + USE SIGNAL
+ ROUTED met2 ( 1568370 2332060 0 ) ( 1570670 * )
NEW met2 ( 1570670 2401200 ) ( 1572970 * )
NEW met2 ( 1570670 2332060 ) ( * 2401200 )
NEW met2 ( 1572970 2401200 ) ( * 3499450 )
NEW met2 ( 1744090 3499450 ) ( * 3517980 0 )
NEW met1 ( 1572970 3499450 ) ( 1744090 * )
NEW met1 ( 1572970 3499450 ) M1M2_PR
NEW met1 ( 1744090 3499450 ) M1M2_PR ;
- io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) + USE SIGNAL
+ ROUTED met2 ( 1580330 2332060 ) ( 1582630 * 0 )
NEW met1 ( 1419330 3499110 ) ( 1580330 * )
NEW met2 ( 1419330 3499110 ) ( * 3517980 0 )
NEW met2 ( 1580330 2332060 ) ( * 3499110 )
NEW met1 ( 1419330 3499110 ) M1M2_PR
NEW met1 ( 1580330 3499110 ) M1M2_PR ;
- io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 298180 ) ( * 303450 )
NEW met3 ( 2900990 298180 ) ( 2917780 * 0 )
NEW li1 ( 1325950 2326450 ) ( * 2332910 )
NEW met2 ( 1325950 2332060 0 ) ( * 2332910 )
NEW met1 ( 1325950 2326450 ) ( 2363250 * )
NEW met1 ( 2363250 303450 ) ( 2900990 * )
NEW met2 ( 2363250 303450 ) ( * 2326450 )
NEW met1 ( 2900990 303450 ) M1M2_PR
NEW met2 ( 2900990 298180 ) M2M3_PR_M
NEW li1 ( 1325950 2326450 ) L1M1_PR_MR
NEW li1 ( 1325950 2332910 ) L1M1_PR_MR
NEW met1 ( 1325950 2332910 ) M1M2_PR
NEW met1 ( 2363250 303450 ) M1M2_PR
NEW met1 ( 2363250 2326450 ) M1M2_PR
NEW met1 ( 1325950 2332910 ) RECT ( -355 -70 0 70 ) ;
- io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) + USE SIGNAL
+ ROUTED met2 ( 1095030 3500470 ) ( * 3517980 0 )
NEW met2 ( 1595050 2332060 ) ( 1596890 * 0 )
NEW met1 ( 1095030 3500470 ) ( 1594130 * )
NEW met2 ( 1594130 2401200 ) ( 1595050 * )
NEW met2 ( 1595050 2332060 ) ( * 2401200 )
NEW met2 ( 1594130 2401200 ) ( * 3500470 )
NEW met1 ( 1095030 3500470 ) M1M2_PR
NEW met1 ( 1594130 3500470 ) M1M2_PR ;
- io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) + USE SIGNAL
+ ROUTED met2 ( 770730 3504550 ) ( * 3517980 0 )
NEW met2 ( 1608850 2332060 ) ( 1611150 * 0 )
NEW met1 ( 770730 3504550 ) ( 1607930 * )
NEW met2 ( 1607930 2401200 ) ( 1608850 * )
NEW met2 ( 1608850 2332060 ) ( * 2401200 )
NEW met2 ( 1607930 2401200 ) ( * 3504550 )
NEW met1 ( 770730 3504550 ) M1M2_PR
NEW met1 ( 1607930 3504550 ) M1M2_PR ;
- io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) + USE SIGNAL
+ ROUTED met2 ( 1623570 2332060 ) ( 1625410 * 0 )
NEW met1 ( 445970 3503190 ) ( 1621730 * )
NEW met2 ( 445970 3503190 ) ( * 3517980 0 )
NEW met2 ( 1621730 2401200 ) ( 1623570 * )
NEW met2 ( 1623570 2332060 ) ( * 2401200 )
NEW met2 ( 1621730 2401200 ) ( * 3503190 )
NEW met1 ( 445970 3503190 ) M1M2_PR
NEW met1 ( 1621730 3503190 ) M1M2_PR ;
- io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) + USE SIGNAL
+ ROUTED met2 ( 1637370 2332060 ) ( 1639670 * 0 )
NEW met2 ( 121670 3501830 ) ( * 3517980 0 )
NEW met2 ( 1635530 2401200 ) ( 1637370 * )
NEW met2 ( 1637370 2332060 ) ( * 2401200 )
NEW met2 ( 1635530 2401200 ) ( * 3501830 )
NEW met1 ( 121670 3501830 ) ( 1635530 * )
NEW met1 ( 121670 3501830 ) M1M2_PR
NEW met1 ( 1635530 3501830 ) M1M2_PR ;
- io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) + USE SIGNAL
+ ROUTED met2 ( 1652550 2332060 ) ( 1653930 * 0 )
NEW met2 ( 1652550 2332060 ) ( * 2339030 )
NEW met1 ( 1649330 2339030 ) ( 1652550 * )
NEW met3 ( 1380 3356140 0 ) ( 17710 * )
NEW met2 ( 17710 3353590 ) ( * 3356140 )
NEW met2 ( 1649330 2339030 ) ( * 3353590 )
NEW met1 ( 17710 3353590 ) ( 1649330 * )
NEW met1 ( 1652550 2339030 ) M1M2_PR
NEW met1 ( 1649330 2339030 ) M1M2_PR
NEW met2 ( 17710 3356140 ) M2M3_PR_M
NEW met1 ( 17710 3353590 ) M1M2_PR
NEW met1 ( 1649330 3353590 ) M1M2_PR ;
- io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) + USE SIGNAL
+ ROUTED met3 ( 1380 3095700 0 ) ( 15870 * )
NEW met2 ( 15870 3091450 ) ( * 3095700 )
NEW met2 ( 1666350 2332060 ) ( 1668190 * 0 )
NEW met2 ( 1666350 2332060 ) ( * 2339030 )
NEW met1 ( 1663130 2339030 ) ( 1666350 * )
NEW met2 ( 1663130 2339030 ) ( * 3091450 )
NEW met1 ( 15870 3091450 ) ( 1663130 * )
NEW met2 ( 15870 3095700 ) M2M3_PR_M
NEW met1 ( 15870 3091450 ) M1M2_PR
NEW met1 ( 1666350 2339030 ) M1M2_PR
NEW met1 ( 1663130 2339030 ) M1M2_PR
NEW met1 ( 1663130 3091450 ) M1M2_PR ;
- io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2834580 0 ) ( 16330 * )
NEW met2 ( 16330 2829310 ) ( * 2834580 )
NEW met1 ( 16330 2829310 ) ( 58650 * )
NEW met2 ( 58650 2363510 ) ( * 2829310 )
NEW met1 ( 58650 2363510 ) ( 1682450 * )
NEW met2 ( 1682450 2332060 0 ) ( * 2363510 )
NEW met2 ( 16330 2834580 ) M2M3_PR_M
NEW met1 ( 16330 2829310 ) M1M2_PR
NEW met1 ( 58650 2829310 ) M1M2_PR
NEW met1 ( 58650 2363510 ) M1M2_PR
NEW met1 ( 1682450 2363510 ) M1M2_PR ;
- io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2574140 0 ) ( 17250 * )
NEW met2 ( 17250 2573970 ) ( * 2574140 )
NEW met1 ( 17250 2573970 ) ( 72450 * )
NEW met2 ( 72450 2363170 ) ( * 2573970 )
NEW met1 ( 72450 2363170 ) ( 1696710 * )
NEW met2 ( 1696710 2332060 0 ) ( * 2363170 )
NEW met2 ( 17250 2574140 ) M2M3_PR_M
NEW met1 ( 17250 2573970 ) M1M2_PR
NEW met1 ( 72450 2363170 ) M1M2_PR
NEW met1 ( 72450 2573970 ) M1M2_PR
NEW met1 ( 1696710 2363170 ) M1M2_PR ;
- io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2313020 0 ) ( 17250 * )
NEW met2 ( 17250 2313020 ) ( * 2318290 )
NEW met2 ( 883430 2318290 ) ( * 2329170 )
NEW met1 ( 17250 2318290 ) ( 883430 * )
NEW met2 ( 1710970 2329170 ) ( * 2329340 0 )
NEW met1 ( 883430 2329170 ) ( 1710970 * )
NEW met2 ( 17250 2313020 ) M2M3_PR_M
NEW met1 ( 17250 2318290 ) M1M2_PR
NEW met1 ( 883430 2318290 ) M1M2_PR
NEW met1 ( 883430 2329170 ) M1M2_PR
NEW met1 ( 1710970 2329170 ) M1M2_PR ;
- io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL
+ ROUTED met3 ( 1380 2052580 0 ) ( 14950 * )
NEW met2 ( 14950 2052580 ) ( * 2056150 )
NEW met2 ( 1259710 2056150 ) ( * 2331890 )
NEW met1 ( 14950 2056150 ) ( 1259710 * )
NEW met2 ( 1724770 2331890 ) ( * 2332060 )
NEW met1 ( 1259710 2331890 ) ( 1724770 * )
NEW met2 ( 1724770 2332060 ) ( 1725230 * 0 )
NEW met2 ( 14950 2052580 ) M2M3_PR_M
NEW met1 ( 14950 2056150 ) M1M2_PR
NEW met1 ( 1259710 2056150 ) M1M2_PR
NEW met1 ( 1259710 2331890 ) M1M2_PR
NEW met1 ( 1724770 2331890 ) M1M2_PR ;
- io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL
+ ROUTED li1 ( 1340210 2326790 ) ( * 2332910 )
NEW met2 ( 1340210 2332060 0 ) ( * 2332910 )
NEW met2 ( 2900990 497420 ) ( * 503370 )
NEW met3 ( 2900990 497420 ) ( 2917780 * 0 )
NEW met1 ( 1340210 2326790 ) ( 2377050 * )
NEW met1 ( 2377050 503370 ) ( 2900990 * )
NEW met2 ( 2377050 503370 ) ( * 2326790 )
NEW li1 ( 1340210 2326790 ) L1M1_PR_MR
NEW li1 ( 1340210 2332910 ) L1M1_PR_MR
NEW met1 ( 1340210 2332910 ) M1M2_PR
NEW met1 ( 2900990 503370 ) M1M2_PR
NEW met2 ( 2900990 497420 ) M2M3_PR_M
NEW met1 ( 2377050 503370 ) M1M2_PR
NEW met1 ( 2377050 2326790 ) M1M2_PR
NEW met1 ( 1340210 2332910 ) RECT ( -355 -70 0 70 ) ;
- io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL
+ ROUTED li1 ( 1739030 2325770 ) ( * 2331550 )
NEW met2 ( 1739030 2331380 ) ( * 2331550 )
NEW met2 ( 1739030 2331380 ) ( 1739490 * 0 )
NEW met3 ( 1380 1792140 0 ) ( 14030 * )
NEW met2 ( 14030 1792140 ) ( * 1792310 )
NEW met1 ( 14030 1792310 ) ( 24150 * )
NEW met2 ( 24150 1792310 ) ( * 2325770 )
NEW met1 ( 24150 2325770 ) ( 1739030 * )
NEW met1 ( 24150 2325770 ) M1M2_PR
NEW li1 ( 1739030 2325770 ) L1M1_PR_MR
NEW li1 ( 1739030 2331550 ) L1M1_PR_MR
NEW met1 ( 1739030 2331550 ) M1M2_PR
NEW met2 ( 14030 1792140 ) M2M3_PR_M
NEW met1 ( 14030 1792310 ) M1M2_PR
NEW met1 ( 24150 1792310 ) M1M2_PR
NEW met1 ( 1739030 2331550 ) RECT ( -355 -70 0 70 ) ;
- io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) + USE SIGNAL
+ ROUTED met2 ( 1752830 2330530 ) ( * 2330700 )
NEW met2 ( 1752830 2330700 ) ( 1753750 * 0 )
NEW met3 ( 1380 1531020 0 ) ( 17710 * )
NEW met2 ( 17710 1531020 ) ( * 1531530 )
NEW met1 ( 1101010 2330530 ) ( 1752830 * )
NEW met1 ( 17710 1531530 ) ( 1101010 * )
NEW met2 ( 1101010 1531530 ) ( * 2330530 )
NEW met1 ( 1752830 2330530 ) M1M2_PR
NEW met2 ( 17710 1531020 ) M2M3_PR_M
NEW met1 ( 17710 1531530 ) M1M2_PR
NEW met1 ( 1101010 2330530 ) M1M2_PR
NEW met1 ( 1101010 1531530 ) M1M2_PR ;
- io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1270580 0 ) ( 15870 * )
NEW met2 ( 15870 1270580 ) ( * 1276190 )
NEW met2 ( 1768010 2332060 0 ) ( * 2339370 )
NEW met2 ( 196650 1276190 ) ( * 2339370 )
NEW met1 ( 15870 1276190 ) ( 196650 * )
NEW met1 ( 196650 2339370 ) ( 1768010 * )
NEW met2 ( 15870 1270580 ) M2M3_PR_M
NEW met1 ( 15870 1276190 ) M1M2_PR
NEW met1 ( 196650 1276190 ) M1M2_PR
NEW met1 ( 196650 2339370 ) M1M2_PR
NEW met1 ( 1768010 2339370 ) M1M2_PR ;
- io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) + USE SIGNAL
+ ROUTED met3 ( 1380 1009460 0 ) ( 15410 * )
NEW met2 ( 15410 1009460 ) ( * 1014050 )
NEW li1 ( 1780430 2325430 ) ( * 2330530 )
NEW met2 ( 1780430 2330530 ) ( * 2330700 )
NEW met2 ( 1780430 2330700 ) ( 1782270 * 0 )
NEW met1 ( 58650 2325430 ) ( 1780430 * )
NEW met1 ( 15410 1014050 ) ( 58650 * )
NEW met2 ( 58650 1014050 ) ( * 2325430 )
NEW met2 ( 15410 1009460 ) M2M3_PR_M
NEW met1 ( 15410 1014050 ) M1M2_PR
NEW met1 ( 58650 2325430 ) M1M2_PR
NEW li1 ( 1780430 2325430 ) L1M1_PR_MR
NEW li1 ( 1780430 2330530 ) L1M1_PR_MR
NEW met1 ( 1780430 2330530 ) M1M2_PR
NEW met1 ( 58650 1014050 ) M1M2_PR
NEW met1 ( 1780430 2330530 ) RECT ( -355 -70 0 70 ) ;
- io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) + USE SIGNAL
+ ROUTED met3 ( 1380 749020 0 ) ( 17710 * )
NEW met2 ( 17710 749020 ) ( * 751910 )
NEW met2 ( 1795150 2330020 ) ( * 2330190 )
NEW met2 ( 1795150 2330020 ) ( 1796530 * 0 )
NEW met1 ( 1114350 2330190 ) ( 1795150 * )
NEW met1 ( 17710 751910 ) ( 1114350 * )
NEW met2 ( 1114350 751910 ) ( * 2330190 )
NEW met2 ( 17710 749020 ) M2M3_PR_M
NEW met1 ( 17710 751910 ) M1M2_PR
NEW met1 ( 1114350 2330190 ) M1M2_PR
NEW met1 ( 1795150 2330190 ) M1M2_PR
NEW met1 ( 1114350 751910 ) M1M2_PR ;
- io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) + USE SIGNAL
+ ROUTED met3 ( 1380 487900 0 ) ( 17710 * )
NEW met2 ( 17710 487900 ) ( * 489770 )
NEW met1 ( 17710 489770 ) ( 1121250 * )
NEW met1 ( 1795610 2329850 ) ( * 2330190 )
NEW met1 ( 1795610 2330190 ) ( 1808950 * )
NEW met2 ( 1808950 2330020 ) ( * 2330190 )
NEW met2 ( 1808950 2330020 ) ( 1810790 * 0 )
NEW met1 ( 1121250 2329850 ) ( 1795610 * )
NEW met2 ( 1121250 489770 ) ( * 2329850 )
NEW met2 ( 17710 487900 ) M2M3_PR_M
NEW met1 ( 17710 489770 ) M1M2_PR
NEW met1 ( 1121250 489770 ) M1M2_PR
NEW met1 ( 1121250 2329850 ) M1M2_PR
NEW met1 ( 1808950 2330190 ) M1M2_PR ;
- io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) + USE SIGNAL
+ ROUTED met3 ( 1380 292740 0 ) ( 15410 * )
NEW met2 ( 15410 292740 ) ( * 296650 )
NEW met2 ( 1823670 2330020 ) ( 1825050 * 0 )
NEW met1 ( 15410 296650 ) ( 1135050 * )
NEW met3 ( 1821600 2330020 ) ( 1823670 * )
NEW met3 ( 1135050 2327980 ) ( 1773300 * )
NEW met3 ( 1773300 2327980 ) ( * 2330700 )
NEW met3 ( 1773300 2330700 ) ( 1821600 * )
NEW met3 ( 1821600 2330020 ) ( * 2330700 )
NEW met2 ( 1135050 296650 ) ( * 2327980 )
NEW met2 ( 15410 292740 ) M2M3_PR_M
NEW met1 ( 15410 296650 ) M1M2_PR
NEW met2 ( 1823670 2330020 ) M2M3_PR_M
NEW met1 ( 1135050 296650 ) M1M2_PR
NEW met2 ( 1135050 2327980 ) M2M3_PR_M ;
- io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) + USE SIGNAL
+ ROUTED met3 ( 1380 96900 0 ) ( 17250 * )
NEW met2 ( 1839310 2332060 0 ) ( * 2346170 )
NEW met2 ( 17250 96900 ) ( * 2256300 )
NEW met2 ( 17250 2256300 ) ( 17710 * )
NEW met2 ( 17710 2256300 ) ( * 2346170 )
NEW met1 ( 17710 2346170 ) ( 1839310 * )
NEW met2 ( 17250 96900 ) M2M3_PR_M
NEW met1 ( 17710 2346170 ) M1M2_PR
NEW met1 ( 1839310 2346170 ) M1M2_PR ;
- io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) + USE SIGNAL
+ ROUTED met2 ( 1354470 2332060 0 ) ( * 2341580 )
NEW met2 ( 1745470 2331550 ) ( * 2341580 )
NEW li1 ( 1745470 2329170 ) ( * 2331550 )
NEW met3 ( 2901450 696660 ) ( 2917780 * 0 )
NEW met2 ( 2901450 696660 ) ( * 2329170 )
NEW met3 ( 1354470 2341580 ) ( 1745470 * )
NEW met1 ( 1745470 2329170 ) ( 2901450 * )
NEW met2 ( 1354470 2341580 ) M2M3_PR_M
NEW met2 ( 1745470 2341580 ) M2M3_PR_M
NEW li1 ( 1745470 2331550 ) L1M1_PR_MR
NEW met1 ( 1745470 2331550 ) M1M2_PR
NEW li1 ( 1745470 2329170 ) L1M1_PR_MR
NEW met2 ( 2901450 696660 ) M2M3_PR_M
NEW met1 ( 2901450 2329170 ) M1M2_PR
NEW met1 ( 1745470 2331550 ) RECT ( -355 -70 0 70 ) ;
- io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) + USE SIGNAL
+ ROUTED met2 ( 1368730 2332060 0 ) ( * 2333590 )
NEW met2 ( 2900070 895900 ) ( * 896750 )
NEW met3 ( 2900070 895900 ) ( 2917780 * 0 )
NEW li1 ( 1421630 2332910 ) ( * 2333590 )
NEW met1 ( 1368730 2333590 ) ( 1421630 * )
NEW met1 ( 1421630 2332910 ) ( 2397750 * )
NEW met1 ( 2397750 896750 ) ( 2900070 * )
NEW met2 ( 2397750 896750 ) ( * 2332910 )
NEW met1 ( 1368730 2333590 ) M1M2_PR
NEW met1 ( 2900070 896750 ) M1M2_PR
NEW met2 ( 2900070 895900 ) M2M3_PR_M
NEW li1 ( 1421630 2333590 ) L1M1_PR_MR
NEW li1 ( 1421630 2332910 ) L1M1_PR_MR
NEW met1 ( 2397750 896750 ) M1M2_PR
NEW met1 ( 2397750 2332910 ) M1M2_PR ;
- io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) + USE SIGNAL
+ ROUTED met2 ( 1382990 2332060 0 ) ( * 2333250 )
NEW met2 ( 2899610 1095140 ) ( * 1097010 )
NEW met3 ( 2899610 1095140 ) ( 2917780 * 0 )
NEW met2 ( 2411550 1097010 ) ( * 2333250 )
NEW met1 ( 1382990 2333250 ) ( 2411550 * )
NEW met1 ( 2411550 1097010 ) ( 2899610 * )
NEW met1 ( 1382990 2333250 ) M1M2_PR
NEW met1 ( 2411550 1097010 ) M1M2_PR
NEW met1 ( 2411550 2333250 ) M1M2_PR
NEW met1 ( 2899610 1097010 ) M1M2_PR
NEW met2 ( 2899610 1095140 ) M2M3_PR_M ;
- io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 2418450 1296930 ) ( * 2333590 )
NEW met2 ( 2899150 1294380 ) ( * 1296930 )
NEW met3 ( 2899150 1294380 ) ( 2917780 * 0 )
NEW met2 ( 1397250 2332060 0 ) ( * 2334270 )
NEW met1 ( 1397250 2334270 ) ( 1422090 * )
NEW li1 ( 1422090 2333590 ) ( * 2334270 )
NEW met1 ( 1422090 2333590 ) ( 2418450 * )
NEW met1 ( 2418450 1296930 ) ( 2899150 * )
NEW met1 ( 2418450 2333590 ) M1M2_PR
NEW met1 ( 2418450 1296930 ) M1M2_PR
NEW met1 ( 2899150 1296930 ) M1M2_PR
NEW met2 ( 2899150 1294380 ) M2M3_PR_M
NEW met1 ( 1397250 2334270 ) M1M2_PR
NEW li1 ( 1422090 2334270 ) L1M1_PR_MR
NEW li1 ( 1422090 2333590 ) L1M1_PR_MR ;
- io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1560260 ) ( * 1566210 )
NEW met3 ( 2900990 1560260 ) ( 2917780 * 0 )
NEW met2 ( 2425350 1566210 ) ( * 2333930 )
NEW met2 ( 1411510 2332060 0 ) ( * 2333930 )
NEW met1 ( 1411510 2333930 ) ( 2425350 * )
NEW met1 ( 2425350 1566210 ) ( 2900990 * )
NEW met1 ( 2425350 1566210 ) M1M2_PR
NEW met1 ( 2425350 2333930 ) M1M2_PR
NEW met1 ( 2900990 1566210 ) M1M2_PR
NEW met2 ( 2900990 1560260 ) M2M3_PR_M
NEW met1 ( 1411510 2333930 ) M1M2_PR ;
- io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1825460 ) ( * 1828350 )
NEW met3 ( 2900990 1825460 ) ( 2917780 * 0 )
NEW met2 ( 2432250 1828350 ) ( * 2334270 )
NEW met2 ( 1425770 2332060 0 ) ( * 2334270 )
NEW met1 ( 1425770 2334270 ) ( 2432250 * )
NEW met1 ( 2432250 1828350 ) ( 2900990 * )
NEW met1 ( 2432250 1828350 ) M1M2_PR
NEW met1 ( 2432250 2334270 ) M1M2_PR
NEW met1 ( 2900990 1828350 ) M1M2_PR
NEW met2 ( 2900990 1825460 ) M2M3_PR_M
NEW met1 ( 1425770 2334270 ) M1M2_PR ;
- io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) + USE SIGNAL
+ ROUTED met2 ( 1440030 2332060 0 ) ( * 2334610 )
NEW met2 ( 2446050 2097290 ) ( * 2334610 )
NEW met2 ( 2900990 2091340 ) ( * 2097290 )
NEW met3 ( 2900990 2091340 ) ( 2917780 * 0 )
NEW met1 ( 1440030 2334610 ) ( 2446050 * )
NEW met1 ( 2446050 2097290 ) ( 2900990 * )
NEW met1 ( 1440030 2334610 ) M1M2_PR
NEW met1 ( 2446050 2334610 ) M1M2_PR
NEW met1 ( 2446050 2097290 ) M1M2_PR
NEW met1 ( 2900990 2097290 ) M1M2_PR
NEW met2 ( 2900990 2091340 ) M2M3_PR_M ;
- la_data_in[0] ( PIN la_data_in[0] ) + USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) + USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) + USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) + USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) + USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) + USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) + USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) + USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) + USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) + USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) + USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) + USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) + USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) + USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) + USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) + USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) + USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) + USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) + USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) + USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) + USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) + USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) + USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) + USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) + USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) + USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) + USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) + USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) + USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) + USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) + USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) + USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) + USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) + USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) + USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) + USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) + USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) + USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) + USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) + USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) + USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) + USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) + USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) + USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) + USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) + USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) + USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) + USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) + USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) + USE SIGNAL ;
- o_addr1\[0\] ( sram addr1[0] ) ( mprj o_addr1[0] ) + USE SIGNAL
+ ROUTED met2 ( 1862770 2332060 0 ) ( * 2345830 )
NEW met3 ( 898380 1428340 ) ( 903670 * )
NEW met4 ( 898380 1419500 ) ( * 1428340 )
NEW met4 ( 898380 1419500 ) ( 898590 * )
NEW met4 ( 898590 1416100 0 ) ( * 1419500 )
NEW met2 ( 903670 1428340 ) ( * 2337330 )
NEW met2 ( 1718330 2337330 ) ( * 2345830 )
NEW met1 ( 903670 2337330 ) ( 1718330 * )
NEW met1 ( 1718330 2345830 ) ( 1862770 * )
NEW met1 ( 903670 2337330 ) M1M2_PR
NEW met1 ( 1862770 2345830 ) M1M2_PR
NEW met2 ( 903670 1428340 ) M2M3_PR_M
NEW met3 ( 898380 1428340 ) M3M4_PR_M
NEW met1 ( 1718330 2337330 ) M1M2_PR
NEW met1 ( 1718330 2345830 ) M1M2_PR ;
- o_addr1\[1\] ( sram addr1[1] ) ( mprj o_addr1[1] ) + USE SIGNAL
+ ROUTED met2 ( 896770 1433100 ) ( * 1433610 )
NEW met3 ( 892860 1433100 ) ( 896770 * )
NEW met4 ( 892860 1419500 ) ( * 1433100 )
NEW met4 ( 892470 1419500 ) ( 892860 * )
NEW met4 ( 892470 1416100 0 ) ( * 1419500 )
NEW met3 ( 2121060 1590180 0 ) ( 2125890 * )
NEW met2 ( 2125890 1433610 ) ( * 1590180 )
NEW met1 ( 896770 1433610 ) ( 2125890 * )
NEW met1 ( 896770 1433610 ) M1M2_PR
NEW met2 ( 896770 1433100 ) M2M3_PR_M
NEW met3 ( 892860 1433100 ) M3M4_PR_M
NEW met1 ( 2125890 1433610 ) M1M2_PR
NEW met2 ( 2125890 1590180 ) M2M3_PR_M ;
- o_addr1\[2\] ( sram addr1[2] ) ( mprj o_addr1[2] ) + USE SIGNAL
+ ROUTED met3 ( 983020 1096750 0 ) ( 985780 * )
NEW met3 ( 985780 1096500 ) ( * 1096750 )
NEW met3 ( 985780 1096500 ) ( 999350 * )
NEW met2 ( 1283630 1545810 ) ( * 1550740 )
NEW met2 ( 999350 1096500 ) ( * 1545810 )
NEW met1 ( 999350 1545810 ) ( 1283630 * )
NEW met3 ( 1283630 1550740 ) ( 1300420 * 0 )
NEW met2 ( 999350 1096500 ) M2M3_PR_M
NEW met1 ( 999350 1545810 ) M1M2_PR
NEW met1 ( 1283630 1545810 ) M1M2_PR
NEW met2 ( 1283630 1550740 ) M2M3_PR_M ;
- o_addr1\[3\] ( sram addr1[3] ) ( mprj o_addr1[3] ) + USE SIGNAL
+ ROUTED met3 ( 983020 1088590 0 ) ( 985780 * )
NEW met3 ( 985780 1088340 ) ( * 1088590 )
NEW met3 ( 985780 1088340 ) ( 993830 * )
NEW met2 ( 993830 1088340 ) ( * 1089870 )
NEW met2 ( 1072950 1089870 ) ( * 2336990 )
NEW met1 ( 993830 1089870 ) ( 1072950 * )
NEW met2 ( 1915210 2332060 0 ) ( * 2336990 )
NEW met1 ( 1072950 2336990 ) ( 1915210 * )
NEW met2 ( 993830 1088340 ) M2M3_PR_M
NEW met1 ( 993830 1089870 ) M1M2_PR
NEW met1 ( 1072950 1089870 ) M1M2_PR
NEW met1 ( 1072950 2336990 ) M1M2_PR
NEW met1 ( 1915210 2336990 ) M1M2_PR ;
- o_addr1\[4\] ( sram addr1[4] ) ( mprj o_addr1[4] ) + USE SIGNAL
+ ROUTED met3 ( 983020 1081790 0 ) ( 985780 * )
NEW met3 ( 985780 1081540 ) ( * 1081790 )
NEW met3 ( 985780 1081540 ) ( 998890 * )
NEW met2 ( 1283630 1663110 ) ( * 1667700 )
NEW met2 ( 998890 1081540 ) ( * 1663110 )
NEW met1 ( 998890 1663110 ) ( 1283630 * )
NEW met3 ( 1283630 1667700 ) ( 1300420 * 0 )
NEW met2 ( 998890 1081540 ) M2M3_PR_M
NEW met1 ( 998890 1663110 ) M1M2_PR
NEW met1 ( 1283630 1663110 ) M1M2_PR
NEW met2 ( 1283630 1667700 ) M2M3_PR_M ;
- o_addr1\[5\] ( sram addr1[5] ) ( mprj o_addr1[5] ) + USE SIGNAL
+ ROUTED met3 ( 983020 1074310 0 ) ( 985780 * )
NEW met3 ( 985780 1074060 ) ( * 1074310 )
NEW met3 ( 985780 1074060 ) ( 993830 * )
NEW met2 ( 993830 1074060 ) ( * 1076270 )
NEW met2 ( 1939130 2332060 0 ) ( * 2338010 )
NEW met2 ( 1190250 1076270 ) ( * 2338010 )
NEW met1 ( 993830 1076270 ) ( 1190250 * )
NEW met1 ( 1190250 2338010 ) ( 1939130 * )
NEW met2 ( 993830 1074060 ) M2M3_PR_M
NEW met1 ( 993830 1076270 ) M1M2_PR
NEW met1 ( 1190250 1076270 ) M1M2_PR
NEW met1 ( 1190250 2338010 ) M1M2_PR
NEW met1 ( 1939130 2338010 ) M1M2_PR ;
- o_addr1\[6\] ( sram addr1[6] ) ( mprj o_addr1[6] ) + USE SIGNAL
+ ROUTED met3 ( 983020 1068190 0 ) ( 985780 * )
NEW met3 ( 985780 1067940 ) ( * 1068190 )
NEW met3 ( 985780 1067940 ) ( 998430 * )
NEW met2 ( 1283630 1738930 ) ( * 1740460 )
NEW met2 ( 998430 1067940 ) ( * 1738930 )
NEW met1 ( 998430 1738930 ) ( 1283630 * )
NEW met3 ( 1283630 1740460 ) ( 1300420 * 0 )
NEW met2 ( 998430 1067940 ) M2M3_PR_M
NEW met1 ( 998430 1738930 ) M1M2_PR
NEW met1 ( 1283630 1738930 ) M1M2_PR
NEW met2 ( 1283630 1740460 ) M2M3_PR_M ;
- o_addr1\[7\] ( sram addr1[7] ) ( mprj o_addr1[7] ) + USE SIGNAL
+ ROUTED met2 ( 1962590 2332060 0 ) ( * 2342090 )
NEW met1 ( 1948790 2342090 ) ( 1962590 * )
NEW met1 ( 1948790 2341750 ) ( * 2342090 )
NEW met2 ( 990610 993310 ) ( * 2341750 )
NEW met4 ( 916270 997900 ) ( 916780 * )
NEW met4 ( 916780 993140 ) ( * 997900 )
NEW met3 ( 916780 993140 ) ( 917010 * )
NEW met2 ( 917010 993140 ) ( * 993310 )
NEW met1 ( 917010 993310 ) ( 990610 * )
NEW met1 ( 990610 2341750 ) ( 1948790 * )
NEW met4 ( 916270 997900 ) ( * 1000500 )
NEW met4 ( 916270 1000500 ) ( * 1000530 0 )
NEW met1 ( 990610 993310 ) M1M2_PR
NEW met1 ( 990610 2341750 ) M1M2_PR
NEW met1 ( 1962590 2342090 ) M1M2_PR
NEW met3 ( 916780 993140 ) M3M4_PR_M
NEW met2 ( 917010 993140 ) M2M3_PR_M
NEW met1 ( 917010 993310 ) M1M2_PR
NEW met3 ( 916780 993140 ) RECT ( -390 -150 0 150 ) ;
- o_addr1\[8\] ( sram addr1[8] ) ( mprj o_addr1[8] ) + USE SIGNAL
+ ROUTED met2 ( 1955690 1486650 ) ( * 1500420 0 )
NEW met3 ( 916950 999260 ) ( 917010 * )
NEW met2 ( 917010 995350 ) ( * 999260 )
NEW met1 ( 917010 995350 ) ( 1907850 * )
NEW met4 ( 916950 999260 ) ( * 1000500 )
NEW met4 ( 916950 1000500 ) ( * 1000530 0 )
NEW met2 ( 1907850 995350 ) ( * 1486650 )
NEW met1 ( 1907850 1486650 ) ( 1955690 * )
NEW met1 ( 1955690 1486650 ) M1M2_PR
NEW met3 ( 916950 999260 ) M3M4_PR_M
NEW met2 ( 917010 999260 ) M2M3_PR_M
NEW met1 ( 917010 995350 ) M1M2_PR
NEW met1 ( 1907850 995350 ) M1M2_PR
NEW met1 ( 1907850 1486650 ) M1M2_PR
NEW met3 ( 916950 999260 ) RECT ( -560 -150 0 150 ) ;
- o_addr1_1\[0\] ( sram1 addr1[0] ) ( mprj o_addr1_1[0] ) + USE SIGNAL
+ ROUTED met4 ( 898590 2916010 0 ) ( * 2918900 )
NEW met4 ( 898380 2918900 ) ( 898590 * )
NEW met4 ( 898380 2918900 ) ( * 2925700 )
NEW met3 ( 898380 2925700 ) ( 898610 * )
NEW met2 ( 898610 2924850 ) ( * 2925700 )
NEW met3 ( 2121060 1517420 0 ) ( 2130490 * )
NEW met2 ( 2130490 1517420 ) ( * 2924850 )
NEW met1 ( 898610 2924850 ) ( 2130490 * )
NEW met3 ( 898380 2925700 ) M3M4_PR_M
NEW met2 ( 898610 2925700 ) M2M3_PR_M
NEW met1 ( 898610 2924850 ) M1M2_PR
NEW met1 ( 2130490 2924850 ) M1M2_PR
NEW met2 ( 2130490 1517420 ) M2M3_PR_M
NEW met3 ( 898380 2925700 ) RECT ( -390 -150 0 150 ) ;
- o_addr1_1\[1\] ( sram1 addr1[1] ) ( mprj o_addr1_1[1] ) + USE SIGNAL
+ ROUTED met4 ( 892470 2916010 0 ) ( * 2918900 )
NEW met4 ( 892470 2918900 ) ( 892860 * )
NEW met4 ( 892860 2918900 ) ( * 2925700 )
NEW met3 ( 892860 2925700 ) ( 896310 * )
NEW met2 ( 896310 2925700 ) ( * 2928590 )
NEW met2 ( 1880710 2332060 ) ( 1882090 * 0 )
NEW met2 ( 1880710 2332060 ) ( * 2342770 )
NEW met1 ( 1877030 2342770 ) ( 1880710 * )
NEW met1 ( 896310 2928590 ) ( 1877030 * )
NEW met2 ( 1877030 2342770 ) ( * 2928590 )
NEW met3 ( 892860 2925700 ) M3M4_PR_M
NEW met2 ( 896310 2925700 ) M2M3_PR_M
NEW met1 ( 896310 2928590 ) M1M2_PR
NEW met1 ( 1880710 2342770 ) M1M2_PR
NEW met1 ( 1877030 2342770 ) M1M2_PR
NEW met1 ( 1877030 2928590 ) M1M2_PR ;
- o_addr1_1\[2\] ( sram1 addr1[2] ) ( mprj o_addr1_1[2] ) + USE SIGNAL
+ ROUTED met3 ( 983020 2596750 0 ) ( 985780 * )
NEW met3 ( 985780 2596580 ) ( * 2596750 )
NEW met3 ( 985780 2596580 ) ( 997510 * )
NEW met2 ( 1283630 1535780 ) ( * 1538670 )
NEW met2 ( 997510 1538670 ) ( * 2596580 )
NEW met1 ( 997510 1538670 ) ( 1283630 * )
NEW met3 ( 1283630 1535780 ) ( 1300420 * 0 )
NEW met1 ( 997510 1538670 ) M1M2_PR
NEW met2 ( 997510 2596580 ) M2M3_PR_M
NEW met1 ( 1283630 1538670 ) M1M2_PR
NEW met2 ( 1283630 1535780 ) M2M3_PR_M ;
- o_addr1_1\[3\] ( sram1 addr1[3] ) ( mprj o_addr1_1[3] ) + USE SIGNAL
+ ROUTED met3 ( 983020 2588590 0 ) ( 985780 * )
NEW met3 ( 985780 2588420 ) ( * 2588590 )
NEW met3 ( 985780 2588420 ) ( 993830 * )
NEW met2 ( 993830 2587570 ) ( * 2588420 )
NEW met2 ( 1908770 2332060 ) ( 1910610 * 0 )
NEW met2 ( 1908770 2332060 ) ( * 2332740 )
NEW met2 ( 1907390 2332740 ) ( 1908770 * )
NEW met2 ( 1905090 2401200 ) ( 1907390 * )
NEW met2 ( 1907390 2332740 ) ( * 2401200 )
NEW met1 ( 993830 2587570 ) ( 1905090 * )
NEW met2 ( 1905090 2401200 ) ( * 2587570 )
NEW met2 ( 993830 2588420 ) M2M3_PR_M
NEW met1 ( 993830 2587570 ) M1M2_PR
NEW met1 ( 1905090 2587570 ) M1M2_PR ;
- o_addr1_1\[4\] ( sram1 addr1[4] ) ( mprj o_addr1_1[4] ) + USE SIGNAL
+ ROUTED met2 ( 1923030 2332060 ) ( 1924870 * 0 )
NEW met2 ( 1923030 2332060 ) ( * 2339370 )
NEW met1 ( 1918430 2339370 ) ( 1923030 * )
NEW met3 ( 983020 2581790 0 ) ( 985780 * )
NEW met3 ( 985780 2581620 ) ( * 2581790 )
NEW met3 ( 985780 2581620 ) ( 993830 * )
NEW met2 ( 993830 2580770 ) ( * 2581620 )
NEW met2 ( 1918430 2339370 ) ( * 2580770 )
NEW met1 ( 993830 2580770 ) ( 1918430 * )
NEW met1 ( 1923030 2339370 ) M1M2_PR
NEW met1 ( 1918430 2339370 ) M1M2_PR
NEW met2 ( 993830 2581620 ) M2M3_PR_M
NEW met1 ( 993830 2580770 ) M1M2_PR
NEW met1 ( 1918430 2580770 ) M1M2_PR ;
- o_addr1_1\[5\] ( sram1 addr1[5] ) ( mprj o_addr1_1[5] ) + USE SIGNAL
+ ROUTED met2 ( 1932230 2332060 ) ( 1934070 * 0 )
NEW met3 ( 983020 2574310 0 ) ( 985780 * )
NEW met3 ( 985780 2574140 ) ( * 2574310 )
NEW met3 ( 985780 2574140 ) ( 993830 * )
NEW met2 ( 993830 2573970 ) ( * 2574140 )
NEW met2 ( 1932230 2332060 ) ( * 2573970 )
NEW met1 ( 993830 2573970 ) ( 1932230 * )
NEW met2 ( 993830 2574140 ) M2M3_PR_M
NEW met1 ( 993830 2573970 ) M1M2_PR
NEW met1 ( 1932230 2573970 ) M1M2_PR ;
- o_addr1_1\[6\] ( sram1 addr1[6] ) ( mprj o_addr1_1[6] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1735020 0 ) ( 2128190 * )
NEW met3 ( 983020 2568190 0 ) ( 985780 * )
NEW met3 ( 985780 2568020 ) ( * 2568190 )
NEW met3 ( 985780 2568020 ) ( 993830 * )
NEW met2 ( 993830 2566830 ) ( * 2568020 )
NEW met2 ( 2128190 1735020 ) ( * 2566830 )
NEW met1 ( 993830 2566830 ) ( 2128190 * )
NEW met2 ( 2128190 1735020 ) M2M3_PR_M
NEW met2 ( 993830 2568020 ) M2M3_PR_M
NEW met1 ( 993830 2566830 ) M1M2_PR
NEW met1 ( 2128190 2566830 ) M1M2_PR ;
- o_addr1_1\[7\] ( sram1 addr1[7] ) ( mprj o_addr1_1[7] ) + USE SIGNAL
+ ROUTED met2 ( 1380230 1488690 ) ( * 1490730 )
NEW met2 ( 1940970 1489710 ) ( * 1500420 0 )
NEW met4 ( 916270 2497800 ) ( * 2500530 0 )
NEW met4 ( 915860 2497800 ) ( 916270 * )
NEW met4 ( 915860 2484380 ) ( * 2497800 )
NEW met3 ( 915860 2484380 ) ( 917010 * )
NEW met2 ( 917010 1490730 ) ( * 2484380 )
NEW met1 ( 917010 1490730 ) ( 1380230 * )
NEW li1 ( 1410130 1488690 ) ( * 1489710 )
NEW met1 ( 1380230 1488690 ) ( 1410130 * )
NEW met1 ( 1410130 1489710 ) ( 1940970 * )
NEW met1 ( 1380230 1490730 ) M1M2_PR
NEW met1 ( 1380230 1488690 ) M1M2_PR
NEW met1 ( 1940970 1489710 ) M1M2_PR
NEW met1 ( 917010 1490730 ) M1M2_PR
NEW met3 ( 915860 2484380 ) M3M4_PR_M
NEW met2 ( 917010 2484380 ) M2M3_PR_M
NEW li1 ( 1410130 1488690 ) L1M1_PR_MR
NEW li1 ( 1410130 1489710 ) L1M1_PR_MR ;
- o_addr1_1\[8\] ( sram1 addr1[8] ) ( mprj o_addr1_1[8] ) + USE SIGNAL
+ ROUTED met2 ( 1951090 1494810 ) ( * 1500420 0 )
NEW met4 ( 916950 2497800 ) ( * 2500530 0 )
NEW met4 ( 916950 2497800 ) ( 917700 * )
NEW met4 ( 917700 2485060 ) ( * 2497800 )
NEW met3 ( 917470 2485060 ) ( 917700 * )
NEW met2 ( 917470 2484210 ) ( * 2485060 )
NEW met1 ( 917470 2484210 ) ( 921150 * )
NEW met2 ( 921150 1494810 ) ( * 2484210 )
NEW met1 ( 921150 1494810 ) ( 1951090 * )
NEW met1 ( 1951090 1494810 ) M1M2_PR
NEW met1 ( 921150 1494810 ) M1M2_PR
NEW met3 ( 917700 2485060 ) M3M4_PR_M
NEW met2 ( 917470 2485060 ) M2M3_PR_M
NEW met1 ( 917470 2484210 ) M1M2_PR
NEW met1 ( 921150 2484210 ) M1M2_PR
NEW met3 ( 917700 2485060 ) RECT ( 0 -150 390 150 ) ;
- o_csb0 ( sram csb0 ) ( mprj o_csb0 ) + USE SIGNAL
+ ROUTED met3 ( 295550 1040740 ) ( 297620 * )
NEW met3 ( 297620 1040310 ) ( * 1040740 )
NEW met3 ( 297620 1040310 ) ( 300160 * 0 )
NEW met2 ( 295550 1040740 ) ( * 1431570 )
NEW met2 ( 1835630 1431570 ) ( * 1483500 )
NEW met2 ( 1835630 1483500 ) ( 1838850 * )
NEW met2 ( 1838850 1483500 ) ( * 1500420 )
NEW met2 ( 1838850 1500420 ) ( 1842070 * 0 )
NEW met1 ( 295550 1431570 ) ( 1835630 * )
NEW met2 ( 295550 1040740 ) M2M3_PR_M
NEW met1 ( 295550 1431570 ) M1M2_PR
NEW met1 ( 1835630 1431570 ) M1M2_PR ;
- o_csb0_1 ( sram1 csb0 ) ( mprj o_csb0_1 ) + USE SIGNAL
+ ROUTED met3 ( 296010 2540140 ) ( 297620 * )
NEW met3 ( 297620 2540140 ) ( * 2540310 )
NEW met3 ( 297620 2540310 ) ( 300160 * 0 )
NEW met2 ( 296010 2364190 ) ( * 2540140 )
NEW met2 ( 1843910 2332060 0 ) ( * 2364190 )
NEW met1 ( 296010 2364190 ) ( 1843910 * )
NEW met2 ( 296010 2540140 ) M2M3_PR_M
NEW met1 ( 296010 2364190 ) M1M2_PR
NEW met1 ( 1843910 2364190 ) M1M2_PR ;
- o_csb1 ( sram csb1 ) ( mprj o_csb1 ) + USE SIGNAL
+ ROUTED met2 ( 1848510 2332060 0 ) ( * 2337670 )
NEW met3 ( 983020 1395950 0 ) ( 985780 * )
NEW met3 ( 985780 1395700 ) ( * 1395950 )
NEW met3 ( 985780 1395700 ) ( 993830 * )
NEW met2 ( 993830 1395700 ) ( * 1400630 )
NEW met2 ( 1066510 1400630 ) ( * 2337670 )
NEW met1 ( 1066510 2337670 ) ( 1848510 * )
NEW met1 ( 993830 1400630 ) ( 1066510 * )
NEW met1 ( 1066510 2337670 ) M1M2_PR
NEW met1 ( 1848510 2337670 ) M1M2_PR
NEW met2 ( 993830 1395700 ) M2M3_PR_M
NEW met1 ( 993830 1400630 ) M1M2_PR
NEW met1 ( 1066510 1400630 ) M1M2_PR ;
- o_csb1_1 ( sram1 csb1 ) ( mprj o_csb1_1 ) + USE SIGNAL
+ ROUTED met3 ( 983020 2895950 0 ) ( 985780 * )
NEW met3 ( 985780 2895780 ) ( * 2895950 )
NEW met3 ( 985780 2895780 ) ( 993830 * )
NEW met2 ( 993830 2891190 ) ( * 2895780 )
NEW met2 ( 1847130 1491070 ) ( * 1500420 0 )
NEW met1 ( 993830 2891190 ) ( 1224750 * )
NEW met2 ( 1224750 1491070 ) ( * 2891190 )
NEW met1 ( 1224750 1491070 ) ( 1847130 * )
NEW met2 ( 993830 2895780 ) M2M3_PR_M
NEW met1 ( 993830 2891190 ) M1M2_PR
NEW met1 ( 1847130 1491070 ) M1M2_PR
NEW met1 ( 1224750 2891190 ) M1M2_PR
NEW met1 ( 1224750 1491070 ) M1M2_PR ;
- o_din0\[0\] ( sram din0[0] ) ( mprj o_din0[0] ) + USE SIGNAL
+ ROUTED met4 ( 415790 997900 ) ( 416300 * )
NEW met4 ( 416300 993140 ) ( * 997900 )
NEW met3 ( 416300 993140 ) ( 416530 * )
NEW met2 ( 416530 993140 ) ( * 993650 )
NEW met4 ( 415790 997900 ) ( * 1000500 )
NEW met4 ( 415790 1000500 ) ( * 1000530 0 )
NEW met3 ( 2121060 1529660 0 ) ( 2125430 * )
NEW met2 ( 2125430 993650 ) ( * 1529660 )
NEW met1 ( 416530 993650 ) ( 2125430 * )
NEW met3 ( 416300 993140 ) M3M4_PR_M
NEW met2 ( 416530 993140 ) M2M3_PR_M
NEW met1 ( 416530 993650 ) M1M2_PR
NEW met1 ( 2125430 993650 ) M1M2_PR
NEW met2 ( 2125430 1529660 ) M2M3_PR_M
NEW met3 ( 416300 993140 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[10\] ( sram din0[10] ) ( mprj o_din0[10] ) + USE SIGNAL
+ ROUTED met4 ( 472910 997900 ) ( 473340 * )
NEW met4 ( 473340 993140 ) ( * 997900 )
NEW met3 ( 473340 993140 ) ( 473570 * )
NEW met2 ( 473570 991610 ) ( * 993140 )
NEW met4 ( 472910 997900 ) ( * 1000500 )
NEW met4 ( 472910 1000500 ) ( * 1000530 0 )
NEW met2 ( 552230 991610 ) ( * 997730 )
NEW met1 ( 473570 991610 ) ( 552230 * )
NEW met2 ( 1973630 1500420 ) ( 1975930 * 0 )
NEW met2 ( 1973630 997730 ) ( * 1500420 )
NEW met1 ( 552230 997730 ) ( 1973630 * )
NEW met3 ( 473340 993140 ) M3M4_PR_M
NEW met2 ( 473570 993140 ) M2M3_PR_M
NEW met1 ( 473570 991610 ) M1M2_PR
NEW met1 ( 552230 991610 ) M1M2_PR
NEW met1 ( 552230 997730 ) M1M2_PR
NEW met1 ( 1973630 997730 ) M1M2_PR
NEW met3 ( 473340 993140 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[11\] ( sram din0[11] ) ( mprj o_din0[11] ) + USE SIGNAL
+ ROUTED met4 ( 479710 997900 ) ( 479780 * )
NEW met4 ( 479780 993140 ) ( * 997900 )
NEW met3 ( 479780 993140 ) ( 480010 * )
NEW met2 ( 480010 993140 ) ( * 994330 )
NEW met3 ( 2121060 1927460 0 ) ( 2135550 * )
NEW met2 ( 2135550 1927290 ) ( * 1927460 )
NEW met1 ( 2135550 1927290 ) ( 2146590 * )
NEW met4 ( 479710 997900 ) ( * 1000500 )
NEW met4 ( 479710 1000500 ) ( * 1000530 0 )
NEW met2 ( 2146590 994330 ) ( * 1927290 )
NEW met1 ( 480010 994330 ) ( 2146590 * )
NEW met3 ( 479780 993140 ) M3M4_PR_M
NEW met2 ( 480010 993140 ) M2M3_PR_M
NEW met1 ( 480010 994330 ) M1M2_PR
NEW met1 ( 2146590 994330 ) M1M2_PR
NEW met2 ( 2135550 1927460 ) M2M3_PR_M
NEW met1 ( 2135550 1927290 ) M1M2_PR
NEW met1 ( 2146590 1927290 ) M1M2_PR
NEW met3 ( 479780 993140 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[12\] ( sram din0[12] ) ( mprj o_din0[12] ) + USE SIGNAL
+ ROUTED met4 ( 485150 997900 ) ( 485300 * )
NEW met3 ( 485300 997900 ) ( 485530 * )
NEW met2 ( 485530 997900 ) ( * 999090 )
NEW met4 ( 485150 997900 ) ( * 1000500 )
NEW met4 ( 485150 1000500 ) ( * 1000530 0 )
NEW met2 ( 1052250 999090 ) ( * 1897710 )
NEW met2 ( 1283630 1897710 ) ( * 1900940 )
NEW met1 ( 1052250 1897710 ) ( 1283630 * )
NEW met3 ( 1283630 1900940 ) ( 1300420 * 0 )
NEW met1 ( 485530 999090 ) ( 1052250 * )
NEW met3 ( 485300 997900 ) M3M4_PR_M
NEW met2 ( 485530 997900 ) M2M3_PR_M
NEW met1 ( 485530 999090 ) M1M2_PR
NEW met1 ( 1052250 999090 ) M1M2_PR
NEW met1 ( 1052250 1897710 ) M1M2_PR
NEW met1 ( 1283630 1897710 ) M1M2_PR
NEW met2 ( 1283630 1900940 ) M2M3_PR_M
NEW met3 ( 485300 997900 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[13\] ( sram din0[13] ) ( mprj o_din0[13] ) + USE SIGNAL
+ ROUTED met4 ( 490590 997900 ) ( 490820 * )
NEW met3 ( 490820 997900 ) ( 497030 * )
NEW met2 ( 497030 997390 ) ( * 997900 )
NEW met4 ( 490590 997900 ) ( * 1000500 )
NEW met4 ( 490590 1000500 ) ( * 1000530 0 )
NEW met2 ( 1994330 1500420 ) ( 1995710 * 0 )
NEW met2 ( 1994330 997390 ) ( * 1500420 )
NEW met1 ( 497030 997390 ) ( 1994330 * )
NEW met3 ( 490820 997900 ) M3M4_PR_M
NEW met2 ( 497030 997900 ) M2M3_PR_M
NEW met1 ( 497030 997390 ) M1M2_PR
NEW met1 ( 1994330 997390 ) M1M2_PR ;
- o_din0\[14\] ( sram din0[14] ) ( mprj o_din0[14] ) + USE SIGNAL
+ ROUTED met4 ( 497390 997900 ) ( 500020 * )
NEW met4 ( 500020 989740 ) ( * 997900 )
NEW met2 ( 2015030 2332060 0 ) ( * 2341410 )
NEW met4 ( 497390 997900 ) ( * 1000500 )
NEW met4 ( 497390 1000500 ) ( * 1000530 0 )
NEW met2 ( 997050 989740 ) ( * 2341410 )
NEW met1 ( 997050 2341410 ) ( 2015030 * )
NEW met3 ( 500020 989740 ) ( 997050 * )
NEW met3 ( 500020 989740 ) M3M4_PR_M
NEW met2 ( 997050 989740 ) M2M3_PR_M
NEW met1 ( 997050 2341410 ) M1M2_PR
NEW met1 ( 2015030 2341410 ) M1M2_PR ;
- o_din0\[15\] ( sram din0[15] ) ( mprj o_din0[15] ) + USE SIGNAL
+ ROUTED met4 ( 503510 997900 ) ( 503700 * )
NEW met4 ( 503700 993140 ) ( * 997900 )
NEW met3 ( 503700 993140 ) ( 503930 * )
NEW met2 ( 503930 993140 ) ( * 993310 )
NEW met4 ( 503510 997900 ) ( * 1000500 )
NEW met4 ( 503510 1000500 ) ( * 1000530 0 )
NEW met3 ( 2121060 1987980 0 ) ( 2135090 * )
NEW met2 ( 2135090 997050 ) ( * 1987980 )
NEW met2 ( 527850 993310 ) ( * 997050 )
NEW met1 ( 503930 993310 ) ( 527850 * )
NEW met1 ( 527850 997050 ) ( 2135090 * )
NEW met3 ( 503700 993140 ) M3M4_PR_M
NEW met2 ( 503930 993140 ) M2M3_PR_M
NEW met1 ( 503930 993310 ) M1M2_PR
NEW met1 ( 2135090 997050 ) M1M2_PR
NEW met2 ( 2135090 1987980 ) M2M3_PR_M
NEW met1 ( 527850 993310 ) M1M2_PR
NEW met1 ( 527850 997050 ) M1M2_PR
NEW met3 ( 503700 993140 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[16\] ( sram din0[16] ) ( mprj o_din0[16] ) + USE SIGNAL
+ ROUTED met4 ( 508950 997900 ) ( 509220 * )
NEW met3 ( 509220 997900 ) ( 509450 * )
NEW met2 ( 509450 994670 ) ( * 997900 )
NEW met4 ( 508950 997900 ) ( * 1000500 )
NEW met4 ( 508950 1000500 ) ( * 1000530 0 )
NEW met2 ( 2015950 1500420 ) ( 2020090 * 0 )
NEW met2 ( 2015950 994670 ) ( * 1500420 )
NEW met1 ( 509450 994670 ) ( 2015950 * )
NEW met3 ( 509220 997900 ) M3M4_PR_M
NEW met2 ( 509450 997900 ) M2M3_PR_M
NEW met1 ( 509450 994670 ) M1M2_PR
NEW met1 ( 2015950 994670 ) M1M2_PR
NEW met3 ( 509220 997900 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[17\] ( sram din0[17] ) ( mprj o_din0[17] ) + USE SIGNAL
+ ROUTED met4 ( 514390 997900 ) ( 514740 * )
NEW met4 ( 514740 993820 ) ( * 997900 )
NEW met3 ( 2121060 2036260 0 ) ( 2134630 * )
NEW met4 ( 514390 997900 ) ( * 1000500 )
NEW met4 ( 514390 1000500 ) ( * 1000530 0 )
NEW met2 ( 2134630 993820 ) ( * 2036260 )
NEW met3 ( 514740 993820 ) ( 2134630 * )
NEW met3 ( 514740 993820 ) M3M4_PR_M
NEW met2 ( 2134630 993820 ) M2M3_PR_M
NEW met2 ( 2134630 2036260 ) M2M3_PR_M ;
- o_din0\[18\] ( sram din0[18] ) ( mprj o_din0[18] ) + USE SIGNAL
+ ROUTED met2 ( 1163110 996370 ) ( * 2070090 )
NEW met2 ( 1283630 2070090 ) ( * 2076380 )
NEW met3 ( 519830 999260 ) ( 520030 * )
NEW met2 ( 520030 996370 ) ( * 999260 )
NEW met4 ( 519830 999260 ) ( * 1000500 )
NEW met4 ( 519830 1000500 ) ( * 1000530 0 )
NEW met1 ( 1163110 2070090 ) ( 1283630 * )
NEW met3 ( 1283630 2076380 ) ( 1300420 * 0 )
NEW met1 ( 520030 996370 ) ( 1163110 * )
NEW met1 ( 1163110 996370 ) M1M2_PR
NEW met1 ( 1163110 2070090 ) M1M2_PR
NEW met1 ( 1283630 2070090 ) M1M2_PR
NEW met2 ( 1283630 2076380 ) M2M3_PR_M
NEW met3 ( 519830 999260 ) M3M4_PR_M
NEW met2 ( 520030 999260 ) M2M3_PR_M
NEW met1 ( 520030 996370 ) M1M2_PR
NEW met3 ( 519830 999260 ) RECT ( -420 -150 0 150 ) ;
- o_din0\[19\] ( sram din0[19] ) ( mprj o_din0[19] ) + USE SIGNAL
+ ROUTED met2 ( 2043550 2332060 0 ) ( * 2340390 )
NEW met2 ( 990150 984980 ) ( * 2340390 )
NEW met4 ( 526630 997900 ) ( 526700 * )
NEW met4 ( 526700 984980 ) ( * 997900 )
NEW met1 ( 990150 2340390 ) ( 2043550 * )
NEW met4 ( 526630 997900 ) ( * 1000500 )
NEW met4 ( 526630 1000500 ) ( * 1000530 0 )
NEW met3 ( 526700 984980 ) ( 990150 * )
NEW met2 ( 990150 984980 ) M2M3_PR_M
NEW met1 ( 990150 2340390 ) M1M2_PR
NEW met1 ( 2043550 2340390 ) M1M2_PR
NEW met3 ( 526700 984980 ) M3M4_PR_M ;
- o_din0\[1\] ( sram din0[1] ) ( mprj o_din0[1] ) + USE SIGNAL
+ ROUTED met2 ( 589950 991270 ) ( * 998410 )
NEW met4 ( 421230 997900 ) ( 421820 * )
NEW met4 ( 421820 993140 ) ( * 997900 )
NEW met3 ( 421820 993140 ) ( 422050 * )
NEW met2 ( 422050 991270 ) ( * 993140 )
NEW met1 ( 422050 991270 ) ( 589950 * )
NEW met4 ( 421230 997900 ) ( * 1000500 )
NEW met4 ( 421230 1000500 ) ( * 1000530 0 )
NEW met2 ( 1870590 998410 ) ( * 1483500 )
NEW met2 ( 1870590 1483500 ) ( 1872430 * )
NEW met2 ( 1872430 1483500 ) ( * 1500420 )
NEW met2 ( 1872430 1500420 ) ( 1876570 * 0 )
NEW met1 ( 589950 998410 ) ( 1870590 * )
NEW met1 ( 589950 991270 ) M1M2_PR
NEW met1 ( 589950 998410 ) M1M2_PR
NEW met3 ( 421820 993140 ) M3M4_PR_M
NEW met2 ( 422050 993140 ) M2M3_PR_M
NEW met1 ( 422050 991270 ) M1M2_PR
NEW met1 ( 1870590 998410 ) M1M2_PR
NEW met3 ( 421820 993140 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[20\] ( sram din0[20] ) ( mprj o_din0[20] ) + USE SIGNAL
+ ROUTED met2 ( 2035730 1500420 ) ( 2039870 * 0 )
NEW met2 ( 2035730 990930 ) ( * 1500420 )
NEW met4 ( 532070 997900 ) ( 532220 * )
NEW met4 ( 532220 993140 ) ( * 997900 )
NEW met3 ( 532220 993140 ) ( 536130 * )
NEW met2 ( 536130 990930 ) ( * 993140 )
NEW met4 ( 532070 997900 ) ( * 1000500 )
NEW met4 ( 532070 1000500 ) ( * 1000530 0 )
NEW met1 ( 536130 990930 ) ( 2035730 * )
NEW met1 ( 2035730 990930 ) M1M2_PR
NEW met3 ( 532220 993140 ) M3M4_PR_M
NEW met2 ( 536130 993140 ) M2M3_PR_M
NEW met1 ( 536130 990930 ) M1M2_PR ;
- o_din0\[21\] ( sram din0[21] ) ( mprj o_din0[21] ) + USE SIGNAL
+ ROUTED met2 ( 1169550 996710 ) ( * 2159850 )
NEW met2 ( 1283630 2159850 ) ( * 2164100 )
NEW met3 ( 537970 999260 ) ( 538190 * )
NEW met2 ( 537970 996710 ) ( * 999260 )
NEW met4 ( 538190 999260 ) ( * 1000500 )
NEW met4 ( 538190 1000500 ) ( * 1000530 0 )
NEW met1 ( 1169550 2159850 ) ( 1283630 * )
NEW met3 ( 1283630 2164100 ) ( 1300420 * 0 )
NEW met1 ( 537970 996710 ) ( 1169550 * )
NEW met1 ( 1169550 996710 ) M1M2_PR
NEW met1 ( 1169550 2159850 ) M1M2_PR
NEW met1 ( 1283630 2159850 ) M1M2_PR
NEW met2 ( 1283630 2164100 ) M2M3_PR_M
NEW met3 ( 538190 999260 ) M3M4_PR_M
NEW met2 ( 537970 999260 ) M2M3_PR_M
NEW met1 ( 537970 996710 ) M1M2_PR
NEW met3 ( 538190 999260 ) RECT ( 0 -150 400 150 ) ;
- o_din0\[22\] ( sram din0[22] ) ( mprj o_din0[22] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2120580 0 ) ( 2134170 * )
NEW met2 ( 2134170 994500 ) ( * 2120580 )
NEW met4 ( 543630 997900 ) ( 544180 * )
NEW met4 ( 544180 994500 ) ( * 997900 )
NEW met4 ( 543630 997900 ) ( * 1000500 )
NEW met4 ( 543630 1000500 ) ( * 1000530 0 )
NEW met3 ( 544180 994500 ) ( 2134170 * )
NEW met2 ( 2134170 994500 ) M2M3_PR_M
NEW met2 ( 2134170 2120580 ) M2M3_PR_M
NEW met3 ( 544180 994500 ) M3M4_PR_M ;
- o_din0\[23\] ( sram din0[23] ) ( mprj o_din0[23] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 2201330 ) ( * 2207620 )
NEW met4 ( 548780 997900 ) ( 549070 * )
NEW met3 ( 548780 997900 ) ( 549010 * )
NEW met2 ( 549010 996030 ) ( * 997900 )
NEW met4 ( 549070 997900 ) ( * 1000500 )
NEW met4 ( 549070 1000500 ) ( * 1000530 0 )
NEW met2 ( 1204050 996030 ) ( * 2201330 )
NEW met1 ( 1204050 2201330 ) ( 1283630 * )
NEW met3 ( 1283630 2207620 ) ( 1300420 * 0 )
NEW met1 ( 549010 996030 ) ( 1204050 * )
NEW met1 ( 1283630 2201330 ) M1M2_PR
NEW met2 ( 1283630 2207620 ) M2M3_PR_M
NEW met3 ( 548780 997900 ) M3M4_PR_M
NEW met2 ( 549010 997900 ) M2M3_PR_M
NEW met1 ( 549010 996030 ) M1M2_PR
NEW met1 ( 1204050 996030 ) M1M2_PR
NEW met1 ( 1204050 2201330 ) M1M2_PR
NEW met3 ( 548780 997900 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[24\] ( sram din0[24] ) ( mprj o_din0[24] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2156620 0 ) ( 2133710 * )
NEW met2 ( 2133710 987020 ) ( * 2156620 )
NEW met4 ( 555870 997900 ) ( 556140 * )
NEW met4 ( 556140 987020 ) ( * 997900 )
NEW met4 ( 555870 997900 ) ( * 1000500 )
NEW met4 ( 555870 1000500 ) ( * 1000530 0 )
NEW met3 ( 556140 987020 ) ( 2133710 * )
NEW met2 ( 2133710 987020 ) M2M3_PR_M
NEW met2 ( 2133710 2156620 ) M2M3_PR_M
NEW met3 ( 556140 987020 ) M3M4_PR_M ;
- o_din0\[25\] ( sram din0[25] ) ( mprj o_din0[25] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2168860 0 ) ( 2133250 * )
NEW met2 ( 2133250 992460 ) ( * 2168860 )
NEW met4 ( 561310 997900 ) ( 561660 * )
NEW met4 ( 561660 992460 ) ( * 997900 )
NEW met4 ( 561310 997900 ) ( * 1000500 )
NEW met4 ( 561310 1000500 ) ( * 1000530 0 )
NEW met3 ( 561660 992460 ) ( 2133250 * )
NEW met2 ( 2133250 992460 ) M2M3_PR_M
NEW met2 ( 2133250 2168860 ) M2M3_PR_M
NEW met3 ( 561660 992460 ) M3M4_PR_M ;
- o_din0\[26\] ( sram din0[26] ) ( mprj o_din0[26] ) + USE SIGNAL
+ ROUTED met4 ( 566750 997900 ) ( 567180 * )
NEW met4 ( 567180 991780 ) ( * 997900 )
NEW met3 ( 2121060 2217140 0 ) ( 2132790 * )
NEW met4 ( 566750 997900 ) ( * 1000500 )
NEW met4 ( 566750 1000500 ) ( * 1000530 0 )
NEW met2 ( 2132790 991780 ) ( * 2217140 )
NEW met3 ( 567180 991780 ) ( 2132790 * )
NEW met3 ( 567180 991780 ) M3M4_PR_M
NEW met2 ( 2132790 991780 ) M2M3_PR_M
NEW met2 ( 2132790 2217140 ) M2M3_PR_M ;
- o_din0\[27\] ( sram din0[27] ) ( mprj o_din0[27] ) + USE SIGNAL
+ ROUTED met4 ( 572190 997900 ) ( 572700 * )
NEW met4 ( 572700 991100 ) ( * 997900 )
NEW met3 ( 572470 991100 ) ( 572700 * )
NEW met2 ( 572470 987530 ) ( * 991100 )
NEW met4 ( 572190 997900 ) ( * 1000500 )
NEW met4 ( 572190 1000500 ) ( * 1000530 0 )
NEW met2 ( 1287310 987530 ) ( * 2236860 )
NEW met3 ( 1287310 2236860 ) ( 1300420 * 0 )
NEW met1 ( 572470 987530 ) ( 1287310 * )
NEW met3 ( 572700 991100 ) M3M4_PR_M
NEW met2 ( 572470 991100 ) M2M3_PR_M
NEW met1 ( 572470 987530 ) M1M2_PR
NEW met1 ( 1287310 987530 ) M1M2_PR
NEW met2 ( 1287310 2236860 ) M2M3_PR_M
NEW met3 ( 572700 991100 ) RECT ( 0 -150 390 150 ) ;
- o_din0\[28\] ( sram din0[28] ) ( mprj o_din0[28] ) + USE SIGNAL
+ ROUTED met4 ( 578990 997900 ) ( 579140 * )
NEW met4 ( 579140 991100 ) ( * 997900 )
NEW met4 ( 578990 997900 ) ( * 1000500 )
NEW met4 ( 578990 1000500 ) ( * 1000530 0 )
NEW met3 ( 2101510 2330020 ) ( 2101740 * )
NEW met2 ( 2100590 2330020 0 ) ( 2101510 * )
NEW met4 ( 2101740 991100 ) ( * 2330020 )
NEW met3 ( 579140 991100 ) ( 2101740 * )
NEW met3 ( 579140 991100 ) M3M4_PR_M
NEW met3 ( 2101740 991100 ) M3M4_PR_M
NEW met3 ( 2101740 2330020 ) M3M4_PR_M
NEW met2 ( 2101510 2330020 ) M2M3_PR_M
NEW met3 ( 2101740 2330020 ) RECT ( 0 -150 390 150 ) ;
- o_din0\[29\] ( sram din0[29] ) ( mprj o_din0[29] ) + USE SIGNAL
+ ROUTED met3 ( 585110 999260 ) ( 585350 * )
NEW met2 ( 585350 999260 ) ( * 999430 )
NEW met4 ( 585110 999260 ) ( * 1000500 )
NEW met4 ( 585110 1000500 ) ( * 1000530 0 )
NEW met2 ( 991990 999430 ) ( * 2291090 )
NEW met2 ( 1283630 2291090 ) ( * 2295340 )
NEW met1 ( 991990 2291090 ) ( 1283630 * )
NEW met3 ( 1283630 2295340 ) ( 1300420 * 0 )
NEW li1 ( 816730 999430 ) ( 817650 * )
NEW met1 ( 585350 999430 ) ( 816730 * )
NEW met1 ( 817650 999430 ) ( 991990 * )
NEW met3 ( 585110 999260 ) M3M4_PR_M
NEW met2 ( 585350 999260 ) M2M3_PR_M
NEW met1 ( 585350 999430 ) M1M2_PR
NEW met1 ( 991990 999430 ) M1M2_PR
NEW met1 ( 991990 2291090 ) M1M2_PR
NEW met1 ( 1283630 2291090 ) M1M2_PR
NEW met2 ( 1283630 2295340 ) M2M3_PR_M
NEW li1 ( 816730 999430 ) L1M1_PR_MR
NEW li1 ( 817650 999430 ) L1M1_PR_MR
NEW met3 ( 585110 999260 ) RECT ( -380 -150 0 150 ) ;
- o_din0\[2\] ( sram din0[2] ) ( mprj o_din0[2] ) + USE SIGNAL
+ ROUTED met2 ( 1288230 988210 ) ( * 1565020 )
NEW met3 ( 427340 993140 ) ( 427570 * )
NEW met2 ( 427570 988210 ) ( * 993140 )
NEW met3 ( 1288230 1565020 ) ( 1300420 * 0 )
NEW met4 ( 427340 993140 ) ( * 1000500 )
NEW met4 ( 427350 1000500 ) ( * 1000530 0 )
NEW met4 ( 427340 1000500 ) ( 427350 * )
NEW met1 ( 427570 988210 ) ( 1288230 * )
NEW met1 ( 1288230 988210 ) M1M2_PR
NEW met2 ( 1288230 1565020 ) M2M3_PR_M
NEW met3 ( 427340 993140 ) M3M4_PR_M
NEW met2 ( 427570 993140 ) M2M3_PR_M
NEW met1 ( 427570 988210 ) M1M2_PR
NEW met3 ( 427340 993140 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[30\] ( sram din0[30] ) ( mprj o_din0[30] ) + USE SIGNAL
+ ROUTED met4 ( 590550 997900 ) ( 591100 * )
NEW met4 ( 591100 993140 ) ( * 997900 )
NEW met3 ( 591100 993140 ) ( 591330 * )
NEW met2 ( 591330 987190 ) ( * 993140 )
NEW met4 ( 590550 997900 ) ( * 1000500 )
NEW met4 ( 590550 1000500 ) ( * 1000530 0 )
NEW met2 ( 1286850 987190 ) ( * 2310300 )
NEW met3 ( 1286850 2310300 ) ( 1300420 * 0 )
NEW met1 ( 591330 987190 ) ( 1286850 * )
NEW met3 ( 591100 993140 ) M3M4_PR_M
NEW met2 ( 591330 993140 ) M2M3_PR_M
NEW met1 ( 591330 987190 ) M1M2_PR
NEW met1 ( 1286850 987190 ) M1M2_PR
NEW met2 ( 1286850 2310300 ) M2M3_PR_M
NEW met3 ( 591100 993140 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[31\] ( sram din0[31] ) ( mprj o_din0[31] ) + USE SIGNAL
+ ROUTED met4 ( 595700 997900 ) ( 595990 * )
NEW met4 ( 595700 993140 ) ( * 997900 )
NEW met3 ( 595700 993140 ) ( 595930 * )
NEW met2 ( 595930 991270 ) ( * 993140 )
NEW met4 ( 595990 997900 ) ( * 1000500 )
NEW met4 ( 595990 1000500 ) ( * 1000530 0 )
NEW met2 ( 2118530 1500420 ) ( 2119450 * 0 )
NEW met2 ( 2118530 991270 ) ( * 1500420 )
NEW met1 ( 595930 991270 ) ( 2118530 * )
NEW met3 ( 595700 993140 ) M3M4_PR_M
NEW met2 ( 595930 993140 ) M2M3_PR_M
NEW met1 ( 595930 991270 ) M1M2_PR
NEW met1 ( 2118530 991270 ) M1M2_PR
NEW met3 ( 595700 993140 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[3\] ( sram din0[3] ) ( mprj o_din0[3] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1650020 0 ) ( 2137850 * )
NEW met2 ( 2137850 986850 ) ( * 1650020 )
NEW met4 ( 432790 997900 ) ( 432860 * )
NEW met4 ( 432860 993140 ) ( * 997900 )
NEW met3 ( 432860 993140 ) ( 433090 * )
NEW met2 ( 433090 986850 ) ( * 993140 )
NEW met4 ( 432790 997900 ) ( * 1000500 )
NEW met4 ( 432790 1000500 ) ( * 1000530 0 )
NEW met1 ( 433090 986850 ) ( 2137850 * )
NEW met1 ( 2137850 986850 ) M1M2_PR
NEW met2 ( 2137850 1650020 ) M2M3_PR_M
NEW met3 ( 432860 993140 ) M3M4_PR_M
NEW met2 ( 433090 993140 ) M2M3_PR_M
NEW met1 ( 433090 986850 ) M1M2_PR
NEW met3 ( 432860 993140 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[4\] ( sram din0[4] ) ( mprj o_din0[4] ) + USE SIGNAL
+ ROUTED met1 ( 1266610 1679090 ) ( 1283630 * )
NEW met2 ( 1283630 1679090 ) ( * 1681980 )
NEW met2 ( 1266610 995690 ) ( * 1679090 )
NEW met4 ( 438230 997900 ) ( 438380 * )
NEW met3 ( 438380 997900 ) ( 438610 * )
NEW met2 ( 438610 995690 ) ( * 997900 )
NEW met4 ( 438230 997900 ) ( * 1000500 )
NEW met4 ( 438230 1000500 ) ( * 1000530 0 )
NEW met3 ( 1283630 1681980 ) ( 1300420 * 0 )
NEW met1 ( 438610 995690 ) ( 1266610 * )
NEW met1 ( 1266610 995690 ) M1M2_PR
NEW met1 ( 1266610 1679090 ) M1M2_PR
NEW met1 ( 1283630 1679090 ) M1M2_PR
NEW met2 ( 1283630 1681980 ) M2M3_PR_M
NEW met3 ( 438380 997900 ) M3M4_PR_M
NEW met2 ( 438610 997900 ) M2M3_PR_M
NEW met1 ( 438610 995690 ) M1M2_PR
NEW met3 ( 438380 997900 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[5\] ( sram din0[5] ) ( mprj o_din0[5] ) + USE SIGNAL
+ ROUTED met2 ( 1948330 2332060 0 ) ( * 2334950 )
NEW met2 ( 997970 990420 ) ( * 2334950 )
NEW met4 ( 443670 997900 ) ( 443900 * )
NEW met4 ( 443900 990420 ) ( * 997900 )
NEW met1 ( 997970 2334950 ) ( 1948330 * )
NEW met4 ( 443670 997900 ) ( * 1000500 )
NEW met4 ( 443670 1000500 ) ( * 1000530 0 )
NEW met3 ( 443900 990420 ) ( 997970 * )
NEW met2 ( 997970 990420 ) M2M3_PR_M
NEW met1 ( 997970 2334950 ) M1M2_PR
NEW met1 ( 1948330 2334950 ) M1M2_PR
NEW met3 ( 443900 990420 ) M3M4_PR_M ;
- o_din0\[6\] ( sram din0[6] ) ( mprj o_din0[6] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1758820 0 ) ( 2137390 * )
NEW met2 ( 2137390 992970 ) ( * 1758820 )
NEW met4 ( 450340 997900 ) ( 450470 * )
NEW met4 ( 450340 993140 ) ( * 997900 )
NEW met3 ( 450340 993140 ) ( 450570 * )
NEW met2 ( 450570 992970 ) ( * 993140 )
NEW met4 ( 450470 997900 ) ( * 1000500 )
NEW met4 ( 450470 1000500 ) ( * 1000530 0 )
NEW met1 ( 450570 992970 ) ( 2137390 * )
NEW met1 ( 2137390 992970 ) M1M2_PR
NEW met2 ( 2137390 1758820 ) M2M3_PR_M
NEW met3 ( 450340 993140 ) M3M4_PR_M
NEW met2 ( 450570 993140 ) M2M3_PR_M
NEW met1 ( 450570 992970 ) M1M2_PR
NEW met3 ( 450340 993140 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[7\] ( sram din0[7] ) ( mprj o_din0[7] ) + USE SIGNAL
+ ROUTED met2 ( 1287770 987870 ) ( * 1769700 )
NEW met4 ( 456590 997900 ) ( 456780 * )
NEW met4 ( 456780 993140 ) ( * 997900 )
NEW met3 ( 456780 993140 ) ( 459770 * )
NEW met2 ( 459770 987870 ) ( * 993140 )
NEW met3 ( 1287770 1769700 ) ( 1300420 * 0 )
NEW met4 ( 456590 997900 ) ( * 1000500 )
NEW met4 ( 456590 1000500 ) ( * 1000530 0 )
NEW met1 ( 459770 987870 ) ( 1287770 * )
NEW met1 ( 1287770 987870 ) M1M2_PR
NEW met2 ( 1287770 1769700 ) M2M3_PR_M
NEW met3 ( 456780 993140 ) M3M4_PR_M
NEW met2 ( 459770 993140 ) M2M3_PR_M
NEW met1 ( 459770 987870 ) M1M2_PR ;
- o_din0\[8\] ( sram din0[8] ) ( mprj o_din0[8] ) + USE SIGNAL
+ ROUTED met2 ( 1960290 1500420 ) ( 1960750 * 0 )
NEW met2 ( 1960290 990590 ) ( * 1500420 )
NEW met4 ( 462030 997900 ) ( 462300 * )
NEW met4 ( 462300 993140 ) ( * 997900 )
NEW met3 ( 462070 993140 ) ( 462300 * )
NEW met2 ( 462070 990590 ) ( * 993140 )
NEW met4 ( 462030 997900 ) ( * 1000500 )
NEW met4 ( 462030 1000500 ) ( * 1000530 0 )
NEW met1 ( 462070 990590 ) ( 1960290 * )
NEW met1 ( 1960290 990590 ) M1M2_PR
NEW met3 ( 462300 993140 ) M3M4_PR_M
NEW met2 ( 462070 993140 ) M2M3_PR_M
NEW met1 ( 462070 990590 ) M1M2_PR
NEW met3 ( 462300 993140 ) RECT ( 0 -150 390 150 ) ;
- o_din0\[9\] ( sram din0[9] ) ( mprj o_din0[9] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1855380 0 ) ( 2135550 * )
NEW met2 ( 2135550 992630 ) ( * 1855380 )
NEW met4 ( 466900 997900 ) ( 467470 * )
NEW met4 ( 466900 993140 ) ( * 997900 )
NEW met3 ( 466900 993140 ) ( 467130 * )
NEW met2 ( 467130 992630 ) ( * 993140 )
NEW met4 ( 467470 997900 ) ( * 1000500 )
NEW met4 ( 467470 1000500 ) ( * 1000530 0 )
NEW met1 ( 467130 992630 ) ( 2135550 * )
NEW met1 ( 2135550 992630 ) M1M2_PR
NEW met2 ( 2135550 1855380 ) M2M3_PR_M
NEW met3 ( 466900 993140 ) M3M4_PR_M
NEW met2 ( 467130 993140 ) M2M3_PR_M
NEW met1 ( 467130 992630 ) M1M2_PR
NEW met3 ( 466900 993140 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[0\] ( sram1 din0[0] ) ( mprj o_din0_1[0] ) + USE SIGNAL
+ ROUTED met2 ( 1867830 2332060 0 ) ( * 2349570 )
NEW met4 ( 415790 2497800 ) ( * 2500530 0 )
NEW met4 ( 415790 2497800 ) ( 416300 * )
NEW met4 ( 416300 2490500 ) ( * 2497800 )
NEW met3 ( 416300 2490500 ) ( 418370 * )
NEW met2 ( 418370 2486930 ) ( * 2490500 )
NEW met1 ( 1818150 2349570 ) ( 1867830 * )
NEW met1 ( 418370 2486930 ) ( 1818150 * )
NEW met2 ( 1818150 2349570 ) ( * 2486930 )
NEW met1 ( 1867830 2349570 ) M1M2_PR
NEW met3 ( 416300 2490500 ) M3M4_PR_M
NEW met2 ( 418370 2490500 ) M2M3_PR_M
NEW met1 ( 418370 2486930 ) M1M2_PR
NEW met1 ( 1818150 2349570 ) M1M2_PR
NEW met1 ( 1818150 2486930 ) M1M2_PR ;
- o_din0_1\[10\] ( sram1 din0[10] ) ( mprj o_din0_1[10] ) + USE SIGNAL
+ ROUTED met4 ( 472910 2497800 ) ( * 2500530 0 )
NEW met4 ( 472910 2497800 ) ( 473340 * )
NEW met4 ( 473340 2484380 ) ( * 2497800 )
NEW met3 ( 473340 2484380 ) ( 475870 * )
NEW met2 ( 475870 1493450 ) ( * 2484380 )
NEW met2 ( 1490170 1489030 ) ( * 1493450 )
NEW met1 ( 1490170 1489030 ) ( 1510870 * )
NEW li1 ( 1510870 1489030 ) ( * 1490050 )
NEW met1 ( 475870 1493450 ) ( 1490170 * )
NEW met2 ( 1970870 1490050 ) ( * 1500420 0 )
NEW met1 ( 1510870 1490050 ) ( 1970870 * )
NEW met1 ( 475870 1493450 ) M1M2_PR
NEW met3 ( 473340 2484380 ) M3M4_PR_M
NEW met2 ( 475870 2484380 ) M2M3_PR_M
NEW met1 ( 1490170 1493450 ) M1M2_PR
NEW met1 ( 1490170 1489030 ) M1M2_PR
NEW li1 ( 1510870 1489030 ) L1M1_PR_MR
NEW li1 ( 1510870 1490050 ) L1M1_PR_MR
NEW met1 ( 1970870 1490050 ) M1M2_PR ;
- o_din0_1\[11\] ( sram1 din0[11] ) ( mprj o_din0_1[11] ) + USE SIGNAL
+ ROUTED met4 ( 479710 2497800 ) ( * 2500530 0 )
NEW met4 ( 479710 2497800 ) ( 479780 * )
NEW met4 ( 479780 2491180 ) ( * 2497800 )
NEW met3 ( 479780 2490500 ) ( * 2491180 )
NEW met3 ( 479780 2490500 ) ( 482310 * )
NEW met2 ( 482310 1876630 ) ( * 2490500 )
NEW met2 ( 1283630 1871700 ) ( * 1876630 )
NEW met1 ( 482310 1876630 ) ( 1283630 * )
NEW met3 ( 1283630 1871700 ) ( 1300420 * 0 )
NEW met1 ( 482310 1876630 ) M1M2_PR
NEW met3 ( 479780 2491180 ) M3M4_PR_M
NEW met2 ( 482310 2490500 ) M2M3_PR_M
NEW met1 ( 1283630 1876630 ) M1M2_PR
NEW met2 ( 1283630 1871700 ) M2M3_PR_M ;
- o_din0_1\[12\] ( sram1 din0[12] ) ( mprj o_din0_1[12] ) + USE SIGNAL
+ ROUTED met4 ( 485150 2497800 ) ( * 2500530 0 )
NEW met4 ( 485150 2497800 ) ( 485300 * )
NEW met4 ( 485300 2484380 ) ( * 2497800 )
NEW met3 ( 485300 2484380 ) ( 489670 * )
NEW met2 ( 489670 2364870 ) ( * 2484380 )
NEW met2 ( 1996170 2332060 0 ) ( * 2341750 )
NEW met1 ( 1973630 2341750 ) ( 1996170 * )
NEW met1 ( 489670 2364870 ) ( 1973630 * )
NEW met2 ( 1973630 2341750 ) ( * 2364870 )
NEW met1 ( 489670 2364870 ) M1M2_PR
NEW met3 ( 485300 2484380 ) M3M4_PR_M
NEW met2 ( 489670 2484380 ) M2M3_PR_M
NEW met1 ( 1996170 2341750 ) M1M2_PR
NEW met1 ( 1973630 2341750 ) M1M2_PR
NEW met1 ( 1973630 2364870 ) M1M2_PR ;
- o_din0_1\[13\] ( sram1 din0[13] ) ( mprj o_din0_1[13] ) + USE SIGNAL
+ ROUTED met4 ( 490590 2497800 ) ( * 2500530 0 )
NEW met4 ( 490590 2497800 ) ( 490820 * )
NEW met4 ( 490820 2484380 ) ( * 2497800 )
NEW met3 ( 490820 2484380 ) ( 496110 * )
NEW met2 ( 496110 2365210 ) ( * 2484380 )
NEW met2 ( 2000770 2332060 0 ) ( * 2342090 )
NEW met1 ( 1966730 2342090 ) ( 2000770 * )
NEW met1 ( 496110 2365210 ) ( 1966730 * )
NEW met2 ( 1966730 2342090 ) ( * 2365210 )
NEW met1 ( 496110 2365210 ) M1M2_PR
NEW met3 ( 490820 2484380 ) M3M4_PR_M
NEW met2 ( 496110 2484380 ) M2M3_PR_M
NEW met1 ( 2000770 2342090 ) M1M2_PR
NEW met1 ( 1966730 2342090 ) M1M2_PR
NEW met1 ( 1966730 2365210 ) M1M2_PR ;
- o_din0_1\[14\] ( sram1 din0[14] ) ( mprj o_din0_1[14] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1945140 ) ( * 1945650 )
NEW met4 ( 497390 2497800 ) ( * 2500530 0 )
NEW met4 ( 497260 2497800 ) ( 497390 * )
NEW met4 ( 497260 2491180 ) ( * 2497800 )
NEW met3 ( 497260 2490500 ) ( * 2491180 )
NEW met3 ( 497260 2490500 ) ( 503470 * )
NEW met2 ( 503470 1945650 ) ( * 2490500 )
NEW met1 ( 503470 1945650 ) ( 1283630 * )
NEW met3 ( 1283630 1945140 ) ( 1300420 * 0 )
NEW met1 ( 503470 1945650 ) M1M2_PR
NEW met1 ( 1283630 1945650 ) M1M2_PR
NEW met2 ( 1283630 1945140 ) M2M3_PR_M
NEW met3 ( 497260 2491180 ) M3M4_PR_M
NEW met2 ( 503470 2490500 ) M2M3_PR_M ;
- o_din0_1\[15\] ( sram1 din0[15] ) ( mprj o_din0_1[15] ) + USE SIGNAL
+ ROUTED met4 ( 503510 2497800 ) ( * 2500530 0 )
NEW met4 ( 503510 2497800 ) ( 503700 * )
NEW met4 ( 503700 2489820 ) ( * 2497800 )
NEW met3 ( 503700 2489820 ) ( 505770 * )
NEW met2 ( 505770 2488970 ) ( * 2489820 )
NEW met2 ( 686550 1496170 ) ( * 2488970 )
NEW met2 ( 2015490 1496170 ) ( * 1500420 0 )
NEW met1 ( 505770 2488970 ) ( 686550 * )
NEW met1 ( 686550 1496170 ) ( 2015490 * )
NEW met3 ( 503700 2489820 ) M3M4_PR_M
NEW met2 ( 505770 2489820 ) M2M3_PR_M
NEW met1 ( 505770 2488970 ) M1M2_PR
NEW met1 ( 686550 1496170 ) M1M2_PR
NEW met1 ( 686550 2488970 ) M1M2_PR
NEW met1 ( 2015490 1496170 ) M1M2_PR ;
- o_din0_1\[16\] ( sram1 din0[16] ) ( mprj o_din0_1[16] ) + USE SIGNAL
+ ROUTED met4 ( 508950 2497800 ) ( * 2500530 0 )
NEW met4 ( 508950 2497800 ) ( 509220 * )
NEW met4 ( 509220 2484380 ) ( * 2497800 )
NEW met3 ( 509220 2484380 ) ( 509910 * )
NEW met2 ( 509910 1993590 ) ( * 2484380 )
NEW met2 ( 1283630 1988660 ) ( * 1993590 )
NEW met1 ( 509910 1993590 ) ( 1283630 * )
NEW met3 ( 1283630 1988660 ) ( 1300420 * 0 )
NEW met1 ( 509910 1993590 ) M1M2_PR
NEW met3 ( 509220 2484380 ) M3M4_PR_M
NEW met2 ( 509910 2484380 ) M2M3_PR_M
NEW met1 ( 1283630 1993590 ) M1M2_PR
NEW met2 ( 1283630 1988660 ) M2M3_PR_M ;
- o_din0_1\[17\] ( sram1 din0[17] ) ( mprj o_din0_1[17] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 2017900 ) ( * 2021470 )
NEW met4 ( 514390 2497800 ) ( * 2500530 0 )
NEW met4 ( 513820 2497800 ) ( 514390 * )
NEW met4 ( 513820 2484380 ) ( * 2497800 )
NEW met3 ( 513820 2484380 ) ( 517270 * )
NEW met2 ( 517270 2021470 ) ( * 2484380 )
NEW met1 ( 517270 2021470 ) ( 1283630 * )
NEW met3 ( 1283630 2017900 ) ( 1300420 * 0 )
NEW met1 ( 517270 2021470 ) M1M2_PR
NEW met1 ( 1283630 2021470 ) M1M2_PR
NEW met2 ( 1283630 2017900 ) M2M3_PR_M
NEW met3 ( 513820 2484380 ) M3M4_PR_M
NEW met2 ( 517270 2484380 ) M2M3_PR_M ;
- o_din0_1\[18\] ( sram1 din0[18] ) ( mprj o_din0_1[18] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 2062100 ) ( * 2062950 )
NEW met1 ( 523710 2062950 ) ( 1283630 * )
NEW met3 ( 1283630 2062100 ) ( 1300420 * 0 )
NEW met4 ( 519830 2497800 ) ( * 2500530 0 )
NEW met4 ( 519830 2497800 ) ( 520260 * )
NEW met4 ( 520260 2484380 ) ( * 2497800 )
NEW met3 ( 520260 2484380 ) ( 523710 * )
NEW met2 ( 523710 2062950 ) ( * 2484380 )
NEW met1 ( 1283630 2062950 ) M1M2_PR
NEW met2 ( 1283630 2062100 ) M2M3_PR_M
NEW met1 ( 523710 2062950 ) M1M2_PR
NEW met3 ( 520260 2484380 ) M3M4_PR_M
NEW met2 ( 523710 2484380 ) M2M3_PR_M ;
- o_din0_1\[19\] ( sram1 din0[19] ) ( mprj o_din0_1[19] ) + USE SIGNAL
+ ROUTED met2 ( 2030210 1488690 ) ( * 1500420 0 )
NEW met4 ( 526630 2497800 ) ( * 2500530 0 )
NEW met4 ( 526630 2497800 ) ( 526700 * )
NEW met4 ( 526700 2484380 ) ( * 2497800 )
NEW met3 ( 526700 2484380 ) ( 531070 * )
NEW met2 ( 531070 1494130 ) ( * 2484380 )
NEW met2 ( 1690270 1488690 ) ( * 1494130 )
NEW met1 ( 531070 1494130 ) ( 1690270 * )
NEW met1 ( 1690270 1488690 ) ( 2030210 * )
NEW met1 ( 2030210 1488690 ) M1M2_PR
NEW met1 ( 531070 1494130 ) M1M2_PR
NEW met3 ( 526700 2484380 ) M3M4_PR_M
NEW met2 ( 531070 2484380 ) M2M3_PR_M
NEW met1 ( 1690270 1494130 ) M1M2_PR
NEW met1 ( 1690270 1488690 ) M1M2_PR ;
- o_din0_1\[1\] ( sram1 din0[1] ) ( mprj o_din0_1[1] ) + USE SIGNAL
+ ROUTED met4 ( 421230 2497800 ) ( * 2500530 0 )
NEW met4 ( 421230 2497800 ) ( 421820 * )
NEW met4 ( 421820 2484380 ) ( * 2497800 )
NEW met3 ( 421820 2484380 ) ( 425730 * )
NEW met2 ( 425730 2484210 ) ( * 2484380 )
NEW met1 ( 425730 2484210 ) ( 438150 * )
NEW met2 ( 438150 1496510 ) ( * 2484210 )
NEW met2 ( 1871510 1496510 ) ( * 1500420 0 )
NEW met1 ( 438150 1496510 ) ( 1871510 * )
NEW met1 ( 438150 1496510 ) M1M2_PR
NEW met3 ( 421820 2484380 ) M3M4_PR_M
NEW met2 ( 425730 2484380 ) M2M3_PR_M
NEW met1 ( 425730 2484210 ) M1M2_PR
NEW met1 ( 438150 2484210 ) M1M2_PR
NEW met1 ( 1871510 1496510 ) M1M2_PR ;
- o_din0_1\[20\] ( sram1 din0[20] ) ( mprj o_din0_1[20] ) + USE SIGNAL
+ ROUTED met2 ( 762450 1495830 ) ( * 2488630 )
NEW met2 ( 2035270 1495830 ) ( * 1500420 0 )
NEW met4 ( 532070 2497800 ) ( * 2500530 0 )
NEW met4 ( 532070 2497800 ) ( 532220 * )
NEW met4 ( 532220 2490500 ) ( * 2497800 )
NEW met3 ( 532220 2490500 ) ( 532450 * )
NEW met2 ( 532450 2488630 ) ( * 2490500 )
NEW met1 ( 532450 2488630 ) ( 762450 * )
NEW met1 ( 762450 1495830 ) ( 2035270 * )
NEW met1 ( 762450 1495830 ) M1M2_PR
NEW met1 ( 762450 2488630 ) M1M2_PR
NEW met1 ( 2035270 1495830 ) M1M2_PR
NEW met3 ( 532220 2490500 ) M3M4_PR_M
NEW met2 ( 532450 2490500 ) M2M3_PR_M
NEW met1 ( 532450 2488630 ) M1M2_PR
NEW met3 ( 532220 2490500 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[21\] ( sram1 din0[21] ) ( mprj o_din0_1[21] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 2149140 ) ( * 2152710 )
NEW met1 ( 537970 2152710 ) ( 1283630 * )
NEW met3 ( 1283630 2149140 ) ( 1300420 * 0 )
NEW met4 ( 538190 2497800 ) ( * 2500530 0 )
NEW met3 ( 537740 2484380 ) ( 537970 * )
NEW met4 ( 537740 2484380 ) ( * 2497800 )
NEW met4 ( 537740 2497800 ) ( 538190 * )
NEW met2 ( 537970 2152710 ) ( * 2484380 )
NEW met1 ( 1283630 2152710 ) M1M2_PR
NEW met2 ( 1283630 2149140 ) M2M3_PR_M
NEW met1 ( 537970 2152710 ) M1M2_PR
NEW met2 ( 537970 2484380 ) M2M3_PR_M
NEW met3 ( 537740 2484380 ) M3M4_PR_M
NEW met3 ( 537970 2484380 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[22\] ( sram1 din0[22] ) ( mprj o_din0_1[22] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 2178380 ) ( * 2180250 )
NEW met4 ( 543630 2497800 ) ( * 2500530 0 )
NEW met4 ( 543630 2497800 ) ( 544180 * )
NEW met4 ( 544180 2484380 ) ( * 2497800 )
NEW met3 ( 544180 2484380 ) ( 544410 * )
NEW met2 ( 544410 2180250 ) ( * 2484380 )
NEW met1 ( 544410 2180250 ) ( 1283630 * )
NEW met3 ( 1283630 2178380 ) ( 1300420 * 0 )
NEW met1 ( 1283630 2180250 ) M1M2_PR
NEW met2 ( 1283630 2178380 ) M2M3_PR_M
NEW met1 ( 544410 2180250 ) M1M2_PR
NEW met3 ( 544180 2484380 ) M3M4_PR_M
NEW met2 ( 544410 2484380 ) M2M3_PR_M
NEW met3 ( 544180 2484380 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[23\] ( sram1 din0[23] ) ( mprj o_din0_1[23] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 2193340 ) ( * 2194190 )
NEW met4 ( 549070 2497800 ) ( * 2500530 0 )
NEW met4 ( 548780 2497800 ) ( 549070 * )
NEW met4 ( 548780 2491180 ) ( * 2497800 )
NEW met3 ( 548780 2490500 ) ( * 2491180 )
NEW met3 ( 548780 2490500 ) ( 551770 * )
NEW met2 ( 551770 2194190 ) ( * 2490500 )
NEW met1 ( 551770 2194190 ) ( 1283630 * )
NEW met3 ( 1283630 2193340 ) ( 1300420 * 0 )
NEW met1 ( 1283630 2194190 ) M1M2_PR
NEW met2 ( 1283630 2193340 ) M2M3_PR_M
NEW met1 ( 551770 2194190 ) M1M2_PR
NEW met3 ( 548780 2491180 ) M3M4_PR_M
NEW met2 ( 551770 2490500 ) M2M3_PR_M ;
- o_din0_1\[24\] ( sram1 din0[24] ) ( mprj o_din0_1[24] ) + USE SIGNAL
+ ROUTED met2 ( 596850 1497190 ) ( * 2449500 )
NEW met2 ( 595470 2449500 ) ( * 2488290 )
NEW met2 ( 595470 2449500 ) ( 596850 * )
NEW met4 ( 555870 2497800 ) ( * 2500530 0 )
NEW met4 ( 555870 2497800 ) ( 556140 * )
NEW met4 ( 556140 2490500 ) ( * 2497800 )
NEW met3 ( 556140 2490500 ) ( 556370 * )
NEW met2 ( 556370 2488290 ) ( * 2490500 )
NEW met1 ( 556370 2488290 ) ( 595470 * )
NEW met2 ( 2069770 1497190 ) ( * 1500420 0 )
NEW met1 ( 596850 1497190 ) ( 2069770 * )
NEW met1 ( 596850 1497190 ) M1M2_PR
NEW met1 ( 595470 2488290 ) M1M2_PR
NEW met3 ( 556140 2490500 ) M3M4_PR_M
NEW met2 ( 556370 2490500 ) M2M3_PR_M
NEW met1 ( 556370 2488290 ) M1M2_PR
NEW met1 ( 2069770 1497190 ) M1M2_PR
NEW met3 ( 556140 2490500 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[25\] ( sram1 din0[25] ) ( mprj o_din0_1[25] ) + USE SIGNAL
+ ROUTED met4 ( 561310 2497800 ) ( * 2500530 0 )
NEW met4 ( 561310 2497800 ) ( 561660 * )
NEW met4 ( 561660 2484380 ) ( * 2497800 )
NEW met3 ( 561660 2484380 ) ( 565570 * )
NEW met2 ( 565570 1493790 ) ( * 2484380 )
NEW met2 ( 1779510 1488010 ) ( * 1493790 )
NEW met1 ( 565570 1493790 ) ( 1779510 * )
NEW met2 ( 2079890 1488010 ) ( * 1500420 0 )
NEW met1 ( 1779510 1488010 ) ( 2079890 * )
NEW met1 ( 565570 1493790 ) M1M2_PR
NEW met3 ( 561660 2484380 ) M3M4_PR_M
NEW met2 ( 565570 2484380 ) M2M3_PR_M
NEW met1 ( 1779510 1493790 ) M1M2_PR
NEW met1 ( 1779510 1488010 ) M1M2_PR
NEW met1 ( 2079890 1488010 ) M1M2_PR ;
- o_din0_1\[26\] ( sram1 din0[26] ) ( mprj o_din0_1[26] ) + USE SIGNAL
+ ROUTED met4 ( 566750 2497800 ) ( * 2500530 0 )
NEW met4 ( 566750 2497800 ) ( 567180 * )
NEW met4 ( 567180 2490500 ) ( * 2497800 )
NEW met3 ( 567180 2490500 ) ( 567410 * )
NEW met2 ( 567410 2485570 ) ( * 2490500 )
NEW met3 ( 2121060 2204900 0 ) ( * 2206260 )
NEW met3 ( 2121060 2206260 ) ( 2121290 * )
NEW met2 ( 2121290 2206260 ) ( * 2485570 )
NEW met1 ( 567410 2485570 ) ( 2121290 * )
NEW met3 ( 567180 2490500 ) M3M4_PR_M
NEW met2 ( 567410 2490500 ) M2M3_PR_M
NEW met1 ( 567410 2485570 ) M1M2_PR
NEW met2 ( 2121290 2206260 ) M2M3_PR_M
NEW met1 ( 2121290 2485570 ) M1M2_PR
NEW met3 ( 567180 2490500 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[27\] ( sram1 din0[27] ) ( mprj o_din0_1[27] ) + USE SIGNAL
+ ROUTED met4 ( 572190 2497800 ) ( * 2500530 0 )
NEW met4 ( 572190 2497800 ) ( 572700 * )
NEW met4 ( 572700 2489140 ) ( * 2497800 )
NEW met3 ( 572470 2489140 ) ( 572700 * )
NEW met2 ( 572470 2489140 ) ( 572930 * )
NEW met2 ( 572930 2480810 ) ( * 2489140 )
NEW met1 ( 572930 2480810 ) ( 2090930 * )
NEW met2 ( 2090930 2332060 0 ) ( * 2480810 )
NEW met3 ( 572700 2489140 ) M3M4_PR_M
NEW met2 ( 572470 2489140 ) M2M3_PR_M
NEW met1 ( 572930 2480810 ) M1M2_PR
NEW met1 ( 2090930 2480810 ) M1M2_PR
NEW met3 ( 572700 2489140 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[28\] ( sram1 din0[28] ) ( mprj o_din0_1[28] ) + USE SIGNAL
+ ROUTED met4 ( 578990 2497800 ) ( * 2500530 0 )
NEW met4 ( 578990 2497800 ) ( 579140 * )
NEW met4 ( 579140 2484380 ) ( * 2497800 )
NEW met3 ( 579140 2484380 ) ( 579370 * )
NEW met2 ( 579370 2270010 ) ( * 2484380 )
NEW met2 ( 1283630 2266100 ) ( * 2270010 )
NEW met1 ( 579370 2270010 ) ( 1283630 * )
NEW met3 ( 1283630 2266100 ) ( 1300420 * 0 )
NEW met1 ( 579370 2270010 ) M1M2_PR
NEW met3 ( 579140 2484380 ) M3M4_PR_M
NEW met2 ( 579370 2484380 ) M2M3_PR_M
NEW met1 ( 1283630 2270010 ) M1M2_PR
NEW met2 ( 1283630 2266100 ) M2M3_PR_M
NEW met3 ( 579140 2484380 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[29\] ( sram1 din0[29] ) ( mprj o_din0_1[29] ) + USE SIGNAL
+ ROUTED met4 ( 585110 2497800 ) ( * 2500530 0 )
NEW met4 ( 585110 2497800 ) ( 585580 * )
NEW met4 ( 585580 2484380 ) ( * 2497800 )
NEW met3 ( 585580 2484380 ) ( 586270 * )
NEW met2 ( 586270 1500250 ) ( * 2484380 )
NEW met2 ( 1325030 1489370 ) ( * 1500250 )
NEW met1 ( 586270 1500250 ) ( 1325030 * )
NEW li1 ( 1410590 1489370 ) ( 1411510 * )
NEW met1 ( 1325030 1489370 ) ( 1410590 * )
NEW met2 ( 2099670 1489370 ) ( * 1500420 0 )
NEW met1 ( 1411510 1489370 ) ( 2099670 * )
NEW met1 ( 586270 1500250 ) M1M2_PR
NEW met3 ( 585580 2484380 ) M3M4_PR_M
NEW met2 ( 586270 2484380 ) M2M3_PR_M
NEW met1 ( 1325030 1500250 ) M1M2_PR
NEW met1 ( 1325030 1489370 ) M1M2_PR
NEW li1 ( 1410590 1489370 ) L1M1_PR_MR
NEW li1 ( 1411510 1489370 ) L1M1_PR_MR
NEW met1 ( 2099670 1489370 ) M1M2_PR ;
- o_din0_1\[2\] ( sram1 din0[2] ) ( mprj o_din0_1[2] ) + USE SIGNAL
+ ROUTED met2 ( 983250 1493110 ) ( * 2487610 )
NEW met4 ( 427350 2497800 ) ( * 2500530 0 )
NEW met4 ( 427340 2497800 ) ( 427350 * )
NEW met4 ( 427340 2491180 ) ( * 2497800 )
NEW met3 ( 427340 2490500 ) ( * 2491180 )
NEW met3 ( 427340 2490500 ) ( 427570 * )
NEW met2 ( 427570 2487610 ) ( * 2490500 )
NEW met1 ( 427570 2487610 ) ( 983250 * )
NEW met2 ( 1896350 1493110 ) ( * 1500420 0 )
NEW met1 ( 983250 1493110 ) ( 1896350 * )
NEW met1 ( 983250 1493110 ) M1M2_PR
NEW met1 ( 983250 2487610 ) M1M2_PR
NEW met3 ( 427340 2491180 ) M3M4_PR_M
NEW met2 ( 427570 2490500 ) M2M3_PR_M
NEW met1 ( 427570 2487610 ) M1M2_PR
NEW met1 ( 1896350 1493110 ) M1M2_PR ;
- o_din0_1\[30\] ( sram1 din0[30] ) ( mprj o_din0_1[30] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 2313700 0 ) ( 2123130 * )
NEW met4 ( 590550 2497800 ) ( * 2500530 0 )
NEW met4 ( 590550 2497800 ) ( 591100 * )
NEW met4 ( 591100 2490500 ) ( * 2497800 )
NEW met3 ( 591100 2490500 ) ( 592250 * )
NEW met2 ( 592250 2485910 ) ( * 2490500 )
NEW met2 ( 2123130 2313700 ) ( * 2352900 )
NEW met2 ( 2123130 2352900 ) ( 2123590 * )
NEW met2 ( 2123590 2352900 ) ( * 2485910 )
NEW met1 ( 592250 2485910 ) ( 2123590 * )
NEW met2 ( 2123130 2313700 ) M2M3_PR_M
NEW met3 ( 591100 2490500 ) M3M4_PR_M
NEW met2 ( 592250 2490500 ) M2M3_PR_M
NEW met1 ( 592250 2485910 ) M1M2_PR
NEW met1 ( 2123590 2485910 ) M1M2_PR ;
- o_din0_1\[31\] ( sram1 din0[31] ) ( mprj o_din0_1[31] ) + USE SIGNAL
+ ROUTED met4 ( 595990 2497800 ) ( * 2500530 0 )
NEW met4 ( 595700 2497800 ) ( 595990 * )
NEW met4 ( 595700 2490500 ) ( * 2497800 )
NEW met3 ( 595700 2490500 ) ( 595930 * )
NEW met2 ( 595930 2488290 ) ( * 2490500 )
NEW met2 ( 976350 1495490 ) ( * 2488290 )
NEW met2 ( 2114390 1495490 ) ( * 1500420 0 )
NEW met1 ( 595930 2488290 ) ( 976350 * )
NEW met1 ( 976350 1495490 ) ( 2114390 * )
NEW met3 ( 595700 2490500 ) M3M4_PR_M
NEW met2 ( 595930 2490500 ) M2M3_PR_M
NEW met1 ( 595930 2488290 ) M1M2_PR
NEW met1 ( 976350 1495490 ) M1M2_PR
NEW met1 ( 976350 2488290 ) M1M2_PR
NEW met1 ( 2114390 1495490 ) M1M2_PR
NEW met3 ( 595700 2490500 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[3\] ( sram1 din0[3] ) ( mprj o_din0_1[3] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1623500 ) ( * 1628090 )
NEW met4 ( 432790 2497800 ) ( * 2500530 0 )
NEW met4 ( 432790 2497800 ) ( 432860 * )
NEW met4 ( 432860 2484380 ) ( * 2497800 )
NEW met3 ( 432860 2484380 ) ( 434470 * )
NEW met2 ( 434470 1628090 ) ( * 2484380 )
NEW met1 ( 434470 1628090 ) ( 1283630 * )
NEW met3 ( 1283630 1623500 ) ( 1300420 * 0 )
NEW met1 ( 1283630 1628090 ) M1M2_PR
NEW met2 ( 1283630 1623500 ) M2M3_PR_M
NEW met1 ( 434470 1628090 ) M1M2_PR
NEW met3 ( 432860 2484380 ) M3M4_PR_M
NEW met2 ( 434470 2484380 ) M2M3_PR_M ;
- o_din0_1\[4\] ( sram1 din0[4] ) ( mprj o_din0_1[4] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1686740 0 ) ( 2129110 * )
NEW met2 ( 2129110 1686740 ) ( * 2484890 )
NEW met4 ( 438230 2497800 ) ( * 2500530 0 )
NEW met4 ( 438230 2497800 ) ( 438380 * )
NEW met4 ( 438380 2490500 ) ( * 2497800 )
NEW met3 ( 438380 2490500 ) ( 439530 * )
NEW met2 ( 439530 2484890 ) ( * 2490500 )
NEW met1 ( 439530 2484890 ) ( 2129110 * )
NEW met2 ( 2129110 1686740 ) M2M3_PR_M
NEW met1 ( 2129110 2484890 ) M1M2_PR
NEW met3 ( 438380 2490500 ) M3M4_PR_M
NEW met2 ( 439530 2490500 ) M2M3_PR_M
NEW met1 ( 439530 2484890 ) M1M2_PR ;
- o_din0_1\[5\] ( sram1 din0[5] ) ( mprj o_din0_1[5] ) + USE SIGNAL
+ ROUTED met2 ( 1943730 2332060 0 ) ( * 2345490 )
NEW met2 ( 1769850 2345490 ) ( * 2487270 )
NEW met1 ( 1769850 2345490 ) ( 1943730 * )
NEW met4 ( 443670 2497800 ) ( * 2500530 0 )
NEW met4 ( 443670 2497800 ) ( 443900 * )
NEW met4 ( 443900 2490500 ) ( * 2497800 )
NEW met3 ( 443900 2490500 ) ( 445970 * )
NEW met2 ( 445970 2487270 ) ( * 2490500 )
NEW met1 ( 445970 2487270 ) ( 1769850 * )
NEW met1 ( 1769850 2345490 ) M1M2_PR
NEW met1 ( 1943730 2345490 ) M1M2_PR
NEW met1 ( 1769850 2487270 ) M1M2_PR
NEW met3 ( 443900 2490500 ) M3M4_PR_M
NEW met2 ( 445970 2490500 ) M2M3_PR_M
NEW met1 ( 445970 2487270 ) M1M2_PR ;
- o_din0_1\[6\] ( sram1 din0[6] ) ( mprj o_din0_1[6] ) + USE SIGNAL
+ ROUTED met3 ( 2120140 1749300 ) ( 2120370 * )
NEW met3 ( 2120140 1746580 0 ) ( * 1749300 )
NEW met2 ( 2120370 1749300 ) ( * 2485230 )
NEW met4 ( 450470 2497800 ) ( * 2500530 0 )
NEW met4 ( 450340 2497800 ) ( 450470 * )
NEW met4 ( 450340 2490500 ) ( * 2497800 )
NEW met3 ( 450340 2490500 ) ( 450570 * )
NEW met2 ( 450570 2485230 ) ( * 2490500 )
NEW met1 ( 450570 2485230 ) ( 2120370 * )
NEW met2 ( 2120370 1749300 ) M2M3_PR_M
NEW met1 ( 2120370 2485230 ) M1M2_PR
NEW met3 ( 450340 2490500 ) M3M4_PR_M
NEW met2 ( 450570 2490500 ) M2M3_PR_M
NEW met1 ( 450570 2485230 ) M1M2_PR
NEW met3 ( 450340 2490500 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[7\] ( sram1 din0[7] ) ( mprj o_din0_1[7] ) + USE SIGNAL
+ ROUTED met2 ( 486450 1496850 ) ( * 2484210 )
NEW met2 ( 1946030 1496850 ) ( * 1500420 0 )
NEW met4 ( 456590 2497800 ) ( * 2500530 0 )
NEW met4 ( 456590 2497800 ) ( 456780 * )
NEW met4 ( 456780 2490500 ) ( * 2497800 )
NEW met3 ( 456780 2490500 ) ( 457470 * )
NEW met2 ( 457470 2484210 ) ( * 2490500 )
NEW met1 ( 457470 2484210 ) ( 486450 * )
NEW met1 ( 486450 1496850 ) ( 1946030 * )
NEW met1 ( 486450 1496850 ) M1M2_PR
NEW met1 ( 486450 2484210 ) M1M2_PR
NEW met1 ( 1946030 1496850 ) M1M2_PR
NEW met3 ( 456780 2490500 ) M3M4_PR_M
NEW met2 ( 457470 2490500 ) M2M3_PR_M
NEW met1 ( 457470 2484210 ) M1M2_PR ;
- o_din0_1\[8\] ( sram1 din0[8] ) ( mprj o_din0_1[8] ) + USE SIGNAL
+ ROUTED met2 ( 1963050 2345830 ) ( * 2486590 )
NEW met2 ( 1976850 2332060 0 ) ( * 2345830 )
NEW met1 ( 1963050 2345830 ) ( 1976850 * )
NEW met4 ( 462030 2497800 ) ( * 2500530 0 )
NEW met4 ( 462030 2497800 ) ( 462300 * )
NEW met4 ( 462300 2491180 ) ( * 2497800 )
NEW met3 ( 462300 2490500 ) ( * 2491180 )
NEW met3 ( 462070 2490500 ) ( 462300 * )
NEW met2 ( 462070 2486590 ) ( * 2490500 )
NEW met1 ( 462070 2486590 ) ( 1963050 * )
NEW met1 ( 1963050 2345830 ) M1M2_PR
NEW met1 ( 1963050 2486590 ) M1M2_PR
NEW met1 ( 1976850 2345830 ) M1M2_PR
NEW met3 ( 462300 2491180 ) M3M4_PR_M
NEW met2 ( 462070 2490500 ) M2M3_PR_M
NEW met1 ( 462070 2486590 ) M1M2_PR ;
- o_din0_1\[9\] ( sram1 din0[9] ) ( mprj o_din0_1[9] ) + USE SIGNAL
+ ROUTED met2 ( 1283630 1842460 ) ( * 1849090 )
NEW met1 ( 468510 1849090 ) ( 1283630 * )
NEW met3 ( 1283630 1842460 ) ( 1300420 * 0 )
NEW met4 ( 467470 2497800 ) ( * 2500530 0 )
NEW met4 ( 466900 2497800 ) ( 467470 * )
NEW met4 ( 466900 2484380 ) ( * 2497800 )
NEW met3 ( 466900 2484380 ) ( 468510 * )
NEW met2 ( 468510 1849090 ) ( * 2484380 )
NEW met1 ( 1283630 1849090 ) M1M2_PR
NEW met2 ( 1283630 1842460 ) M2M3_PR_M
NEW met1 ( 468510 1849090 ) M1M2_PR
NEW met3 ( 466900 2484380 ) M3M4_PR_M
NEW met2 ( 468510 2484380 ) M2M3_PR_M ;
- o_waddr0\[0\] ( sram addr0[0] ) ( mprj o_waddr0[0] ) + USE SIGNAL
+ ROUTED met4 ( 380420 995180 ) ( * 1000500 )
NEW met4 ( 380430 1000500 ) ( * 1000530 0 )
NEW met4 ( 380420 1000500 ) ( 380430 * )
NEW met2 ( 989690 995180 ) ( * 2342770 )
NEW met2 ( 1872430 2332060 0 ) ( * 2342770 )
NEW met1 ( 989690 2342770 ) ( 1872430 * )
NEW met3 ( 380420 995180 ) ( 989690 * )
NEW met3 ( 380420 995180 ) M3M4_PR_M
NEW met2 ( 989690 995180 ) M2M3_PR_M
NEW met1 ( 989690 2342770 ) M1M2_PR
NEW met1 ( 1872430 2342770 ) M1M2_PR ;
- o_waddr0\[1\] ( sram addr0[1] ) ( mprj o_waddr0[1] ) + USE SIGNAL
+ ROUTED met4 ( 385870 997900 ) ( 385940 * )
NEW met4 ( 385940 989740 ) ( * 997900 )
NEW met3 ( 385940 989740 ) ( 386170 * )
NEW met2 ( 386170 979370 ) ( * 989740 )
NEW met4 ( 385870 997900 ) ( * 1000500 )
NEW met4 ( 385870 1000500 ) ( * 1000530 0 )
NEW met2 ( 1155750 979370 ) ( * 2344470 )
NEW met1 ( 386170 979370 ) ( 1155750 * )
NEW met2 ( 1886690 2332060 0 ) ( * 2344470 )
NEW met1 ( 1155750 2344470 ) ( 1886690 * )
NEW met3 ( 385940 989740 ) M3M4_PR_M
NEW met2 ( 386170 989740 ) M2M3_PR_M
NEW met1 ( 386170 979370 ) M1M2_PR
NEW met1 ( 1155750 979370 ) M1M2_PR
NEW met1 ( 1155750 2344470 ) M1M2_PR
NEW met1 ( 1886690 2344470 ) M1M2_PR
NEW met3 ( 385940 989740 ) RECT ( -390 -150 0 150 ) ;
- o_waddr0\[2\] ( sram addr0[2] ) ( mprj o_waddr0[2] ) + USE SIGNAL
+ ROUTED met3 ( 286810 1141380 ) ( 297620 * )
NEW met3 ( 297620 1140950 ) ( * 1141380 )
NEW met3 ( 297620 1140950 ) ( 300160 * 0 )
NEW met2 ( 286810 1141380 ) ( * 1418310 )
NEW met3 ( 2121060 1626220 0 ) ( 2129110 * )
NEW met2 ( 2129110 1418310 ) ( * 1626220 )
NEW met1 ( 286810 1418310 ) ( 2129110 * )
NEW met2 ( 286810 1141380 ) M2M3_PR_M
NEW met1 ( 286810 1418310 ) M1M2_PR
NEW met1 ( 2129110 1418310 ) M1M2_PR
NEW met2 ( 2129110 1626220 ) M2M3_PR_M ;
- o_waddr0\[3\] ( sram addr0[3] ) ( mprj o_waddr0[3] ) + USE SIGNAL
+ ROUTED met3 ( 295090 1150220 ) ( 297620 * )
NEW met3 ( 297620 1149790 ) ( * 1150220 )
NEW met3 ( 297620 1149790 ) ( 300160 * 0 )
NEW met2 ( 295090 1150220 ) ( * 1473730 )
NEW met1 ( 295090 1473730 ) ( 1897730 * )
NEW met2 ( 1897730 1500420 ) ( 1901410 * 0 )
NEW met2 ( 1897730 1473730 ) ( * 1500420 )
NEW met2 ( 295090 1150220 ) M2M3_PR_M
NEW met1 ( 295090 1473730 ) M1M2_PR
NEW met1 ( 1897730 1473730 ) M1M2_PR ;
- o_waddr0\[4\] ( sram addr0[4] ) ( mprj o_waddr0[4] ) + USE SIGNAL
+ ROUTED met3 ( 294630 1155660 ) ( 297620 * )
NEW met3 ( 297620 1155230 ) ( * 1155660 )
NEW met3 ( 297620 1155230 ) ( 300160 * 0 )
NEW met2 ( 294630 1155660 ) ( * 1425110 )
NEW met1 ( 294630 1425110 ) ( 1911990 * )
NEW met2 ( 1911990 1500420 ) ( 1916130 * 0 )
NEW met2 ( 1911990 1425110 ) ( * 1500420 )
NEW met2 ( 294630 1155660 ) M2M3_PR_M
NEW met1 ( 294630 1425110 ) M1M2_PR
NEW met1 ( 1911990 1425110 ) M1M2_PR ;
- o_waddr0\[5\] ( sram addr0[5] ) ( mprj o_waddr0[5] ) + USE SIGNAL
+ ROUTED met3 ( 286350 1164500 ) ( 297620 * )
NEW met3 ( 297620 1164070 ) ( * 1164500 )
NEW met3 ( 297620 1164070 ) ( 300160 * 0 )
NEW met2 ( 286350 1164500 ) ( * 1417970 )
NEW met3 ( 2121060 1722780 0 ) ( 2128190 * )
NEW met2 ( 2128190 1417970 ) ( * 1722780 )
NEW met1 ( 286350 1417970 ) ( 2128190 * )
NEW met2 ( 286350 1164500 ) M2M3_PR_M
NEW met1 ( 286350 1417970 ) M1M2_PR
NEW met1 ( 2128190 1417970 ) M1M2_PR
NEW met2 ( 2128190 1722780 ) M2M3_PR_M ;
- o_waddr0\[6\] ( sram addr0[6] ) ( mprj o_waddr0[6] ) + USE SIGNAL
+ ROUTED met3 ( 287730 1169260 ) ( 297620 * )
NEW met3 ( 297620 1168830 ) ( * 1169260 )
NEW met3 ( 297620 1168830 ) ( 300160 * 0 )
NEW met2 ( 1283630 1752870 ) ( * 1755420 )
NEW met2 ( 287730 1169260 ) ( * 1752870 )
NEW met1 ( 287730 1752870 ) ( 1283630 * )
NEW met3 ( 1283630 1755420 ) ( 1300420 * 0 )
NEW met2 ( 287730 1169260 ) M2M3_PR_M
NEW met1 ( 287730 1752870 ) M1M2_PR
NEW met1 ( 1283630 1752870 ) M1M2_PR
NEW met2 ( 1283630 1755420 ) M2M3_PR_M ;
- o_waddr0\[7\] ( sram addr0[7] ) ( mprj o_waddr0[7] ) + USE SIGNAL
+ ROUTED met3 ( 287270 1179460 ) ( 297620 * )
NEW met3 ( 297620 1179460 ) ( * 1179710 )
NEW met3 ( 297620 1179710 ) ( 300160 * 0 )
NEW met2 ( 287270 1179460 ) ( * 1473050 )
NEW met3 ( 2121060 1807100 0 ) ( 2130030 * )
NEW met2 ( 2130030 1473050 ) ( * 1807100 )
NEW met1 ( 287270 1473050 ) ( 2130030 * )
NEW met2 ( 287270 1179460 ) M2M3_PR_M
NEW met1 ( 287270 1473050 ) M1M2_PR
NEW met1 ( 2130030 1473050 ) M1M2_PR
NEW met2 ( 2130030 1807100 ) M2M3_PR_M ;
- o_waddr0\[8\] ( sram addr0[8] ) ( mprj o_waddr0[8] ) + USE SIGNAL
+ ROUTED met3 ( 288190 1184900 ) ( 297620 * )
NEW met3 ( 297620 1184470 ) ( * 1184900 )
NEW met3 ( 297620 1184470 ) ( 300160 * 0 )
NEW met2 ( 288190 1184900 ) ( * 1807950 )
NEW met2 ( 1283630 1807950 ) ( * 1813220 )
NEW met1 ( 288190 1807950 ) ( 1283630 * )
NEW met3 ( 1283630 1813220 ) ( 1300420 * 0 )
NEW met2 ( 288190 1184900 ) M2M3_PR_M
NEW met1 ( 288190 1807950 ) M1M2_PR
NEW met1 ( 1283630 1807950 ) M1M2_PR
NEW met2 ( 1283630 1813220 ) M2M3_PR_M ;
- o_waddr0_1\[0\] ( sram1 addr0[0] ) ( mprj o_waddr0_1[0] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1541900 0 ) ( 2126350 * )
NEW met4 ( 380430 2497800 ) ( * 2500530 0 )
NEW met4 ( 380420 2497800 ) ( 380430 * )
NEW met4 ( 380420 2490500 ) ( * 2497800 )
NEW met3 ( 380420 2490500 ) ( 380650 * )
NEW met2 ( 380650 2489650 ) ( * 2490500 )
NEW met2 ( 2126350 1541900 ) ( * 2489650 )
NEW met1 ( 380650 2489650 ) ( 2126350 * )
NEW met2 ( 2126350 1541900 ) M2M3_PR_M
NEW met3 ( 380420 2490500 ) M3M4_PR_M
NEW met2 ( 380650 2490500 ) M2M3_PR_M
NEW met1 ( 380650 2489650 ) M1M2_PR
NEW met1 ( 2126350 2489650 ) M1M2_PR
NEW met3 ( 380420 2490500 ) RECT ( -390 -150 0 150 ) ;
- o_waddr0_1\[1\] ( sram1 addr0[1] ) ( mprj o_waddr0_1[1] ) + USE SIGNAL
+ ROUTED met4 ( 385870 2497800 ) ( * 2500530 0 )
NEW met3 ( 385710 2484380 ) ( 385940 * )
NEW met4 ( 385940 2484380 ) ( * 2497800 )
NEW met4 ( 385870 2497800 ) ( 385940 * )
NEW met2 ( 385710 1524730 ) ( * 2484380 )
NEW met2 ( 1283630 1521500 ) ( * 1524730 )
NEW met1 ( 385710 1524730 ) ( 1283630 * )
NEW met3 ( 1283630 1521500 ) ( 1300420 * 0 )
NEW met1 ( 385710 1524730 ) M1M2_PR
NEW met2 ( 385710 2484380 ) M2M3_PR_M
NEW met3 ( 385940 2484380 ) M3M4_PR_M
NEW met1 ( 1283630 1524730 ) M1M2_PR
NEW met2 ( 1283630 1521500 ) M2M3_PR_M
NEW met3 ( 385710 2484380 ) RECT ( -390 -150 0 150 ) ;
- o_waddr0_1\[2\] ( sram1 addr0[2] ) ( mprj o_waddr0_1[2] ) + USE SIGNAL
+ ROUTED met3 ( 296470 2640780 ) ( 297620 * )
NEW met3 ( 297620 2640780 ) ( * 2640950 )
NEW met3 ( 297620 2640950 ) ( 300160 * 0 )
NEW met2 ( 296470 2363850 ) ( * 2640780 )
NEW met1 ( 296470 2363850 ) ( 1896350 * )
NEW met2 ( 1896350 2332060 0 ) ( * 2363850 )
NEW met2 ( 296470 2640780 ) M2M3_PR_M
NEW met1 ( 296470 2363850 ) M1M2_PR
NEW met1 ( 1896350 2363850 ) M1M2_PR ;
- o_waddr0_1\[3\] ( sram1 addr0[3] ) ( mprj o_waddr0_1[3] ) + USE SIGNAL
+ ROUTED met3 ( 2120830 1663620 ) ( 2121060 * )
NEW met3 ( 2121060 1662260 0 ) ( * 1663620 )
NEW met3 ( 286350 2649620 ) ( 297620 * )
NEW met3 ( 297620 2649620 ) ( * 2649790 )
NEW met3 ( 297620 2649790 ) ( 300160 * 0 )
NEW met2 ( 286350 2322370 ) ( * 2649620 )
NEW met2 ( 2120830 1663620 ) ( * 2322370 )
NEW met1 ( 286350 2322370 ) ( 2120830 * )
NEW met1 ( 286350 2322370 ) M1M2_PR
NEW met2 ( 2120830 1663620 ) M2M3_PR_M
NEW met1 ( 2120830 2322370 ) M1M2_PR
NEW met2 ( 286350 2649620 ) M2M3_PR_M ;
- o_waddr0_1\[4\] ( sram1 addr0[4] ) ( mprj o_waddr0_1[4] ) + USE SIGNAL
+ ROUTED met3 ( 287270 2655060 ) ( 297620 * )
NEW met3 ( 297620 2655060 ) ( * 2655230 )
NEW met3 ( 297620 2655230 ) ( 300160 * 0 )
NEW met2 ( 287270 2322030 ) ( * 2655060 )
NEW met3 ( 2121060 1698300 0 ) ( 2129570 * )
NEW met2 ( 2129570 1698300 ) ( * 2322030 )
NEW met1 ( 287270 2322030 ) ( 2129570 * )
NEW met1 ( 287270 2322030 ) M1M2_PR
NEW met1 ( 2129570 2322030 ) M1M2_PR
NEW met2 ( 287270 2655060 ) M2M3_PR_M
NEW met2 ( 2129570 1698300 ) M2M3_PR_M ;
- o_waddr0_1\[5\] ( sram1 addr0[5] ) ( mprj o_waddr0_1[5] ) + USE SIGNAL
+ ROUTED met3 ( 288650 2663900 ) ( 297620 * )
NEW met3 ( 297620 2663900 ) ( * 2664070 )
NEW met3 ( 297620 2664070 ) ( 300160 * 0 )
NEW met2 ( 288650 1717850 ) ( * 2663900 )
NEW met2 ( 1283630 1711220 ) ( * 1717850 )
NEW met1 ( 288650 1717850 ) ( 1283630 * )
NEW met3 ( 1283630 1711220 ) ( 1300420 * 0 )
NEW met1 ( 288650 1717850 ) M1M2_PR
NEW met2 ( 288650 2663900 ) M2M3_PR_M
NEW met1 ( 1283630 1717850 ) M1M2_PR
NEW met2 ( 1283630 1711220 ) M2M3_PR_M ;
- o_waddr0_1\[6\] ( sram1 addr0[6] ) ( mprj o_waddr0_1[6] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1771060 0 ) ( 2136930 * )
NEW met3 ( 295550 2668660 ) ( 297620 * )
NEW met3 ( 297620 2668660 ) ( * 2668830 )
NEW met3 ( 297620 2668830 ) ( 300160 * 0 )
NEW met2 ( 295550 2494070 ) ( * 2668660 )
NEW met2 ( 2136930 1771060 ) ( * 2494070 )
NEW met1 ( 295550 2494070 ) ( 2136930 * )
NEW met2 ( 2136930 1771060 ) M2M3_PR_M
NEW met1 ( 295550 2494070 ) M1M2_PR
NEW met2 ( 295550 2668660 ) M2M3_PR_M
NEW met1 ( 2136930 2494070 ) M1M2_PR ;
- o_waddr0_1\[7\] ( sram1 addr0[7] ) ( mprj o_waddr0_1[7] ) + USE SIGNAL
+ ROUTED met3 ( 289110 2679540 ) ( 297620 * )
NEW met3 ( 297620 2679540 ) ( * 2679710 )
NEW met3 ( 297620 2679710 ) ( 300160 * 0 )
NEW met2 ( 289110 2494410 ) ( * 2679540 )
NEW met2 ( 1942350 2345150 ) ( * 2494410 )
NEW met2 ( 1967650 2332060 0 ) ( * 2345150 )
NEW met1 ( 1942350 2345150 ) ( 1967650 * )
NEW met1 ( 289110 2494410 ) ( 1942350 * )
NEW met1 ( 1942350 2345150 ) M1M2_PR
NEW met1 ( 289110 2494410 ) M1M2_PR
NEW met2 ( 289110 2679540 ) M2M3_PR_M
NEW met1 ( 1942350 2494410 ) M1M2_PR
NEW met1 ( 1967650 2345150 ) M1M2_PR ;
- o_waddr0_1\[8\] ( sram1 addr0[8] ) ( mprj o_waddr0_1[8] ) + USE SIGNAL
+ ROUTED met3 ( 288190 2684300 ) ( 297620 * )
NEW met3 ( 297620 2684300 ) ( * 2684470 )
NEW met3 ( 297620 2684470 ) ( 300160 * 0 )
NEW met2 ( 288190 2349230 ) ( * 2684300 )
NEW met2 ( 1981910 2332060 0 ) ( * 2349230 )
NEW met1 ( 288190 2349230 ) ( 1981910 * )
NEW met1 ( 288190 2349230 ) M1M2_PR
NEW met2 ( 288190 2684300 ) M2M3_PR_M
NEW met1 ( 1981910 2349230 ) M1M2_PR ;
- o_web0 ( sram web0 ) ( mprj o_web0 ) + USE SIGNAL
+ ROUTED met3 ( 288650 1050260 ) ( 297620 * )
NEW met3 ( 297620 1049830 ) ( * 1050260 )
NEW met3 ( 297620 1049830 ) ( 300160 * 0 )
NEW met2 ( 288650 1050260 ) ( * 1418650 )
NEW met2 ( 1849430 1500420 ) ( 1851730 * 0 )
NEW met2 ( 1849430 1418650 ) ( * 1500420 )
NEW met1 ( 288650 1418650 ) ( 1849430 * )
NEW met2 ( 288650 1050260 ) M2M3_PR_M
NEW met1 ( 288650 1418650 ) M1M2_PR
NEW met1 ( 1849430 1418650 ) M1M2_PR ;
- o_web0_1 ( sram1 web0 ) ( mprj o_web0_1 ) + USE SIGNAL
+ ROUTED met3 ( 286810 2549660 ) ( 297620 * )
NEW met3 ( 297620 2549660 ) ( * 2549830 )
NEW met3 ( 297620 2549830 ) ( 300160 * 0 )
NEW met2 ( 286810 1510790 ) ( * 2549660 )
NEW met2 ( 1283630 1507220 ) ( * 1510790 )
NEW met1 ( 286810 1510790 ) ( 1283630 * )
NEW met3 ( 1283630 1507220 ) ( 1300420 * 0 )
NEW met1 ( 286810 1510790 ) M1M2_PR
NEW met2 ( 286810 2549660 ) M2M3_PR_M
NEW met1 ( 1283630 1510790 ) M1M2_PR
NEW met2 ( 1283630 1507220 ) M2M3_PR_M ;
- o_wmask0\[0\] ( sram wmask0[0] ) ( mprj o_wmask0[0] ) + USE SIGNAL
+ ROUTED met4 ( 391310 997900 ) ( 391460 * )
NEW met4 ( 391460 993140 ) ( * 997900 )
NEW met3 ( 391460 993140 ) ( 392610 * )
NEW met2 ( 392610 989570 ) ( * 993140 )
NEW met4 ( 391310 997900 ) ( * 1000500 )
NEW met4 ( 391310 1000500 ) ( * 1000530 0 )
NEW met2 ( 1856330 989570 ) ( * 1483500 )
NEW met2 ( 1856330 1483500 ) ( 1857710 * )
NEW met2 ( 1857710 1483500 ) ( * 1500420 )
NEW met2 ( 1857710 1500420 ) ( 1861850 * 0 )
NEW met1 ( 392610 989570 ) ( 1856330 * )
NEW met3 ( 391460 993140 ) M3M4_PR_M
NEW met2 ( 392610 993140 ) M2M3_PR_M
NEW met1 ( 392610 989570 ) M1M2_PR
NEW met1 ( 1856330 989570 ) M1M2_PR ;
- o_wmask0\[1\] ( sram wmask0[1] ) ( mprj o_wmask0[1] ) + USE SIGNAL
+ ROUTED met4 ( 397900 997900 ) ( 398110 * )
NEW met4 ( 397900 993140 ) ( * 997900 )
NEW met3 ( 397900 993140 ) ( 398130 * )
NEW met2 ( 398130 989910 ) ( * 993140 )
NEW met4 ( 398110 997900 ) ( * 1000500 )
NEW met4 ( 398110 1000500 ) ( * 1000530 0 )
NEW met2 ( 1883930 1500420 ) ( 1886690 * 0 )
NEW met2 ( 1883930 989910 ) ( * 1500420 )
NEW met1 ( 398130 989910 ) ( 1883930 * )
NEW met3 ( 397900 993140 ) M3M4_PR_M
NEW met2 ( 398130 993140 ) M2M3_PR_M
NEW met1 ( 398130 989910 ) M1M2_PR
NEW met1 ( 1883930 989910 ) M1M2_PR
NEW met3 ( 397900 993140 ) RECT ( -390 -150 0 150 ) ;
- o_wmask0\[2\] ( sram wmask0[2] ) ( mprj o_wmask0[2] ) + USE SIGNAL
+ ROUTED met4 ( 402870 997900 ) ( 403420 * )
NEW met4 ( 403420 990420 ) ( * 997900 )
NEW met3 ( 403420 990420 ) ( 404570 * )
NEW met2 ( 404570 979710 ) ( * 990420 )
NEW met4 ( 402870 997900 ) ( * 1000500 )
NEW met4 ( 402870 1000500 ) ( * 1000530 0 )
NEW met1 ( 404570 979710 ) ( 1210950 * )
NEW met2 ( 1900950 2332060 0 ) ( * 2344810 )
NEW met1 ( 1210950 2344810 ) ( 1900950 * )
NEW met2 ( 1210950 979710 ) ( * 2344810 )
NEW met3 ( 403420 990420 ) M3M4_PR_M
NEW met2 ( 404570 990420 ) M2M3_PR_M
NEW met1 ( 404570 979710 ) M1M2_PR
NEW met1 ( 1210950 979710 ) M1M2_PR
NEW met1 ( 1210950 2344810 ) M1M2_PR
NEW met1 ( 1900950 2344810 ) M1M2_PR ;
- o_wmask0\[3\] ( sram wmask0[3] ) ( mprj o_wmask0[3] ) + USE SIGNAL
+ ROUTED met4 ( 408940 997900 ) ( 408990 * )
NEW met4 ( 408940 993140 ) ( * 997900 )
NEW met3 ( 408940 993140 ) ( 409170 * )
NEW met2 ( 409170 990250 ) ( * 993140 )
NEW met4 ( 408990 997900 ) ( * 1000500 )
NEW met4 ( 408990 1000500 ) ( * 1000530 0 )
NEW met2 ( 1904630 1500420 ) ( 1906470 * 0 )
NEW met2 ( 1904630 990250 ) ( * 1500420 )
NEW met1 ( 409170 990250 ) ( 1904630 * )
NEW met3 ( 408940 993140 ) M3M4_PR_M
NEW met2 ( 409170 993140 ) M2M3_PR_M
NEW met1 ( 409170 990250 ) M1M2_PR
NEW met1 ( 1904630 990250 ) M1M2_PR
NEW met3 ( 408940 993140 ) RECT ( -390 -150 0 150 ) ;
- o_wmask0_1\[0\] ( sram1 wmask0[0] ) ( mprj o_wmask0_1[0] ) + USE SIGNAL
+ ROUTED met4 ( 391310 2497800 ) ( * 2500530 0 )
NEW met4 ( 391310 2497800 ) ( 391460 * )
NEW met4 ( 391460 2490500 ) ( * 2497800 )
NEW met3 ( 391460 2490500 ) ( 391690 * )
NEW met2 ( 391690 2487950 ) ( * 2490500 )
NEW met2 ( 796950 1495150 ) ( * 2487950 )
NEW met2 ( 1866910 1495150 ) ( * 1500420 0 )
NEW met1 ( 391690 2487950 ) ( 796950 * )
NEW met1 ( 796950 1495150 ) ( 1866910 * )
NEW met3 ( 391460 2490500 ) M3M4_PR_M
NEW met2 ( 391690 2490500 ) M2M3_PR_M
NEW met1 ( 391690 2487950 ) M1M2_PR
NEW met1 ( 796950 1495150 ) M1M2_PR
NEW met1 ( 796950 2487950 ) M1M2_PR
NEW met1 ( 1866910 1495150 ) M1M2_PR
NEW met3 ( 391460 2490500 ) RECT ( -390 -150 0 150 ) ;
- o_wmask0_1\[1\] ( sram1 wmask0[1] ) ( mprj o_wmask0_1[1] ) + USE SIGNAL
+ ROUTED met4 ( 398110 2497800 ) ( * 2500530 0 )
NEW met4 ( 397900 2497800 ) ( 398110 * )
NEW met4 ( 397900 2484380 ) ( * 2497800 )
NEW met3 ( 397900 2484380 ) ( 399970 * )
NEW met2 ( 399970 1494470 ) ( * 2484380 )
NEW met2 ( 1507190 1490730 ) ( * 1494470 )
NEW met1 ( 1507190 1490730 ) ( 1511330 * )
NEW li1 ( 1511330 1489030 ) ( * 1490730 )
NEW met1 ( 399970 1494470 ) ( 1507190 * )
NEW met2 ( 1881630 1489030 ) ( * 1500420 0 )
NEW met1 ( 1511330 1489030 ) ( 1881630 * )
NEW met1 ( 399970 1494470 ) M1M2_PR
NEW met3 ( 397900 2484380 ) M3M4_PR_M
NEW met2 ( 399970 2484380 ) M2M3_PR_M
NEW met1 ( 1507190 1494470 ) M1M2_PR
NEW met1 ( 1507190 1490730 ) M1M2_PR
NEW li1 ( 1511330 1490730 ) L1M1_PR_MR
NEW li1 ( 1511330 1489030 ) L1M1_PR_MR
NEW met1 ( 1881630 1489030 ) M1M2_PR ;
- o_wmask0_1\[2\] ( sram1 wmask0[2] ) ( mprj o_wmask0_1[2] ) + USE SIGNAL
+ ROUTED met3 ( 2121060 1638460 0 ) ( 2127730 * )
NEW met4 ( 402870 2497800 ) ( * 2500530 0 )
NEW met4 ( 402870 2497800 ) ( 403420 * )
NEW met4 ( 403420 2490500 ) ( * 2497800 )
NEW met3 ( 403420 2490500 ) ( 405490 * )
NEW met2 ( 405490 2484550 ) ( * 2490500 )
NEW met2 ( 2127730 1638460 ) ( * 2484550 )
NEW met1 ( 405490 2484550 ) ( 2127730 * )
NEW met2 ( 2127730 1638460 ) M2M3_PR_M
NEW met3 ( 403420 2490500 ) M3M4_PR_M
NEW met2 ( 405490 2490500 ) M2M3_PR_M
NEW met1 ( 405490 2484550 ) M1M2_PR
NEW met1 ( 2127730 2484550 ) M1M2_PR ;
- o_wmask0_1\[3\] ( sram1 wmask0[3] ) ( mprj o_wmask0_1[3] ) + USE SIGNAL
+ ROUTED met2 ( 1918890 2332060 ) ( 1919810 * 0 )
NEW met4 ( 408990 2497800 ) ( * 2500530 0 )
NEW met4 ( 408990 2497800 ) ( 409860 * )
NEW met4 ( 409860 2490500 ) ( * 2497800 )
NEW met3 ( 409860 2490500 ) ( 410090 * )
NEW met2 ( 410090 2486250 ) ( * 2490500 )
NEW met2 ( 1918890 2332060 ) ( * 2486250 )
NEW met1 ( 410090 2486250 ) ( 1918890 * )
NEW met3 ( 409860 2490500 ) M3M4_PR_M
NEW met2 ( 410090 2490500 ) M2M3_PR_M
NEW met1 ( 410090 2486250 ) M1M2_PR
NEW met1 ( 1918890 2486250 ) M1M2_PR
NEW met3 ( 409860 2490500 ) RECT ( -390 -150 0 150 ) ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) ( mprj irq[0] ) + USE SIGNAL
+ ROUTED met2 ( 2905130 1700 0 ) ( * 17170 )
NEW met2 ( 1827350 1500420 0 ) ( 1828270 * )
NEW met2 ( 1828270 17170 ) ( * 1500420 )
NEW met1 ( 1828270 17170 ) ( 2905130 * )
NEW met1 ( 1828270 17170 ) M1M2_PR
NEW met1 ( 2905130 17170 ) M1M2_PR ;
- user_irq[1] ( PIN user_irq[1] ) ( mprj irq[1] ) + USE SIGNAL
+ ROUTED met2 ( 2911110 1700 0 ) ( * 17850 )
NEW met2 ( 1831950 1500420 0 ) ( 1835170 * )
NEW met2 ( 1835170 17850 ) ( * 1500420 )
NEW met1 ( 1835170 17850 ) ( 2911110 * )
NEW met1 ( 1835170 17850 ) M1M2_PR
NEW met1 ( 2911110 17850 ) M1M2_PR ;
- user_irq[2] ( PIN user_irq[2] ) ( mprj irq[2] ) + USE SIGNAL
+ ROUTED met2 ( 2917090 1700 0 ) ( * 17510 )
NEW met1 ( 1837010 1486650 ) ( 1842070 * )
NEW met2 ( 1837010 1486650 ) ( * 1500420 0 )
NEW met2 ( 1842070 17510 ) ( * 1486650 )
NEW met1 ( 1842070 17510 ) ( 2917090 * )
NEW met1 ( 1842070 17510 ) M1M2_PR
NEW met1 ( 2917090 17510 ) M1M2_PR
NEW met1 ( 1842070 1486650 ) M1M2_PR
NEW met1 ( 1837010 1486650 ) M1M2_PR ;
- wb_clk_i ( PIN wb_clk_i ) ( sram1 clk1 ) ( sram1 clk0 ) ( sram clk1 ) ( sram clk0 ) ( mprj wb_clk_i ) + USE CLOCK
+ ROUTED met2 ( 2990 1700 0 ) ( * 17170 )
NEW met3 ( 299460 2541670 ) ( 300160 * 0 )
NEW met3 ( 299460 2541500 ) ( * 2541670 )
NEW met3 ( 289570 2541500 ) ( 299460 * )
NEW met4 ( 954350 2916010 0 ) ( * 2918900 )
NEW met3 ( 954270 2918900 ) ( 954350 * )
NEW met2 ( 954270 2918900 ) ( * 2922130 )
NEW met1 ( 286350 1035130 ) ( 289570 * )
NEW met1 ( 289570 1041590 ) ( 296470 * )
NEW met3 ( 299460 1041670 ) ( 300160 * 0 )
NEW met3 ( 299460 1041420 ) ( * 1041670 )
NEW met3 ( 296470 1041420 ) ( 299460 * )
NEW met2 ( 296470 1041420 ) ( * 1041590 )
NEW met2 ( 286350 17170 ) ( * 1035130 )
NEW met2 ( 296470 1041590 ) ( * 1424770 )
NEW met2 ( 289570 1035130 ) ( * 2922130 )
NEW met4 ( 954350 1416100 0 ) ( * 1419500 )
NEW met4 ( 954350 1419500 ) ( 954500 * )
NEW met4 ( 954500 1419500 ) ( * 1424260 )
NEW met3 ( 954500 1424260 ) ( 954730 * )
NEW met2 ( 954730 1424260 ) ( * 1424770 )
NEW met2 ( 958870 1424770 ) ( * 1479850 )
NEW met1 ( 2990 17170 ) ( 34500 * )
NEW met1 ( 34500 16490 ) ( * 17170 )
NEW met1 ( 34500 16490 ) ( 62330 * )
NEW met1 ( 62330 16490 ) ( * 17170 )
NEW met1 ( 62330 17170 ) ( 286350 * )
NEW met1 ( 289570 2922130 ) ( 954270 * )
NEW met1 ( 958870 1479850 ) ( 1297430 * )
NEW met1 ( 296470 1424770 ) ( 958870 * )
NEW met2 ( 1297430 1500420 ) ( 1302490 * 0 )
NEW met2 ( 1297430 1479850 ) ( * 1500420 )
NEW met1 ( 2990 17170 ) M1M2_PR
NEW met1 ( 286350 17170 ) M1M2_PR
NEW met2 ( 289570 2541500 ) M2M3_PR_M
NEW met1 ( 289570 2922130 ) M1M2_PR
NEW met1 ( 958870 1479850 ) M1M2_PR
NEW met3 ( 954350 2918900 ) M3M4_PR_M
NEW met2 ( 954270 2918900 ) M2M3_PR_M
NEW met1 ( 954270 2922130 ) M1M2_PR
NEW met1 ( 289570 1035130 ) M1M2_PR
NEW met1 ( 286350 1035130 ) M1M2_PR
NEW met1 ( 296470 1041590 ) M1M2_PR
NEW met1 ( 289570 1041590 ) M1M2_PR
NEW met2 ( 296470 1041420 ) M2M3_PR_M
NEW met1 ( 296470 1424770 ) M1M2_PR
NEW met1 ( 958870 1424770 ) M1M2_PR
NEW met3 ( 954500 1424260 ) M3M4_PR_M
NEW met2 ( 954730 1424260 ) M2M3_PR_M
NEW met1 ( 954730 1424770 ) M1M2_PR
NEW met1 ( 1297430 1479850 ) M1M2_PR
NEW met2 ( 289570 2541500 ) RECT ( -70 -485 70 0 )
NEW met3 ( 954350 2918900 ) RECT ( 0 -150 540 150 )
NEW met2 ( 289570 1041590 ) RECT ( -70 -485 70 0 )
NEW met3 ( 954500 1424260 ) RECT ( -390 -150 0 150 )
NEW met1 ( 954730 1424770 ) RECT ( -595 -70 0 70 ) ;
- wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) + USE SIGNAL
+ ROUTED met2 ( 8510 1700 0 ) ( * 17850 )
NEW met2 ( 86250 17850 ) ( * 1479510 )
NEW met1 ( 8510 17850 ) ( 86250 * )
NEW met1 ( 86250 1479510 ) ( 1304330 * )
NEW met2 ( 1304330 1500420 ) ( 1307090 * 0 )
NEW met2 ( 1304330 1479510 ) ( * 1500420 )
NEW met1 ( 8510 17850 ) M1M2_PR
NEW met1 ( 86250 17850 ) M1M2_PR
NEW met1 ( 86250 1479510 ) M1M2_PR
NEW met1 ( 1304330 1479510 ) M1M2_PR ;
- wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) + USE SIGNAL
+ ROUTED met2 ( 14490 1700 0 ) ( * 18190 )
NEW met1 ( 14490 18190 ) ( 169050 * )
NEW met2 ( 169050 18190 ) ( * 1425790 )
NEW met1 ( 169050 1425790 ) ( 1311690 * )
NEW met2 ( 1311690 1500420 ) ( 1312150 * 0 )
NEW met2 ( 1311690 1425790 ) ( * 1500420 )
NEW met1 ( 14490 18190 ) M1M2_PR
NEW met1 ( 169050 18190 ) M1M2_PR
NEW met1 ( 169050 1425790 ) M1M2_PR
NEW met1 ( 1311690 1425790 ) M1M2_PR ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 93150 18870 ) ( * 1425450 )
NEW met2 ( 38410 1700 0 ) ( * 18870 )
NEW met1 ( 38410 18870 ) ( 93150 * )
NEW met1 ( 93150 1425450 ) ( 1332390 * )
NEW met2 ( 1331930 1500420 0 ) ( 1332390 * )
NEW met2 ( 1332390 1425450 ) ( * 1500420 )
NEW met1 ( 93150 18870 ) M1M2_PR
NEW met1 ( 93150 1425450 ) M1M2_PR
NEW met1 ( 38410 18870 ) M1M2_PR
NEW met1 ( 1332390 1425450 ) M1M2_PR ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 239430 1700 0 ) ( * 18530 )
NEW met1 ( 239430 18530 ) ( 344310 * )
NEW met2 ( 344310 18530 ) ( * 39950 )
NEW met1 ( 344310 39950 ) ( 1497530 * )
NEW met2 ( 1497530 1500420 ) ( 1500290 * 0 )
NEW met2 ( 1497530 39950 ) ( * 1500420 )
NEW met1 ( 239430 18530 ) M1M2_PR
NEW met1 ( 344310 18530 ) M1M2_PR
NEW met1 ( 344310 39950 ) M1M2_PR
NEW met1 ( 1497530 39950 ) M1M2_PR ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 256910 1700 0 ) ( * 20570 )
NEW met1 ( 256910 20570 ) ( 358570 * )
NEW met2 ( 358570 20570 ) ( * 39610 )
NEW met1 ( 358570 39610 ) ( 1511330 * )
NEW met2 ( 1511330 1500420 ) ( 1515010 * 0 )
NEW met2 ( 1511330 39610 ) ( * 1500420 )
NEW met1 ( 256910 20570 ) M1M2_PR
NEW met1 ( 358570 20570 ) M1M2_PR
NEW met1 ( 358570 39610 ) M1M2_PR
NEW met1 ( 1511330 39610 ) M1M2_PR ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) + USE SIGNAL
+ ROUTED met2 ( 372830 20230 ) ( * 40290 )
NEW met2 ( 274850 1700 0 ) ( * 20230 )
NEW met1 ( 274850 20230 ) ( 372830 * )
NEW met1 ( 372830 40290 ) ( 1525590 * )
NEW met2 ( 1525590 1500420 ) ( 1530190 * 0 )
NEW met2 ( 1525590 40290 ) ( * 1500420 )
NEW met1 ( 372830 20230 ) M1M2_PR
NEW met1 ( 372830 40290 ) M1M2_PR
NEW met1 ( 274850 20230 ) M1M2_PR
NEW met1 ( 1525590 40290 ) M1M2_PR ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 292330 1700 0 ) ( * 17170 )
NEW met1 ( 292330 17170 ) ( 296010 * )
NEW met2 ( 296010 17170 ) ( * 1483250 )
NEW met2 ( 1539390 1484100 ) ( 1540310 * )
NEW met2 ( 1540310 1484100 ) ( * 1500420 )
NEW met2 ( 1540310 1500420 ) ( 1544910 * 0 )
NEW met2 ( 1539390 1483250 ) ( * 1484100 )
NEW met1 ( 296010 1483250 ) ( 1539390 * )
NEW met1 ( 292330 17170 ) M1M2_PR
NEW met1 ( 296010 17170 ) M1M2_PR
NEW met1 ( 296010 1483250 ) M1M2_PR
NEW met1 ( 1539390 1483250 ) M1M2_PR ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 310270 1700 0 ) ( * 17170 )
NEW met2 ( 1559630 17170 ) ( * 1500420 0 )
NEW met1 ( 310270 17170 ) ( 1559630 * )
NEW met1 ( 310270 17170 ) M1M2_PR
NEW met1 ( 1559630 17170 ) M1M2_PR ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) + USE SIGNAL
+ ROUTED met2 ( 1573890 1500420 ) ( 1574810 * 0 )
NEW met2 ( 1573890 982770 ) ( * 1500420 )
NEW met2 ( 327750 1700 0 ) ( * 16830 )
NEW met1 ( 327750 16830 ) ( 330970 * )
NEW met2 ( 330970 16830 ) ( * 982770 )
NEW met1 ( 330970 982770 ) ( 1573890 * )
NEW met1 ( 1573890 982770 ) M1M2_PR
NEW met1 ( 327750 16830 ) M1M2_PR
NEW met1 ( 330970 16830 ) M1M2_PR
NEW met1 ( 330970 982770 ) M1M2_PR ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 345690 1700 0 ) ( * 24990 )
NEW met1 ( 345690 24990 ) ( 1587230 * )
NEW met2 ( 1587230 1500420 ) ( 1589530 * 0 )
NEW met2 ( 1587230 24990 ) ( * 1500420 )
NEW met1 ( 345690 24990 ) M1M2_PR
NEW met1 ( 1587230 24990 ) M1M2_PR ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 363170 1700 0 ) ( * 25330 )
NEW met1 ( 363170 25330 ) ( 1601030 * )
NEW met2 ( 1601030 1500420 ) ( 1604250 * 0 )
NEW met2 ( 1601030 25330 ) ( * 1500420 )
NEW met1 ( 363170 25330 ) M1M2_PR
NEW met1 ( 1601030 25330 ) M1M2_PR ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 381110 1700 0 ) ( * 26010 )
NEW met1 ( 381110 26010 ) ( 1614830 * )
NEW met2 ( 1614830 1500420 ) ( 1618970 * 0 )
NEW met2 ( 1614830 26010 ) ( * 1500420 )
NEW met1 ( 381110 26010 ) M1M2_PR
NEW met1 ( 1614830 26010 ) M1M2_PR ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 398590 1700 0 ) ( * 25670 )
NEW met1 ( 1628630 1490730 ) ( 1632310 * )
NEW met2 ( 1632310 1490730 ) ( * 1500420 )
NEW met2 ( 1632310 1500420 ) ( 1634150 * 0 )
NEW met2 ( 1628630 25670 ) ( * 1490730 )
NEW met1 ( 398590 25670 ) ( 1628630 * )
NEW met1 ( 398590 25670 ) M1M2_PR
NEW met1 ( 1628630 25670 ) M1M2_PR
NEW met1 ( 1628630 1490730 ) M1M2_PR
NEW met1 ( 1632310 1490730 ) M1M2_PR ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 1346190 23970 ) ( * 1483500 )
NEW met2 ( 1346190 1483500 ) ( 1347110 * )
NEW met2 ( 1347110 1483500 ) ( * 1500420 )
NEW met2 ( 1347110 1500420 ) ( 1351710 * 0 )
NEW met2 ( 61870 1700 0 ) ( * 23970 )
NEW met1 ( 61870 23970 ) ( 1346190 * )
NEW met1 ( 1346190 23970 ) M1M2_PR
NEW met1 ( 61870 23970 ) M1M2_PR ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 416530 1700 0 ) ( * 26690 )
NEW met1 ( 1642430 1490730 ) ( 1647030 * )
NEW met2 ( 1647030 1490730 ) ( * 1500420 )
NEW met2 ( 1647030 1500420 ) ( 1648870 * 0 )
NEW met2 ( 1642430 26690 ) ( * 1490730 )
NEW met1 ( 416530 26690 ) ( 1642430 * )
NEW met1 ( 416530 26690 ) M1M2_PR
NEW met1 ( 1642430 26690 ) M1M2_PR
NEW met1 ( 1642430 1490730 ) M1M2_PR
NEW met1 ( 1647030 1490730 ) M1M2_PR ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) + USE SIGNAL
+ ROUTED met2 ( 1663590 26350 ) ( * 1500420 0 )
NEW met2 ( 434470 1700 0 ) ( * 26350 )
NEW met1 ( 434470 26350 ) ( 1663590 * )
NEW met1 ( 1663590 26350 ) M1M2_PR
NEW met1 ( 434470 26350 ) M1M2_PR ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) + USE SIGNAL
+ ROUTED met2 ( 451950 1700 0 ) ( * 13940 )
NEW met2 ( 451950 13940 ) ( 452410 * )
NEW met2 ( 452410 13940 ) ( * 27030 )
NEW met1 ( 452410 27030 ) ( 1676930 * )
NEW met2 ( 1676930 1500420 ) ( 1678770 * 0 )
NEW met2 ( 1676930 27030 ) ( * 1500420 )
NEW met1 ( 452410 27030 ) M1M2_PR
NEW met1 ( 1676930 27030 ) M1M2_PR ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 469890 1700 0 ) ( * 27370 )
NEW met1 ( 469890 27370 ) ( 1690730 * )
NEW met2 ( 1690730 1500420 ) ( 1693490 * 0 )
NEW met2 ( 1690730 27370 ) ( * 1500420 )
NEW met1 ( 469890 27370 ) M1M2_PR
NEW met1 ( 1690730 27370 ) M1M2_PR ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 487370 1700 0 ) ( * 23630 )
NEW met1 ( 487370 23630 ) ( 1704530 * )
NEW met2 ( 1704530 1500420 ) ( 1708210 * 0 )
NEW met2 ( 1704530 23630 ) ( * 1500420 )
NEW met1 ( 487370 23630 ) M1M2_PR
NEW met1 ( 1704530 23630 ) M1M2_PR ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 505310 1700 0 ) ( * 22270 )
NEW met1 ( 505310 22270 ) ( 1719250 * )
NEW met2 ( 1719250 1500420 ) ( 1723390 * 0 )
NEW met2 ( 1719250 22270 ) ( * 1500420 )
NEW met1 ( 505310 22270 ) M1M2_PR
NEW met1 ( 1719250 22270 ) M1M2_PR ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) + USE SIGNAL
+ ROUTED met1 ( 1732130 1490730 ) ( 1736270 * )
NEW met2 ( 1736270 1490730 ) ( * 1500420 )
NEW met2 ( 1736270 1500420 ) ( 1738110 * 0 )
NEW met2 ( 1732130 22610 ) ( * 1490730 )
NEW met2 ( 522790 1700 0 ) ( * 22610 )
NEW met1 ( 522790 22610 ) ( 1732130 * )
NEW met1 ( 1732130 22610 ) M1M2_PR
NEW met1 ( 1732130 1490730 ) M1M2_PR
NEW met1 ( 1736270 1490730 ) M1M2_PR
NEW met1 ( 522790 22610 ) M1M2_PR ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 1752830 23290 ) ( * 1500420 0 )
NEW met2 ( 540730 1700 0 ) ( * 23290 )
NEW met1 ( 540730 23290 ) ( 1752830 * )
NEW met1 ( 1752830 23290 ) M1M2_PR
NEW met1 ( 540730 23290 ) M1M2_PR ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) + USE SIGNAL
+ ROUTED met2 ( 1767090 1500420 ) ( 1767550 * 0 )
NEW met2 ( 1767090 22950 ) ( * 1500420 )
NEW met2 ( 558210 1700 0 ) ( * 22950 )
NEW met1 ( 558210 22950 ) ( 1767090 * )
NEW met1 ( 1767090 22950 ) M1M2_PR
NEW met1 ( 558210 22950 ) M1M2_PR ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 576150 1700 0 ) ( * 21930 )
NEW met1 ( 576150 21930 ) ( 1780430 * )
NEW met2 ( 1780430 1500420 ) ( 1782730 * 0 )
NEW met2 ( 1780430 21930 ) ( * 1500420 )
NEW met1 ( 576150 21930 ) M1M2_PR
NEW met1 ( 1780430 21930 ) M1M2_PR ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 85330 1700 0 ) ( * 24310 )
NEW met2 ( 1366890 1500420 ) ( 1371490 * 0 )
NEW met2 ( 1366890 24310 ) ( * 1500420 )
NEW met1 ( 85330 24310 ) ( 1366890 * )
NEW met1 ( 85330 24310 ) M1M2_PR
NEW met1 ( 1366890 24310 ) M1M2_PR ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 594090 1700 0 ) ( * 21250 )
NEW met1 ( 594090 21250 ) ( 1794230 * )
NEW met2 ( 1794230 1500420 ) ( 1797450 * 0 )
NEW met2 ( 1794230 21250 ) ( * 1500420 )
NEW met1 ( 594090 21250 ) M1M2_PR
NEW met1 ( 1794230 21250 ) M1M2_PR ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 611570 1700 0 ) ( * 21590 )
NEW met1 ( 611570 21590 ) ( 1808030 * )
NEW met2 ( 1808030 1500420 ) ( 1812170 * 0 )
NEW met2 ( 1808030 21590 ) ( * 1500420 )
NEW met1 ( 611570 21590 ) M1M2_PR
NEW met1 ( 1808030 21590 ) M1M2_PR ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 109250 1700 0 ) ( * 12580 )
NEW met2 ( 109250 12580 ) ( 109710 * )
NEW met2 ( 109710 12580 ) ( * 24650 )
NEW met1 ( 109710 24650 ) ( 1387130 * )
NEW met2 ( 1387130 1500420 ) ( 1391270 * 0 )
NEW met2 ( 1387130 24650 ) ( * 1500420 )
NEW met1 ( 109710 24650 ) M1M2_PR
NEW met1 ( 1387130 24650 ) M1M2_PR ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 132710 1700 0 ) ( * 18530 )
NEW met1 ( 132710 18530 ) ( 179400 * )
NEW met1 ( 179400 17850 ) ( * 18530 )
NEW li1 ( 428030 17850 ) ( * 20570 )
NEW met1 ( 428030 20570 ) ( 441370 * )
NEW met1 ( 179400 17850 ) ( 428030 * )
NEW met2 ( 441370 20570 ) ( * 40970 )
NEW met1 ( 441370 40970 ) ( 1407830 * )
NEW met2 ( 1407830 1500420 ) ( 1411050 * 0 )
NEW met2 ( 1407830 40970 ) ( * 1500420 )
NEW met1 ( 132710 18530 ) M1M2_PR
NEW li1 ( 428030 17850 ) L1M1_PR_MR
NEW li1 ( 428030 20570 ) L1M1_PR_MR
NEW met1 ( 441370 20570 ) M1M2_PR
NEW met1 ( 441370 40970 ) M1M2_PR
NEW met1 ( 1407830 40970 ) M1M2_PR ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 150650 1700 0 ) ( * 37910 )
NEW met1 ( 150650 37910 ) ( 1421630 * )
NEW met2 ( 1421630 1500420 ) ( 1426230 * 0 )
NEW met2 ( 1421630 37910 ) ( * 1500420 )
NEW met1 ( 150650 37910 ) M1M2_PR
NEW met1 ( 1421630 37910 ) M1M2_PR ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 1436350 1500420 ) ( 1440950 * 0 )
NEW met2 ( 1436350 982940 ) ( * 1500420 )
NEW met2 ( 168130 1700 0 ) ( * 19890 )
NEW met1 ( 168130 19890 ) ( 445050 * )
NEW met2 ( 445050 19890 ) ( * 982940 )
NEW met3 ( 445050 982940 ) ( 1436350 * )
NEW met2 ( 1436350 982940 ) M2M3_PR_M
NEW met1 ( 168130 19890 ) M1M2_PR
NEW met1 ( 445050 19890 ) M1M2_PR
NEW met2 ( 445050 982940 ) M2M3_PR_M ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 186070 1700 0 ) ( * 15470 )
NEW met1 ( 186070 15470 ) ( 204010 * )
NEW met2 ( 204010 15470 ) ( * 1482910 )
NEW met2 ( 1449690 1482910 ) ( * 1483500 )
NEW met2 ( 1449690 1483500 ) ( 1451070 * )
NEW met2 ( 1451070 1483500 ) ( * 1500420 )
NEW met2 ( 1451070 1500420 ) ( 1455670 * 0 )
NEW met1 ( 204010 1482910 ) ( 1449690 * )
NEW met1 ( 186070 15470 ) M1M2_PR
NEW met1 ( 204010 15470 ) M1M2_PR
NEW met1 ( 204010 1482910 ) M1M2_PR
NEW met1 ( 1449690 1482910 ) M1M2_PR ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 203550 1700 0 ) ( * 19210 )
NEW met2 ( 1470390 1500420 ) ( 1470850 * 0 )
NEW met2 ( 1470390 1479170 ) ( * 1500420 )
NEW met1 ( 203550 19210 ) ( 258750 * )
NEW met1 ( 258750 1479170 ) ( 1470390 * )
NEW met2 ( 258750 19210 ) ( * 1479170 )
NEW met1 ( 203550 19210 ) M1M2_PR
NEW met1 ( 1470390 1479170 ) M1M2_PR
NEW met1 ( 258750 19210 ) M1M2_PR
NEW met1 ( 258750 1479170 ) M1M2_PR ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 221490 1700 0 ) ( * 20570 )
NEW met1 ( 221490 20570 ) ( 238050 * )
NEW met1 ( 238050 1482570 ) ( 1484190 * )
NEW met2 ( 238050 20570 ) ( * 1482570 )
NEW met2 ( 1484190 1500420 ) ( 1485570 * 0 )
NEW met2 ( 1484190 1482570 ) ( * 1500420 )
NEW met1 ( 221490 20570 ) M1M2_PR
NEW met1 ( 238050 20570 ) M1M2_PR
NEW met1 ( 238050 1482570 ) M1M2_PR
NEW met1 ( 1484190 1482570 ) M1M2_PR ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) + USE SIGNAL
+ ROUTED met2 ( 20470 1700 0 ) ( * 44710 )
NEW met1 ( 20470 44710 ) ( 1311230 * )
NEW met1 ( 1311230 1490390 ) ( 1315830 * )
NEW met2 ( 1315830 1490390 ) ( * 1500420 )
NEW met2 ( 1315830 1500420 ) ( 1317210 * 0 )
NEW met2 ( 1311230 44710 ) ( * 1490390 )
NEW met1 ( 20470 44710 ) M1M2_PR
NEW met1 ( 1311230 44710 ) M1M2_PR
NEW met1 ( 1311230 1490390 ) M1M2_PR
NEW met1 ( 1315830 1490390 ) M1M2_PR ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 109250 18530 ) ( * 45050 )
NEW met2 ( 43930 1700 0 ) ( * 18530 )
NEW met1 ( 43930 18530 ) ( 109250 * )
NEW met1 ( 109250 45050 ) ( 1332850 * )
NEW met2 ( 1332850 1500420 ) ( 1336990 * 0 )
NEW met2 ( 1332850 45050 ) ( * 1500420 )
NEW met1 ( 109250 18530 ) M1M2_PR
NEW met1 ( 109250 45050 ) M1M2_PR
NEW met1 ( 43930 18530 ) M1M2_PR
NEW met1 ( 1332850 45050 ) M1M2_PR ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 244950 1700 0 ) ( * 31110 )
NEW met1 ( 244950 31110 ) ( 1504890 * )
NEW met2 ( 1504890 1500420 ) ( 1505350 * 0 )
NEW met2 ( 1504890 31110 ) ( * 1500420 )
NEW met1 ( 244950 31110 ) M1M2_PR
NEW met1 ( 1504890 31110 ) M1M2_PR ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 262890 1700 0 ) ( * 31450 )
NEW met1 ( 262890 31450 ) ( 1518230 * )
NEW met2 ( 1518230 1500420 ) ( 1520070 * 0 )
NEW met2 ( 1518230 31450 ) ( * 1500420 )
NEW met1 ( 262890 31450 ) M1M2_PR
NEW met1 ( 1518230 31450 ) M1M2_PR ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) + USE SIGNAL
+ ROUTED met2 ( 280370 1700 0 ) ( * 31790 )
NEW met2 ( 1532030 1500420 ) ( 1534790 * 0 )
NEW met2 ( 1532030 31790 ) ( * 1500420 )
NEW met1 ( 280370 31790 ) ( 1532030 * )
NEW met1 ( 280370 31790 ) M1M2_PR
NEW met1 ( 1532030 31790 ) M1M2_PR ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 298310 1700 0 ) ( * 32810 )
NEW met2 ( 1545830 1500420 ) ( 1549970 * 0 )
NEW met2 ( 1545830 32810 ) ( * 1500420 )
NEW met1 ( 298310 32810 ) ( 1545830 * )
NEW met1 ( 298310 32810 ) M1M2_PR
NEW met1 ( 1545830 32810 ) M1M2_PR ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 316250 1700 0 ) ( * 32130 )
NEW met2 ( 1560090 1500420 ) ( 1564690 * 0 )
NEW met2 ( 1560090 32130 ) ( * 1500420 )
NEW met1 ( 316250 32130 ) ( 1560090 * )
NEW met1 ( 316250 32130 ) M1M2_PR
NEW met1 ( 1560090 32130 ) M1M2_PR ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) + USE SIGNAL
+ ROUTED met1 ( 1573430 1490730 ) ( 1578030 * )
NEW met2 ( 1578030 1490730 ) ( * 1500420 )
NEW met2 ( 1578030 1500420 ) ( 1579410 * 0 )
NEW met2 ( 1573430 33490 ) ( * 1490730 )
NEW met2 ( 333730 1700 0 ) ( * 33490 )
NEW met1 ( 333730 33490 ) ( 1573430 * )
NEW met1 ( 1573430 33490 ) M1M2_PR
NEW met1 ( 1573430 1490730 ) M1M2_PR
NEW met1 ( 1578030 1490730 ) M1M2_PR
NEW met1 ( 333730 33490 ) M1M2_PR ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 351670 1700 0 ) ( * 32470 )
NEW met1 ( 351670 32470 ) ( 1594590 * )
NEW met2 ( 1594590 32470 ) ( * 1500420 0 )
NEW met1 ( 351670 32470 ) M1M2_PR
NEW met1 ( 1594590 32470 ) M1M2_PR ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 382950 20570 ) ( * 982430 )
NEW met2 ( 369150 1700 0 ) ( * 20570 )
NEW met1 ( 369150 20570 ) ( 382950 * )
NEW met2 ( 1608390 1500420 ) ( 1609310 * 0 )
NEW met2 ( 1608390 982430 ) ( * 1500420 )
NEW met1 ( 382950 982430 ) ( 1608390 * )
NEW met1 ( 382950 20570 ) M1M2_PR
NEW met1 ( 382950 982430 ) M1M2_PR
NEW met1 ( 369150 20570 ) M1M2_PR
NEW met1 ( 1608390 982430 ) M1M2_PR ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 387090 1700 0 ) ( * 20230 )
NEW met2 ( 493350 20230 ) ( * 981070 )
NEW met1 ( 387090 20230 ) ( 493350 * )
NEW met2 ( 1621730 1500420 ) ( 1624030 * 0 )
NEW met2 ( 1621730 981070 ) ( * 1500420 )
NEW met1 ( 493350 981070 ) ( 1621730 * )
NEW met1 ( 387090 20230 ) M1M2_PR
NEW met1 ( 493350 20230 ) M1M2_PR
NEW met1 ( 493350 981070 ) M1M2_PR
NEW met1 ( 1621730 981070 ) M1M2_PR ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 404570 1700 0 ) ( * 16830 )
NEW met1 ( 404570 16830 ) ( 417450 * )
NEW met2 ( 417450 16830 ) ( * 981750 )
NEW met2 ( 1635530 1500420 ) ( 1639210 * 0 )
NEW met2 ( 1635530 981750 ) ( * 1500420 )
NEW met1 ( 417450 981750 ) ( 1635530 * )
NEW met1 ( 404570 16830 ) M1M2_PR
NEW met1 ( 417450 16830 ) M1M2_PR
NEW met1 ( 417450 981750 ) M1M2_PR
NEW met1 ( 1635530 981750 ) M1M2_PR ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 1352630 1500420 ) ( 1356770 * 0 )
NEW met2 ( 1352630 30770 ) ( * 1500420 )
NEW met2 ( 67850 1700 0 ) ( * 30770 )
NEW met1 ( 67850 30770 ) ( 1352630 * )
NEW met1 ( 1352630 30770 ) M1M2_PR
NEW met1 ( 67850 30770 ) M1M2_PR ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 1649330 1500420 ) ( 1653930 * 0 )
NEW met2 ( 1649330 30430 ) ( * 1500420 )
NEW met2 ( 422510 1700 0 ) ( * 30430 )
NEW met1 ( 422510 30430 ) ( 1649330 * )
NEW met1 ( 1649330 30430 ) M1M2_PR
NEW met1 ( 422510 30430 ) M1M2_PR ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) + USE SIGNAL
+ ROUTED met1 ( 1659450 1486650 ) ( 1668650 * )
NEW met2 ( 1668650 1486650 ) ( * 1500420 0 )
NEW met2 ( 1659450 134470 ) ( * 1486650 )
NEW met2 ( 439990 82800 ) ( 441370 * )
NEW met2 ( 439990 1700 0 ) ( * 82800 )
NEW met2 ( 441370 82800 ) ( * 134470 )
NEW met1 ( 441370 134470 ) ( 1659450 * )
NEW met1 ( 1659450 134470 ) M1M2_PR
NEW met1 ( 1659450 1486650 ) M1M2_PR
NEW met1 ( 1668650 1486650 ) M1M2_PR
NEW met1 ( 441370 134470 ) M1M2_PR ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) + USE SIGNAL
+ ROUTED met2 ( 457930 1700 0 ) ( * 20570 )
NEW met1 ( 457930 20570 ) ( 534750 * )
NEW met2 ( 534750 20570 ) ( * 981410 )
NEW met2 ( 1677390 981410 ) ( * 1483500 )
NEW met2 ( 1677390 1483500 ) ( 1679230 * )
NEW met2 ( 1679230 1483500 ) ( * 1500420 )
NEW met2 ( 1679230 1500420 ) ( 1683370 * 0 )
NEW met1 ( 534750 981410 ) ( 1677390 * )
NEW met1 ( 457930 20570 ) M1M2_PR
NEW met1 ( 534750 20570 ) M1M2_PR
NEW met1 ( 534750 981410 ) M1M2_PR
NEW met1 ( 1677390 981410 ) M1M2_PR ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 475870 1700 0 ) ( * 34170 )
NEW met1 ( 475870 34170 ) ( 1697630 * )
NEW met2 ( 1697630 1500420 ) ( 1698550 * 0 )
NEW met2 ( 1697630 34170 ) ( * 1500420 )
NEW met1 ( 475870 34170 ) M1M2_PR
NEW met1 ( 1697630 34170 ) M1M2_PR ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 493350 1700 0 ) ( * 16830 )
NEW met1 ( 493350 16830 ) ( 559130 * )
NEW met2 ( 559130 16830 ) ( * 40630 )
NEW met1 ( 559130 40630 ) ( 1711430 * )
NEW met2 ( 1711430 1500420 ) ( 1713270 * 0 )
NEW met2 ( 1711430 40630 ) ( * 1500420 )
NEW met1 ( 493350 16830 ) M1M2_PR
NEW met1 ( 559130 16830 ) M1M2_PR
NEW met1 ( 559130 40630 ) M1M2_PR
NEW met1 ( 1711430 40630 ) M1M2_PR ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 511290 1700 0 ) ( * 15130 )
NEW met2 ( 583050 15130 ) ( * 203490 )
NEW met2 ( 1725230 1500420 ) ( 1727990 * 0 )
NEW met2 ( 1725230 203490 ) ( * 1500420 )
NEW met1 ( 511290 15130 ) ( 583050 * )
NEW met1 ( 583050 203490 ) ( 1725230 * )
NEW met1 ( 511290 15130 ) M1M2_PR
NEW met1 ( 583050 15130 ) M1M2_PR
NEW met1 ( 583050 203490 ) M1M2_PR
NEW met1 ( 1725230 203490 ) M1M2_PR ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 1739030 1500420 ) ( 1743170 * 0 )
NEW met2 ( 1739030 29410 ) ( * 1500420 )
NEW met2 ( 528770 1700 0 ) ( * 29410 )
NEW met1 ( 528770 29410 ) ( 1739030 * )
NEW met1 ( 1739030 29410 ) M1M2_PR
NEW met1 ( 528770 29410 ) M1M2_PR ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 1753290 1500420 ) ( 1757890 * 0 )
NEW met2 ( 1753290 29070 ) ( * 1500420 )
NEW met2 ( 546710 1700 0 ) ( * 29070 )
NEW met1 ( 546710 29070 ) ( 1753290 * )
NEW met1 ( 1753290 29070 ) M1M2_PR
NEW met1 ( 546710 29070 ) M1M2_PR ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) + USE SIGNAL
+ ROUTED met1 ( 1749150 1484270 ) ( 1772610 * )
NEW met2 ( 1772610 1484270 ) ( * 1500420 0 )
NEW met2 ( 1749150 39270 ) ( * 1484270 )
NEW met2 ( 564190 1700 0 ) ( * 39270 )
NEW met1 ( 564190 39270 ) ( 1749150 * )
NEW met1 ( 1749150 39270 ) M1M2_PR
NEW met1 ( 1749150 1484270 ) M1M2_PR
NEW met1 ( 1772610 1484270 ) M1M2_PR
NEW met1 ( 564190 39270 ) M1M2_PR ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 582130 1700 0 ) ( * 15470 )
NEW met1 ( 582130 15470 ) ( 1031550 * )
NEW met1 ( 1031550 1478490 ) ( 1787790 * )
NEW met2 ( 1031550 15470 ) ( * 1478490 )
NEW met2 ( 1787330 1500420 0 ) ( 1787790 * )
NEW met2 ( 1787790 1478490 ) ( * 1500420 )
NEW met1 ( 582130 15470 ) M1M2_PR
NEW met1 ( 1031550 15470 ) M1M2_PR
NEW met1 ( 1031550 1478490 ) M1M2_PR
NEW met1 ( 1787790 1478490 ) M1M2_PR ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 91310 1700 0 ) ( * 16490 )
NEW met2 ( 1373330 1500420 ) ( 1376550 * 0 )
NEW met2 ( 1373330 1477810 ) ( * 1500420 )
NEW met1 ( 91310 16490 ) ( 1045350 * )
NEW met1 ( 1045350 1477810 ) ( 1373330 * )
NEW met2 ( 1045350 16490 ) ( * 1477810 )
NEW met1 ( 91310 16490 ) M1M2_PR
NEW met1 ( 1373330 1477810 ) M1M2_PR
NEW met1 ( 1045350 16490 ) M1M2_PR
NEW met1 ( 1045350 1477810 ) M1M2_PR ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 599610 1700 0 ) ( * 28390 )
NEW met1 ( 599610 28390 ) ( 1801590 * )
NEW met2 ( 1801590 1500420 ) ( 1802510 * 0 )
NEW met2 ( 1801590 28390 ) ( * 1500420 )
NEW met1 ( 599610 28390 ) M1M2_PR
NEW met1 ( 1801590 28390 ) M1M2_PR ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 617550 1700 0 ) ( * 28050 )
NEW met1 ( 617550 28050 ) ( 1814930 * )
NEW met2 ( 1814930 1500420 ) ( 1817230 * 0 )
NEW met2 ( 1814930 28050 ) ( * 1500420 )
NEW met1 ( 617550 28050 ) M1M2_PR
NEW met1 ( 1814930 28050 ) M1M2_PR ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 115230 1700 0 ) ( * 34500 )
NEW met2 ( 115230 34500 ) ( 117070 * )
NEW met2 ( 117070 34500 ) ( * 1481550 )
NEW met1 ( 117070 1481550 ) ( 1394030 * )
NEW met2 ( 1394030 1500420 ) ( 1396330 * 0 )
NEW met2 ( 1394030 1481550 ) ( * 1500420 )
NEW met1 ( 117070 1481550 ) M1M2_PR
NEW met1 ( 1394030 1481550 ) M1M2_PR ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 1066050 16150 ) ( * 1478150 )
NEW met2 ( 138690 1700 0 ) ( * 16150 )
NEW met1 ( 138690 16150 ) ( 1066050 * )
NEW met1 ( 1066050 1478150 ) ( 1415190 * )
NEW met2 ( 1415190 1500420 ) ( 1416110 * 0 )
NEW met2 ( 1415190 1478150 ) ( * 1500420 )
NEW met1 ( 1066050 16150 ) M1M2_PR
NEW met1 ( 1066050 1478150 ) M1M2_PR
NEW met1 ( 138690 16150 ) M1M2_PR
NEW met1 ( 1415190 1478150 ) M1M2_PR ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 156630 1700 0 ) ( * 18870 )
NEW met1 ( 156630 18870 ) ( 362250 * )
NEW met1 ( 362250 182750 ) ( 1428530 * )
NEW met2 ( 362250 18870 ) ( * 182750 )
NEW met2 ( 1428530 1500420 ) ( 1430830 * 0 )
NEW met2 ( 1428530 182750 ) ( * 1500420 )
NEW met1 ( 156630 18870 ) M1M2_PR
NEW met1 ( 362250 18870 ) M1M2_PR
NEW met1 ( 362250 182750 ) M1M2_PR
NEW met1 ( 1428530 182750 ) M1M2_PR ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 1442330 1500420 ) ( 1446010 * 0 )
NEW met2 ( 1442330 1481890 ) ( * 1500420 )
NEW met2 ( 174110 1700 0 ) ( * 16830 )
NEW met1 ( 174110 16830 ) ( 179170 * )
NEW met1 ( 179170 1481890 ) ( 1442330 * )
NEW met2 ( 179170 16830 ) ( * 1481890 )
NEW met1 ( 1442330 1481890 ) M1M2_PR
NEW met1 ( 174110 16830 ) M1M2_PR
NEW met1 ( 179170 16830 ) M1M2_PR
NEW met1 ( 179170 1481890 ) M1M2_PR ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 192050 1700 0 ) ( * 18190 )
NEW met2 ( 1456130 1500420 ) ( 1460730 * 0 )
NEW met2 ( 1456130 1478830 ) ( * 1500420 )
NEW met1 ( 192050 18190 ) ( 272550 * )
NEW met1 ( 272550 1478830 ) ( 1456130 * )
NEW met2 ( 272550 18190 ) ( * 1478830 )
NEW met1 ( 192050 18190 ) M1M2_PR
NEW met1 ( 1456130 1478830 ) M1M2_PR
NEW met1 ( 272550 18190 ) M1M2_PR
NEW met1 ( 272550 1478830 ) M1M2_PR ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 209530 1700 0 ) ( * 38930 )
NEW met2 ( 1475450 1489030 ) ( * 1500420 0 )
NEW met1 ( 209530 38930 ) ( 1404150 * )
NEW met1 ( 1404150 1489030 ) ( 1410590 * )
NEW met1 ( 1410590 1488690 ) ( * 1489030 )
NEW met1 ( 1410590 1488690 ) ( 1411510 * )
NEW met1 ( 1411510 1488690 ) ( * 1489030 )
NEW met2 ( 1404150 38930 ) ( * 1489030 )
NEW met1 ( 1411510 1489030 ) ( 1475450 * )
NEW met1 ( 209530 38930 ) M1M2_PR
NEW met1 ( 1475450 1489030 ) M1M2_PR
NEW met1 ( 1404150 38930 ) M1M2_PR
NEW met1 ( 1404150 1489030 ) M1M2_PR ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 227470 1700 0 ) ( * 38250 )
NEW met2 ( 1438650 38250 ) ( * 1483590 )
NEW met1 ( 227470 38250 ) ( 1438650 * )
NEW met2 ( 1490630 1483590 ) ( * 1500420 0 )
NEW met1 ( 1438650 1483590 ) ( 1490630 * )
NEW met1 ( 227470 38250 ) M1M2_PR
NEW met1 ( 1438650 38250 ) M1M2_PR
NEW met1 ( 1438650 1483590 ) M1M2_PR
NEW met1 ( 1490630 1483590 ) M1M2_PR ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL
+ ROUTED met2 ( 1338830 1500420 ) ( 1342050 * 0 )
NEW met2 ( 1338830 17510 ) ( * 1500420 )
NEW met2 ( 49910 1700 0 ) ( * 16830 )
NEW met1 ( 49910 16830 ) ( 61870 * )
NEW met1 ( 61870 16830 ) ( * 17510 )
NEW met1 ( 61870 17510 ) ( 1338830 * )
NEW met1 ( 1338830 17510 ) M1M2_PR
NEW met1 ( 49910 16830 ) M1M2_PR ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL
+ ROUTED met2 ( 250930 1700 0 ) ( * 16830 )
NEW met1 ( 250930 16830 ) ( 255070 * )
NEW met1 ( 255070 1482230 ) ( 1503970 * )
NEW met2 ( 255070 16830 ) ( * 1482230 )
NEW met1 ( 1503970 1490050 ) ( 1510410 * )
NEW met2 ( 1510410 1490050 ) ( * 1500420 0 )
NEW met2 ( 1503970 1482230 ) ( * 1490050 )
NEW met1 ( 250930 16830 ) M1M2_PR
NEW met1 ( 255070 16830 ) M1M2_PR
NEW met1 ( 255070 1482230 ) M1M2_PR
NEW met1 ( 1503970 1482230 ) M1M2_PR
NEW met1 ( 1503970 1490050 ) M1M2_PR
NEW met1 ( 1510410 1490050 ) M1M2_PR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL
+ ROUTED met2 ( 268870 1700 0 ) ( * 1485970 )
NEW met2 ( 1525130 1485970 ) ( * 1500420 0 )
NEW met1 ( 268870 1485970 ) ( 1525130 * )
NEW met1 ( 268870 1485970 ) M1M2_PR
NEW met1 ( 1525130 1485970 ) M1M2_PR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL
+ ROUTED met2 ( 286350 1700 0 ) ( * 15810 )
NEW met1 ( 286350 15810 ) ( 289110 * )
NEW met2 ( 289110 15810 ) ( * 1484950 )
NEW met2 ( 1539850 1484950 ) ( * 1500420 0 )
NEW met1 ( 289110 1484950 ) ( 1539850 * )
NEW met1 ( 286350 15810 ) M1M2_PR
NEW met1 ( 289110 15810 ) M1M2_PR
NEW met1 ( 289110 1484950 ) M1M2_PR
NEW met1 ( 1539850 1484950 ) M1M2_PR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL
+ ROUTED met2 ( 304290 1700 0 ) ( * 18190 )
NEW met2 ( 1376550 19550 ) ( * 1487670 )
NEW met2 ( 1555030 1488010 ) ( * 1500420 0 )
NEW li1 ( 339250 18190 ) ( * 19550 )
NEW met1 ( 304290 18190 ) ( 339250 * )
NEW met1 ( 339250 19550 ) ( 1376550 * )
NEW met1 ( 1411050 1487670 ) ( * 1488010 )
NEW met1 ( 1376550 1487670 ) ( 1411050 * )
NEW met1 ( 1411050 1488010 ) ( 1555030 * )
NEW met1 ( 304290 18190 ) M1M2_PR
NEW met1 ( 1376550 19550 ) M1M2_PR
NEW met1 ( 1376550 1487670 ) M1M2_PR
NEW met1 ( 1555030 1488010 ) M1M2_PR
NEW li1 ( 339250 18190 ) L1M1_PR_MR
NEW li1 ( 339250 19550 ) L1M1_PR_MR ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL
+ ROUTED met2 ( 321770 1700 0 ) ( * 19210 )
NEW met2 ( 1567910 1488690 ) ( * 1500420 )
NEW met2 ( 1567910 1500420 ) ( 1569750 * 0 )
NEW met1 ( 321770 19210 ) ( 324300 * )
NEW met1 ( 324300 19210 ) ( * 19550 )
NEW met1 ( 324300 19550 ) ( 325910 * )
NEW met1 ( 325910 19210 ) ( * 19550 )
NEW met1 ( 325910 19210 ) ( 1397250 * )
NEW met1 ( 1397250 1488010 ) ( 1410590 * )
NEW li1 ( 1410590 1488010 ) ( * 1488690 )
NEW li1 ( 1410590 1488690 ) ( 1411970 * )
NEW met2 ( 1397250 19210 ) ( * 1488010 )
NEW met1 ( 1411970 1488690 ) ( 1567910 * )
NEW met1 ( 321770 19210 ) M1M2_PR
NEW met1 ( 1567910 1488690 ) M1M2_PR
NEW met1 ( 1397250 19210 ) M1M2_PR
NEW met1 ( 1397250 1488010 ) M1M2_PR
NEW li1 ( 1410590 1488010 ) L1M1_PR_MR
NEW li1 ( 1411970 1488690 ) L1M1_PR_MR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) + USE SIGNAL
+ ROUTED met2 ( 1445550 18190 ) ( * 1483930 )
NEW met2 ( 339710 1700 0 ) ( * 18190 )
NEW met1 ( 339710 18190 ) ( 1445550 * )
NEW met2 ( 1584470 1483930 ) ( * 1500420 0 )
NEW met1 ( 1445550 1483930 ) ( 1584470 * )
NEW met1 ( 1445550 18190 ) M1M2_PR
NEW met1 ( 1445550 1483930 ) M1M2_PR
NEW met1 ( 339710 18190 ) M1M2_PR
NEW met1 ( 1584470 1483930 ) M1M2_PR ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) + USE SIGNAL
+ ROUTED met2 ( 1452450 18530 ) ( * 1484270 )
NEW met2 ( 357650 1700 0 ) ( * 18530 )
NEW met1 ( 357650 18530 ) ( 1452450 * )
NEW met2 ( 1599190 1484270 ) ( * 1500420 0 )
NEW met1 ( 1452450 1484270 ) ( 1599190 * )
NEW met1 ( 1452450 18530 ) M1M2_PR
NEW met1 ( 1452450 1484270 ) M1M2_PR
NEW met1 ( 357650 18530 ) M1M2_PR
NEW met1 ( 1599190 1484270 ) M1M2_PR ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 375130 1700 0 ) ( * 18870 )
NEW met2 ( 1459350 18870 ) ( * 1486650 )
NEW met1 ( 375130 18870 ) ( 1459350 * )
NEW met2 ( 1614370 1486650 ) ( * 1500420 0 )
NEW met1 ( 1459350 1486650 ) ( 1614370 * )
NEW met1 ( 375130 18870 ) M1M2_PR
NEW met1 ( 1459350 18870 ) M1M2_PR
NEW met1 ( 1459350 1486650 ) M1M2_PR
NEW met1 ( 1614370 1486650 ) M1M2_PR ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) + USE SIGNAL
+ ROUTED met2 ( 393070 1700 0 ) ( * 33150 )
NEW met2 ( 1629090 33150 ) ( * 1500420 0 )
NEW met1 ( 393070 33150 ) ( 1629090 * )
NEW met1 ( 393070 33150 ) M1M2_PR
NEW met1 ( 1629090 33150 ) M1M2_PR ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 410550 1700 0 ) ( * 33830 )
NEW met2 ( 1642890 1500420 ) ( 1643810 * 0 )
NEW met2 ( 1642890 33830 ) ( * 1500420 )
NEW met1 ( 410550 33830 ) ( 1642890 * )
NEW met1 ( 410550 33830 ) M1M2_PR
NEW met1 ( 1642890 33830 ) M1M2_PR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL
+ ROUTED met2 ( 1361830 1487670 ) ( * 1500420 0 )
NEW met2 ( 73830 1700 0 ) ( * 34500 )
NEW met2 ( 73830 34500 ) ( 75670 * )
NEW met2 ( 75670 34500 ) ( * 1487670 )
NEW met1 ( 75670 1487670 ) ( 1361830 * )
NEW met1 ( 1361830 1487670 ) M1M2_PR
NEW met1 ( 75670 1487670 ) M1M2_PR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL
+ ROUTED met2 ( 1656230 1500420 ) ( 1658990 * 0 )
NEW met2 ( 1656230 982090 ) ( * 1500420 )
NEW met2 ( 428490 1700 0 ) ( * 17850 )
NEW met1 ( 428490 17850 ) ( 434010 * )
NEW met2 ( 434010 17850 ) ( * 982090 )
NEW met1 ( 434010 982090 ) ( 1656230 * )
NEW met1 ( 1656230 982090 ) M1M2_PR
NEW met1 ( 428490 17850 ) M1M2_PR
NEW met1 ( 434010 17850 ) M1M2_PR
NEW met1 ( 434010 982090 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL
+ ROUTED met2 ( 1670030 1500420 ) ( 1673710 * 0 )
NEW met2 ( 1670030 162010 ) ( * 1500420 )
NEW met2 ( 445970 1700 0 ) ( * 17850 )
NEW met1 ( 445970 17850 ) ( 451950 * )
NEW met2 ( 451950 17850 ) ( * 162010 )
NEW met1 ( 451950 162010 ) ( 1670030 * )
NEW met1 ( 1670030 162010 ) M1M2_PR
NEW met1 ( 445970 17850 ) M1M2_PR
NEW met1 ( 451950 17850 ) M1M2_PR
NEW met1 ( 451950 162010 ) M1M2_PR ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL
+ ROUTED met2 ( 1569750 17850 ) ( * 1488690 )
NEW met2 ( 463910 1700 0 ) ( * 17850 )
NEW met1 ( 463910 17850 ) ( 1569750 * )
NEW met2 ( 1688430 1488690 ) ( * 1500420 0 )
NEW met1 ( 1569750 1488690 ) ( 1688430 * )
NEW met1 ( 1569750 17850 ) M1M2_PR
NEW met1 ( 1569750 1488690 ) M1M2_PR
NEW met1 ( 463910 17850 ) M1M2_PR
NEW met1 ( 1688430 1488690 ) M1M2_PR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL
+ ROUTED met2 ( 481390 1700 0 ) ( * 15810 )
NEW met1 ( 481390 15810 ) ( 1300650 * )
NEW met1 ( 1300650 1479850 ) ( 1698090 * )
NEW met2 ( 1300650 15810 ) ( * 1479850 )
NEW met2 ( 1698090 1479850 ) ( * 1483500 )
NEW met2 ( 1698090 1483500 ) ( 1701310 * )
NEW met2 ( 1701310 1483500 ) ( * 1500420 )
NEW met2 ( 1701310 1500420 ) ( 1703150 * 0 )
NEW met1 ( 481390 15810 ) M1M2_PR
NEW met1 ( 1300650 15810 ) M1M2_PR
NEW met1 ( 1300650 1479850 ) M1M2_PR
NEW met1 ( 1698090 1479850 ) M1M2_PR ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL
+ ROUTED met2 ( 499330 1700 0 ) ( * 30090 )
NEW met1 ( 499330 30090 ) ( 1718790 * )
NEW met2 ( 1718330 1500420 0 ) ( 1718790 * )
NEW met2 ( 1718790 30090 ) ( * 1500420 )
NEW met1 ( 499330 30090 ) M1M2_PR
NEW met1 ( 1718790 30090 ) M1M2_PR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 516810 1700 0 ) ( * 29750 )
NEW met2 ( 1732590 1500420 ) ( 1733050 * 0 )
NEW met2 ( 1732590 29750 ) ( * 1500420 )
NEW met1 ( 516810 29750 ) ( 1732590 * )
NEW met1 ( 516810 29750 ) M1M2_PR
NEW met1 ( 1732590 29750 ) M1M2_PR ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) + USE SIGNAL
+ ROUTED met2 ( 1747770 1485970 ) ( * 1500420 0 )
NEW met2 ( 534750 1700 0 ) ( * 19890 )
NEW met1 ( 534750 19890 ) ( 1583550 * )
NEW met2 ( 1583550 19890 ) ( * 1485970 )
NEW met1 ( 1583550 1485970 ) ( 1747770 * )
NEW met1 ( 1747770 1485970 ) M1M2_PR
NEW met1 ( 534750 19890 ) M1M2_PR
NEW met1 ( 1583550 19890 ) M1M2_PR
NEW met1 ( 1583550 1485970 ) M1M2_PR ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) + USE SIGNAL
+ ROUTED met2 ( 1759730 1500420 ) ( 1762950 * 0 )
NEW met2 ( 1759730 28730 ) ( * 1500420 )
NEW met2 ( 552690 1700 0 ) ( * 28730 )
NEW met1 ( 552690 28730 ) ( 1759730 * )
NEW met1 ( 1759730 28730 ) M1M2_PR
NEW met1 ( 552690 28730 ) M1M2_PR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) + USE SIGNAL
+ ROUTED met2 ( 570170 1700 0 ) ( * 20230 )
NEW met2 ( 1773070 1487500 ) ( * 1488010 )
NEW met1 ( 570170 20230 ) ( 1611150 * )
NEW met2 ( 1611150 20230 ) ( * 1488010 )
NEW met1 ( 1611150 1488010 ) ( 1773070 * )
NEW met2 ( 1773530 1487500 ) ( * 1488010 )
NEW met1 ( 1773530 1488010 ) ( 1777670 * )
NEW met2 ( 1777670 1488010 ) ( * 1500420 0 )
NEW met2 ( 1773070 1487500 ) ( 1773530 * )
NEW met1 ( 570170 20230 ) M1M2_PR
NEW met1 ( 1773070 1488010 ) M1M2_PR
NEW met1 ( 1611150 20230 ) M1M2_PR
NEW met1 ( 1611150 1488010 ) M1M2_PR
NEW met1 ( 1773530 1488010 ) M1M2_PR
NEW met1 ( 1777670 1488010 ) M1M2_PR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) + USE SIGNAL
+ ROUTED met2 ( 588110 1700 0 ) ( * 38590 )
NEW met1 ( 588110 38590 ) ( 1788250 * )
NEW met2 ( 1788250 1500420 ) ( 1792390 * 0 )
NEW met2 ( 1788250 38590 ) ( * 1500420 )
NEW met1 ( 588110 38590 ) M1M2_PR
NEW met1 ( 1788250 38590 ) M1M2_PR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 97290 1700 0 ) ( * 17850 )
NEW met1 ( 97290 17850 ) ( 102810 * )
NEW met2 ( 102810 17850 ) ( * 34500 )
NEW met2 ( 102810 34500 ) ( 103270 * )
NEW met2 ( 103270 34500 ) ( * 1483590 )
NEW met2 ( 1381610 1483590 ) ( * 1500420 0 )
NEW met1 ( 103270 1483590 ) ( 1381610 * )
NEW met1 ( 97290 17850 ) M1M2_PR
NEW met1 ( 102810 17850 ) M1M2_PR
NEW met1 ( 103270 1483590 ) M1M2_PR
NEW met1 ( 1381610 1483590 ) M1M2_PR ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) + USE SIGNAL
+ ROUTED met2 ( 605590 1700 0 ) ( * 20570 )
NEW met1 ( 605590 20570 ) ( 1624950 * )
NEW met2 ( 1624950 20570 ) ( * 1486310 )
NEW met2 ( 1807570 1486310 ) ( * 1500420 0 )
NEW met1 ( 1624950 1486310 ) ( 1807570 * )
NEW met1 ( 605590 20570 ) M1M2_PR
NEW met1 ( 1624950 20570 ) M1M2_PR
NEW met1 ( 1624950 1486310 ) M1M2_PR
NEW met1 ( 1807570 1486310 ) M1M2_PR ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) + USE SIGNAL
+ ROUTED met1 ( 1638750 1485630 ) ( 1669110 * )
NEW li1 ( 1669110 1485630 ) ( * 1486650 )
NEW met2 ( 1638750 16830 ) ( * 1485630 )
NEW met2 ( 1822290 1486650 ) ( * 1500420 0 )
NEW met2 ( 623530 1700 0 ) ( * 16830 )
NEW met1 ( 623530 16830 ) ( 1638750 * )
NEW met1 ( 1669110 1486650 ) ( 1822290 * )
NEW met1 ( 1638750 16830 ) M1M2_PR
NEW met1 ( 1638750 1485630 ) M1M2_PR
NEW li1 ( 1669110 1485630 ) L1M1_PR_MR
NEW li1 ( 1669110 1486650 ) L1M1_PR_MR
NEW met1 ( 1822290 1486650 ) M1M2_PR
NEW met1 ( 623530 16830 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 121210 1700 0 ) ( * 17850 )
NEW met1 ( 121210 17850 ) ( 123970 * )
NEW met2 ( 123970 17850 ) ( * 1489030 )
NEW met2 ( 1401390 1489030 ) ( * 1500420 0 )
NEW met1 ( 123970 1489030 ) ( 1401390 * )
NEW met1 ( 121210 17850 ) M1M2_PR
NEW met1 ( 123970 17850 ) M1M2_PR
NEW met1 ( 123970 1489030 ) M1M2_PR
NEW met1 ( 1401390 1489030 ) M1M2_PR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL
+ ROUTED met2 ( 144670 1700 0 ) ( * 1484270 )
NEW met1 ( 144670 1484270 ) ( 1386900 * )
NEW met1 ( 1386900 1483930 ) ( * 1484270 )
NEW met1 ( 1386900 1483930 ) ( 1421170 * )
NEW met2 ( 1421170 1483930 ) ( * 1500420 0 )
NEW met1 ( 144670 1484270 ) M1M2_PR
NEW met1 ( 1421170 1483930 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 1435890 1490050 ) ( * 1500420 0 )
NEW met2 ( 162150 1700 0 ) ( * 17850 )
NEW met1 ( 162150 17850 ) ( 165370 * )
NEW met2 ( 165370 17850 ) ( * 1490050 )
NEW met1 ( 165370 1490050 ) ( 1435890 * )
NEW met1 ( 1435890 1490050 ) M1M2_PR
NEW met1 ( 162150 17850 ) M1M2_PR
NEW met1 ( 165370 17850 ) M1M2_PR
NEW met1 ( 165370 1490050 ) M1M2_PR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL
+ ROUTED met2 ( 180090 1700 0 ) ( * 16830 )
NEW met1 ( 180090 16830 ) ( 186070 * )
NEW met2 ( 186070 16830 ) ( * 1486650 )
NEW met2 ( 1450610 1486650 ) ( * 1500420 0 )
NEW met1 ( 186070 1486650 ) ( 1450610 * )
NEW met1 ( 180090 16830 ) M1M2_PR
NEW met1 ( 186070 16830 ) M1M2_PR
NEW met1 ( 186070 1486650 ) M1M2_PR
NEW met1 ( 1450610 1486650 ) M1M2_PR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL
+ ROUTED met2 ( 198030 1700 0 ) ( * 34500 )
NEW met2 ( 198030 34500 ) ( 199870 * )
NEW met2 ( 199870 34500 ) ( * 1486310 )
NEW met2 ( 1465790 1486310 ) ( * 1500420 0 )
NEW met1 ( 199870 1486310 ) ( 1465790 * )
NEW met1 ( 199870 1486310 ) M1M2_PR
NEW met1 ( 1465790 1486310 ) M1M2_PR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) + USE SIGNAL
+ ROUTED met2 ( 215510 1700 0 ) ( * 16830 )
NEW met1 ( 215510 16830 ) ( 220570 * )
NEW met2 ( 220570 16830 ) ( * 1485290 )
NEW met2 ( 1480510 1485290 ) ( * 1500420 0 )
NEW met1 ( 220570 1485290 ) ( 1480510 * )
NEW met1 ( 215510 16830 ) M1M2_PR
NEW met1 ( 220570 16830 ) M1M2_PR
NEW met1 ( 220570 1485290 ) M1M2_PR
NEW met1 ( 1480510 1485290 ) M1M2_PR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) + USE SIGNAL
+ ROUTED met2 ( 233450 1700 0 ) ( * 34500 )
NEW met2 ( 233450 34500 ) ( 234370 * )
NEW met2 ( 234370 34500 ) ( * 1485630 )
NEW met2 ( 1495230 1485630 ) ( * 1500420 0 )
NEW met1 ( 234370 1485630 ) ( 1495230 * )
NEW met1 ( 234370 1485630 ) M1M2_PR
NEW met1 ( 1495230 1485630 ) M1M2_PR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 1346650 1483930 ) ( * 1500420 0 )
NEW met2 ( 55890 1700 0 ) ( * 17510 )
NEW met1 ( 55890 17510 ) ( 61410 * )
NEW met2 ( 61410 17510 ) ( * 1483930 )
NEW met1 ( 61410 1483930 ) ( 1346650 * )
NEW met1 ( 1346650 1483930 ) M1M2_PR
NEW met1 ( 55890 17510 ) M1M2_PR
NEW met1 ( 61410 17510 ) M1M2_PR
NEW met1 ( 61410 1483930 ) M1M2_PR ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 1366430 1488010 ) ( * 1500420 0 )
NEW met2 ( 79810 1700 0 ) ( * 16830 )
NEW met1 ( 79810 16830 ) ( 82570 * )
NEW met2 ( 82570 16830 ) ( * 1488010 )
NEW met1 ( 82570 1488010 ) ( 1366430 * )
NEW met1 ( 1366430 1488010 ) M1M2_PR
NEW met1 ( 79810 16830 ) M1M2_PR
NEW met1 ( 82570 16830 ) M1M2_PR
NEW met1 ( 82570 1488010 ) M1M2_PR ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 103270 1700 0 ) ( * 17340 )
NEW met2 ( 102350 17340 ) ( 103270 * )
NEW met2 ( 102350 82800 ) ( 102810 * )
NEW met2 ( 102350 17340 ) ( * 82800 )
NEW met2 ( 102810 82800 ) ( * 1488690 )
NEW met1 ( 1366890 1488010 ) ( * 1488690 )
NEW met1 ( 1366890 1488010 ) ( 1386670 * )
NEW met2 ( 1386670 1488010 ) ( * 1500420 0 )
NEW met1 ( 102810 1488690 ) ( 1366890 * )
NEW met1 ( 102810 1488690 ) M1M2_PR
NEW met1 ( 1386670 1488010 ) M1M2_PR ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 126730 1700 0 ) ( * 17850 )
NEW met1 ( 126730 17850 ) ( 130870 * )
NEW met2 ( 130870 17850 ) ( * 1489710 )
NEW met2 ( 1406450 1489710 ) ( * 1500420 0 )
NEW met1 ( 130870 1489710 ) ( 1406450 * )
NEW met1 ( 126730 17850 ) M1M2_PR
NEW met1 ( 130870 17850 ) M1M2_PR
NEW met1 ( 130870 1489710 ) M1M2_PR
NEW met1 ( 1406450 1489710 ) M1M2_PR ;
- wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) + USE SIGNAL
+ ROUTED met2 ( 26450 1700 0 ) ( * 34500 )
NEW met2 ( 26450 34500 ) ( 27370 * )
NEW met2 ( 27370 34500 ) ( * 1486990 )
NEW met2 ( 1322270 1486990 ) ( * 1500420 0 )
NEW met1 ( 27370 1486990 ) ( 1322270 * )
NEW met1 ( 27370 1486990 ) M1M2_PR
NEW met1 ( 1322270 1486990 ) M1M2_PR ;
- wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) + USE SIGNAL
+ ROUTED met2 ( 32430 1700 0 ) ( * 34500 )
NEW met2 ( 32430 34500 ) ( 34270 * )
NEW met2 ( 34270 34500 ) ( * 1487330 )
NEW met2 ( 1326870 1487330 ) ( * 1500420 0 )
NEW met1 ( 34270 1487330 ) ( 1326870 * )
NEW met1 ( 34270 1487330 ) M1M2_PR
NEW met1 ( 1326870 1487330 ) M1M2_PR ;
- zero_ ( PIN la_oenb[9] ) ( PIN la_oenb[99] ) ( PIN la_oenb[98] ) ( PIN la_oenb[97] ) ( PIN la_oenb[96] ) ( PIN la_oenb[95] ) ( PIN la_oenb[94] )
( PIN la_oenb[93] ) ( PIN la_oenb[92] ) ( PIN la_oenb[91] ) ( PIN la_oenb[90] ) ( PIN la_oenb[8] ) ( PIN la_oenb[89] ) ( PIN la_oenb[88] ) ( PIN la_oenb[87] )
( PIN la_oenb[86] ) ( PIN la_oenb[85] ) ( PIN la_oenb[84] ) ( PIN la_oenb[83] ) ( PIN la_oenb[82] ) ( PIN la_oenb[81] ) ( PIN la_oenb[80] ) ( PIN la_oenb[7] )
( PIN la_oenb[79] ) ( PIN la_oenb[78] ) ( PIN la_oenb[77] ) ( PIN la_oenb[76] ) ( PIN la_oenb[75] ) ( PIN la_oenb[74] ) ( PIN la_oenb[73] ) ( PIN la_oenb[72] )
( PIN la_oenb[71] ) ( PIN la_oenb[70] ) ( PIN la_oenb[6] ) ( PIN la_oenb[69] ) ( PIN la_oenb[68] ) ( PIN la_oenb[67] ) ( PIN la_oenb[66] ) ( PIN la_oenb[65] )
( PIN la_oenb[64] ) ( PIN la_oenb[63] ) ( PIN la_oenb[62] ) ( PIN la_oenb[61] ) ( PIN la_oenb[60] ) ( PIN la_oenb[5] ) ( PIN la_oenb[59] ) ( PIN la_oenb[58] )
( PIN la_oenb[57] ) ( PIN la_oenb[56] ) ( PIN la_oenb[55] ) ( PIN la_oenb[54] ) ( PIN la_oenb[53] ) ( PIN la_oenb[52] ) ( PIN la_oenb[51] ) ( PIN la_oenb[50] )
( PIN la_oenb[4] ) ( PIN la_oenb[49] ) ( PIN la_oenb[48] ) ( PIN la_oenb[47] ) ( PIN la_oenb[46] ) ( PIN la_oenb[45] ) ( PIN la_oenb[44] ) ( PIN la_oenb[43] )
( PIN la_oenb[42] ) ( PIN la_oenb[41] ) ( PIN la_oenb[40] ) ( PIN la_oenb[3] ) ( PIN la_oenb[39] ) ( PIN la_oenb[38] ) ( PIN la_oenb[37] ) ( PIN la_oenb[36] )
( PIN la_oenb[35] ) ( PIN la_oenb[34] ) ( PIN la_oenb[33] ) ( PIN la_oenb[32] ) ( PIN la_oenb[31] ) ( PIN la_oenb[30] ) ( PIN la_oenb[2] ) ( PIN la_oenb[29] )
( PIN la_oenb[28] ) ( PIN la_oenb[27] ) ( PIN la_oenb[26] ) ( PIN la_oenb[25] ) ( PIN la_oenb[24] ) ( PIN la_oenb[23] ) ( PIN la_oenb[22] ) ( PIN la_oenb[21] )
( PIN la_oenb[20] ) ( PIN la_oenb[1] ) ( PIN la_oenb[19] ) ( PIN la_oenb[18] ) ( PIN la_oenb[17] ) ( PIN la_oenb[16] ) ( PIN la_oenb[15] ) ( PIN la_oenb[14] )
( PIN la_oenb[13] ) ( PIN la_oenb[12] ) ( PIN la_oenb[127] ) ( PIN la_oenb[126] ) ( PIN la_oenb[125] ) ( PIN la_oenb[124] ) ( PIN la_oenb[123] ) ( PIN la_oenb[122] )
( PIN la_oenb[121] ) ( PIN la_oenb[120] ) ( PIN la_oenb[11] ) ( PIN la_oenb[119] ) ( PIN la_oenb[118] ) ( PIN la_oenb[117] ) ( PIN la_oenb[116] ) ( PIN la_oenb[115] )
( PIN la_oenb[114] ) ( PIN la_oenb[113] ) ( PIN la_oenb[112] ) ( PIN la_oenb[111] ) ( PIN la_oenb[110] ) ( PIN la_oenb[10] ) ( PIN la_oenb[109] ) ( PIN la_oenb[108] )
( PIN la_oenb[107] ) ( PIN la_oenb[106] ) ( PIN la_oenb[105] ) ( PIN la_oenb[104] ) ( PIN la_oenb[103] ) ( PIN la_oenb[102] ) ( PIN la_oenb[101] ) ( PIN la_oenb[100] )
( PIN la_oenb[0] ) ( PIN la_data_out[9] ) ( PIN la_data_out[99] ) ( PIN la_data_out[98] ) ( PIN la_data_out[97] ) ( PIN la_data_out[96] ) ( PIN la_data_out[95] ) ( PIN la_data_out[94] )
( PIN la_data_out[93] ) ( PIN la_data_out[92] ) ( PIN la_data_out[91] ) ( PIN la_data_out[90] ) ( PIN la_data_out[8] ) ( PIN la_data_out[89] ) ( PIN la_data_out[88] ) ( PIN la_data_out[87] )
( PIN la_data_out[86] ) ( PIN la_data_out[85] ) ( PIN la_data_out[84] ) ( PIN la_data_out[83] ) ( PIN la_data_out[82] ) ( PIN la_data_out[81] ) ( PIN la_data_out[80] ) ( PIN la_data_out[7] )
( PIN la_data_out[79] ) ( PIN la_data_out[78] ) ( PIN la_data_out[77] ) ( PIN la_data_out[76] ) ( PIN la_data_out[75] ) ( PIN la_data_out[74] ) ( PIN la_data_out[73] ) ( PIN la_data_out[72] )
( PIN la_data_out[71] ) ( PIN la_data_out[70] ) ( PIN la_data_out[6] ) ( PIN la_data_out[69] ) ( PIN la_data_out[68] ) ( PIN la_data_out[67] ) ( PIN la_data_out[66] ) ( PIN la_data_out[65] )
( PIN la_data_out[64] ) ( PIN la_data_out[63] ) ( PIN la_data_out[62] ) ( PIN la_data_out[61] ) ( PIN la_data_out[60] ) ( PIN la_data_out[5] ) ( PIN la_data_out[59] ) ( PIN la_data_out[58] )
( PIN la_data_out[57] ) ( PIN la_data_out[56] ) ( PIN la_data_out[55] ) ( PIN la_data_out[54] ) ( PIN la_data_out[53] ) ( PIN la_data_out[52] ) ( PIN la_data_out[51] ) ( PIN la_data_out[50] )
( PIN la_data_out[4] ) ( PIN la_data_out[49] ) ( PIN la_data_out[48] ) ( PIN la_data_out[47] ) ( PIN la_data_out[46] ) ( PIN la_data_out[45] ) ( PIN la_data_out[44] ) ( PIN la_data_out[43] )
( PIN la_data_out[42] ) ( PIN la_data_out[41] ) ( PIN la_data_out[40] ) ( PIN la_data_out[3] ) ( PIN la_data_out[39] ) ( PIN la_data_out[38] ) ( PIN la_data_out[37] ) ( PIN la_data_out[36] )
( PIN la_data_out[35] ) ( PIN la_data_out[34] ) ( PIN la_data_out[33] ) ( PIN la_data_out[32] ) ( PIN la_data_out[31] ) ( PIN la_data_out[30] ) ( PIN la_data_out[2] ) ( PIN la_data_out[29] )
( PIN la_data_out[28] ) ( PIN la_data_out[27] ) ( PIN la_data_out[26] ) ( PIN la_data_out[25] ) ( PIN la_data_out[24] ) ( PIN la_data_out[23] ) ( PIN la_data_out[22] ) ( PIN la_data_out[21] )
( PIN la_data_out[20] ) ( PIN la_data_out[1] ) ( PIN la_data_out[19] ) ( PIN la_data_out[18] ) ( PIN la_data_out[17] ) ( PIN la_data_out[16] ) ( PIN la_data_out[15] ) ( PIN la_data_out[14] )
( PIN la_data_out[13] ) ( PIN la_data_out[12] ) ( PIN la_data_out[127] ) ( PIN la_data_out[126] ) ( PIN la_data_out[125] ) ( PIN la_data_out[124] ) ( PIN la_data_out[123] ) ( PIN la_data_out[122] )
( PIN la_data_out[121] ) ( PIN la_data_out[120] ) ( PIN la_data_out[11] ) ( PIN la_data_out[119] ) ( PIN la_data_out[118] ) ( PIN la_data_out[117] ) ( PIN la_data_out[116] ) ( PIN la_data_out[115] )
( PIN la_data_out[114] ) ( PIN la_data_out[113] ) ( PIN la_data_out[112] ) ( PIN la_data_out[111] ) ( PIN la_data_out[110] ) ( PIN la_data_out[10] ) ( PIN la_data_out[109] ) ( PIN la_data_out[108] )
( PIN la_data_out[107] ) ( PIN la_data_out[106] ) ( PIN la_data_out[105] ) ( PIN la_data_out[104] ) ( PIN la_data_out[103] ) ( PIN la_data_out[102] ) ( PIN la_data_out[101] ) ( PIN la_data_out[100] )
( PIN la_data_out[0] ) + USE GROUND ;
END NETS
END DESIGN