blob: ab34df54946576d2b31b0aea11280db59fcea500 [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO user_proj
CLASS BLOCK ;
FOREIGN user_proj ;
ORIGIN 0.000 0.000 ;
SIZE 822.600 BY 833.320 ;
PIN i_dout0[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 545.650 0.000 545.930 4.000 ;
END
END i_dout0[0]
PIN i_dout0[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 416.200 822.600 416.800 ;
END
END i_dout0[10]
PIN i_dout0[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 670.770 0.000 671.050 4.000 ;
END
END i_dout0[11]
PIN i_dout0[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 423.000 4.000 423.600 ;
END
END i_dout0[12]
PIN i_dout0[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 486.240 822.600 486.840 ;
END
END i_dout0[13]
PIN i_dout0[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 669.390 829.320 669.670 833.320 ;
END
END i_dout0[14]
PIN i_dout0[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 718.610 0.000 718.890 4.000 ;
END
END i_dout0[15]
PIN i_dout0[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 688.250 829.320 688.530 833.320 ;
END
END i_dout0[16]
PIN i_dout0[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 737.930 0.000 738.210 4.000 ;
END
END i_dout0[17]
PIN i_dout0[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 692.850 829.320 693.130 833.320 ;
END
END i_dout0[18]
PIN i_dout0[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 627.680 822.600 628.280 ;
END
END i_dout0[19]
PIN i_dout0[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 76.880 822.600 77.480 ;
END
END i_dout0[1]
PIN i_dout0[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 641.960 822.600 642.560 ;
END
END i_dout0[20]
PIN i_dout0[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 669.840 822.600 670.440 ;
END
END i_dout0[21]
PIN i_dout0[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 730.570 829.320 730.850 833.320 ;
END
END i_dout0[22]
PIN i_dout0[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 698.400 822.600 699.000 ;
END
END i_dout0[23]
PIN i_dout0[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 754.030 829.320 754.310 833.320 ;
END
END i_dout0[24]
PIN i_dout0[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 786.230 0.000 786.510 4.000 ;
END
END i_dout0[25]
PIN i_dout0[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 673.240 4.000 673.840 ;
END
END i_dout0[26]
PIN i_dout0[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 782.550 829.320 782.830 833.320 ;
END
END i_dout0[27]
PIN i_dout0[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 742.600 4.000 743.200 ;
END
END i_dout0[28]
PIN i_dout0[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 797.000 822.600 797.600 ;
END
END i_dout0[29]
PIN i_dout0[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 584.290 0.000 584.570 4.000 ;
END
END i_dout0[2]
PIN i_dout0[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 806.010 829.320 806.290 833.320 ;
END
END i_dout0[30]
PIN i_dout0[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 825.560 4.000 826.160 ;
END
END i_dout0[31]
PIN i_dout0[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 189.760 822.600 190.360 ;
END
END i_dout0[3]
PIN i_dout0[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 200.640 4.000 201.240 ;
END
END i_dout0[4]
PIN i_dout0[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 256.400 4.000 257.000 ;
END
END i_dout0[5]
PIN i_dout0[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 594.410 829.320 594.690 833.320 ;
END
END i_dout0[6]
PIN i_dout0[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 316.920 822.600 317.520 ;
END
END i_dout0[7]
PIN i_dout0[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 381.520 4.000 382.120 ;
END
END i_dout0[8]
PIN i_dout0[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 656.510 0.000 656.790 4.000 ;
END
END i_dout0[9]
PIN i_dout0_1[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 20.440 822.600 21.040 ;
END
END i_dout0_1[0]
PIN i_dout0_1[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 645.930 829.320 646.210 833.320 ;
END
END i_dout0_1[10]
PIN i_dout0_1[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 665.710 0.000 665.990 4.000 ;
END
END i_dout0_1[11]
PIN i_dout0_1[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 458.360 822.600 458.960 ;
END
END i_dout0_1[12]
PIN i_dout0_1[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 664.790 829.320 665.070 833.320 ;
END
END i_dout0_1[13]
PIN i_dout0_1[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 709.410 0.000 709.690 4.000 ;
END
END i_dout0_1[14]
PIN i_dout0_1[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 450.880 4.000 451.480 ;
END
END i_dout0_1[15]
PIN i_dout0_1[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 478.760 4.000 479.360 ;
END
END i_dout0_1[16]
PIN i_dout0_1[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 571.240 822.600 571.840 ;
END
END i_dout0_1[17]
PIN i_dout0_1[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 585.520 822.600 586.120 ;
END
END i_dout0_1[18]
PIN i_dout0_1[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 697.910 829.320 698.190 833.320 ;
END
END i_dout0_1[19]
PIN i_dout0_1[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 63.280 822.600 63.880 ;
END
END i_dout0_1[1]
PIN i_dout0_1[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 711.710 829.320 711.990 833.320 ;
END
END i_dout0_1[20]
PIN i_dout0_1[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 576.000 4.000 576.600 ;
END
END i_dout0_1[21]
PIN i_dout0_1[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 684.120 822.600 684.720 ;
END
END i_dout0_1[22]
PIN i_dout0_1[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 766.910 0.000 767.190 4.000 ;
END
END i_dout0_1[23]
PIN i_dout0_1[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 749.430 829.320 749.710 833.320 ;
END
END i_dout0_1[24]
PIN i_dout0_1[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 758.630 829.320 758.910 833.320 ;
END
END i_dout0_1[25]
PIN i_dout0_1[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 790.830 0.000 791.110 4.000 ;
END
END i_dout0_1[26]
PIN i_dout0_1[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 700.440 4.000 701.040 ;
END
END i_dout0_1[27]
PIN i_dout0_1[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 796.350 829.320 796.630 833.320 ;
END
END i_dout0_1[28]
PIN i_dout0_1[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 805.550 0.000 805.830 4.000 ;
END
END i_dout0_1[29]
PIN i_dout0_1[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 579.230 0.000 579.510 4.000 ;
END
END i_dout0_1[2]
PIN i_dout0_1[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 800.950 829.320 801.230 833.320 ;
END
END i_dout0_1[30]
PIN i_dout0_1[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 811.960 4.000 812.560 ;
END
END i_dout0_1[31]
PIN i_dout0_1[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 176.160 822.600 176.760 ;
END
END i_dout0_1[3]
PIN i_dout0_1[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 187.040 4.000 187.640 ;
END
END i_dout0_1[4]
PIN i_dout0_1[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 246.200 822.600 246.800 ;
END
END i_dout0_1[5]
PIN i_dout0_1[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 284.280 4.000 284.880 ;
END
END i_dout0_1[6]
PIN i_dout0_1[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 617.870 829.320 618.150 833.320 ;
END
END i_dout0_1[7]
PIN i_dout0_1[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 353.640 4.000 354.240 ;
END
END i_dout0_1[8]
PIN i_dout0_1[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 387.640 822.600 388.240 ;
END
END i_dout0_1[9]
PIN i_dout1[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 49.000 822.600 49.600 ;
END
END i_dout1[0]
PIN i_dout1[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 429.800 822.600 430.400 ;
END
END i_dout1[10]
PIN i_dout1[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 675.370 0.000 675.650 4.000 ;
END
END i_dout1[11]
PIN i_dout1[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 472.640 822.600 473.240 ;
END
END i_dout1[12]
PIN i_dout1[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 500.520 822.600 501.120 ;
END
END i_dout1[13]
PIN i_dout1[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 514.800 822.600 515.400 ;
END
END i_dout1[14]
PIN i_dout1[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 723.670 0.000 723.950 4.000 ;
END
END i_dout1[15]
PIN i_dout1[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 556.960 822.600 557.560 ;
END
END i_dout1[16]
PIN i_dout1[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 742.990 0.000 743.270 4.000 ;
END
END i_dout1[17]
PIN i_dout1[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 520.240 4.000 520.840 ;
END
END i_dout1[18]
PIN i_dout1[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 752.650 0.000 752.930 4.000 ;
END
END i_dout1[19]
PIN i_dout1[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 542.430 829.320 542.710 833.320 ;
END
END i_dout1[1]
PIN i_dout1[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 561.720 4.000 562.320 ;
END
END i_dout1[20]
PIN i_dout1[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 603.200 4.000 603.800 ;
END
END i_dout1[21]
PIN i_dout1[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 735.170 829.320 735.450 833.320 ;
END
END i_dout1[22]
PIN i_dout1[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 771.510 0.000 771.790 4.000 ;
END
END i_dout1[23]
PIN i_dout1[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 712.680 822.600 713.280 ;
END
END i_dout1[24]
PIN i_dout1[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 658.960 4.000 659.560 ;
END
END i_dout1[25]
PIN i_dout1[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 686.840 4.000 687.440 ;
END
END i_dout1[26]
PIN i_dout1[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 714.720 4.000 715.320 ;
END
END i_dout1[27]
PIN i_dout1[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 782.720 822.600 783.320 ;
END
END i_dout1[28]
PIN i_dout1[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 756.200 4.000 756.800 ;
END
END i_dout1[29]
PIN i_dout1[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 147.600 822.600 148.200 ;
END
END i_dout1[2]
PIN i_dout1[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 810.610 829.320 810.890 833.320 ;
END
END i_dout1[30]
PIN i_dout1[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 825.560 822.600 826.160 ;
END
END i_dout1[31]
PIN i_dout1[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 145.560 4.000 146.160 ;
END
END i_dout1[3]
PIN i_dout1[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 214.920 4.000 215.520 ;
END
END i_dout1[4]
PIN i_dout1[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 274.760 822.600 275.360 ;
END
END i_dout1[5]
PIN i_dout1[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 303.320 822.600 303.920 ;
END
END i_dout1[6]
PIN i_dout1[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 637.190 0.000 637.470 4.000 ;
END
END i_dout1[7]
PIN i_dout1[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 632.130 829.320 632.410 833.320 ;
END
END i_dout1[8]
PIN i_dout1[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 661.110 0.000 661.390 4.000 ;
END
END i_dout1[9]
PIN i_dout1_1[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 34.720 822.600 35.320 ;
END
END i_dout1_1[0]
PIN i_dout1_1[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 650.530 829.320 650.810 833.320 ;
END
END i_dout1_1[10]
PIN i_dout1_1[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 409.400 4.000 410.000 ;
END
END i_dout1_1[11]
PIN i_dout1_1[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 685.030 0.000 685.310 4.000 ;
END
END i_dout1_1[12]
PIN i_dout1_1[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 699.750 0.000 700.030 4.000 ;
END
END i_dout1_1[13]
PIN i_dout1_1[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 714.010 0.000 714.290 4.000 ;
END
END i_dout1_1[14]
PIN i_dout1_1[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 674.450 829.320 674.730 833.320 ;
END
END i_dout1_1[15]
PIN i_dout1_1[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 683.650 829.320 683.930 833.320 ;
END
END i_dout1_1[16]
PIN i_dout1_1[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 492.360 4.000 492.960 ;
END
END i_dout1_1[17]
PIN i_dout1_1[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 599.800 822.600 600.400 ;
END
END i_dout1_1[18]
PIN i_dout1_1[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 702.510 829.320 702.790 833.320 ;
END
END i_dout1_1[19]
PIN i_dout1_1[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 564.970 0.000 565.250 4.000 ;
END
END i_dout1_1[1]
PIN i_dout1_1[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 716.770 829.320 717.050 833.320 ;
END
END i_dout1_1[20]
PIN i_dout1_1[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 589.600 4.000 590.200 ;
END
END i_dout1_1[21]
PIN i_dout1_1[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 757.250 0.000 757.530 4.000 ;
END
END i_dout1_1[22]
PIN i_dout1_1[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 744.830 829.320 745.110 833.320 ;
END
END i_dout1_1[23]
PIN i_dout1_1[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 645.360 4.000 645.960 ;
END
END i_dout1_1[24]
PIN i_dout1_1[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 763.690 829.320 763.970 833.320 ;
END
END i_dout1_1[25]
PIN i_dout1_1[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 754.840 822.600 755.440 ;
END
END i_dout1_1[26]
PIN i_dout1_1[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 777.490 829.320 777.770 833.320 ;
END
END i_dout1_1[27]
PIN i_dout1_1[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 728.320 4.000 728.920 ;
END
END i_dout1_1[28]
PIN i_dout1_1[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 810.150 0.000 810.430 4.000 ;
END
END i_dout1_1[29]
PIN i_dout1_1[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 133.320 822.600 133.920 ;
END
END i_dout1_1[2]
PIN i_dout1_1[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 811.280 822.600 811.880 ;
END
END i_dout1_1[30]
PIN i_dout1_1[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 819.810 829.320 820.090 833.320 ;
END
END i_dout1_1[31]
PIN i_dout1_1[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 131.280 4.000 131.880 ;
END
END i_dout1_1[3]
PIN i_dout1_1[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 575.550 829.320 575.830 833.320 ;
END
END i_dout1_1[4]
PIN i_dout1_1[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 260.480 822.600 261.080 ;
END
END i_dout1_1[5]
PIN i_dout1_1[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 297.880 4.000 298.480 ;
END
END i_dout1_1[6]
PIN i_dout1_1[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 312.160 4.000 312.760 ;
END
END i_dout1_1[7]
PIN i_dout1_1[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 367.240 4.000 367.840 ;
END
END i_dout1_1[8]
PIN i_dout1_1[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 641.330 829.320 641.610 833.320 ;
END
END i_dout1_1[9]
PIN io_in[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2.390 829.320 2.670 833.320 ;
END
END io_in[0]
PIN io_in[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 143.150 829.320 143.430 833.320 ;
END
END io_in[10]
PIN io_in[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 157.410 829.320 157.690 833.320 ;
END
END io_in[11]
PIN io_in[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 171.210 829.320 171.490 833.320 ;
END
END io_in[12]
PIN io_in[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 185.470 829.320 185.750 833.320 ;
END
END io_in[13]
PIN io_in[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 199.730 829.320 200.010 833.320 ;
END
END io_in[14]
PIN io_in[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 213.530 829.320 213.810 833.320 ;
END
END io_in[15]
PIN io_in[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 227.790 829.320 228.070 833.320 ;
END
END io_in[16]
PIN io_in[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 242.050 829.320 242.330 833.320 ;
END
END io_in[17]
PIN io_in[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 255.850 829.320 256.130 833.320 ;
END
END io_in[18]
PIN io_in[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 270.110 829.320 270.390 833.320 ;
END
END io_in[19]
PIN io_in[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 16.190 829.320 16.470 833.320 ;
END
END io_in[1]
PIN io_in[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 284.370 829.320 284.650 833.320 ;
END
END io_in[20]
PIN io_in[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 298.170 829.320 298.450 833.320 ;
END
END io_in[21]
PIN io_in[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 312.430 829.320 312.710 833.320 ;
END
END io_in[22]
PIN io_in[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 326.230 829.320 326.510 833.320 ;
END
END io_in[23]
PIN io_in[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 340.490 829.320 340.770 833.320 ;
END
END io_in[24]
PIN io_in[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 354.750 829.320 355.030 833.320 ;
END
END io_in[25]
PIN io_in[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 368.550 829.320 368.830 833.320 ;
END
END io_in[26]
PIN io_in[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 382.810 829.320 383.090 833.320 ;
END
END io_in[27]
PIN io_in[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 397.070 829.320 397.350 833.320 ;
END
END io_in[28]
PIN io_in[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 410.870 829.320 411.150 833.320 ;
END
END io_in[29]
PIN io_in[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 30.450 829.320 30.730 833.320 ;
END
END io_in[2]
PIN io_in[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 425.130 829.320 425.410 833.320 ;
END
END io_in[30]
PIN io_in[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 439.390 829.320 439.670 833.320 ;
END
END io_in[31]
PIN io_in[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 453.190 829.320 453.470 833.320 ;
END
END io_in[32]
PIN io_in[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 467.450 829.320 467.730 833.320 ;
END
END io_in[33]
PIN io_in[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 481.710 829.320 481.990 833.320 ;
END
END io_in[34]
PIN io_in[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 495.510 829.320 495.790 833.320 ;
END
END io_in[35]
PIN io_in[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 509.770 829.320 510.050 833.320 ;
END
END io_in[36]
PIN io_in[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 524.030 829.320 524.310 833.320 ;
END
END io_in[37]
PIN io_in[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 44.250 829.320 44.530 833.320 ;
END
END io_in[3]
PIN io_in[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 58.510 829.320 58.790 833.320 ;
END
END io_in[4]
PIN io_in[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 72.770 829.320 73.050 833.320 ;
END
END io_in[5]
PIN io_in[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 86.570 829.320 86.850 833.320 ;
END
END io_in[6]
PIN io_in[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 100.830 829.320 101.110 833.320 ;
END
END io_in[7]
PIN io_in[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 115.090 829.320 115.370 833.320 ;
END
END io_in[8]
PIN io_in[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 128.890 829.320 129.170 833.320 ;
END
END io_in[9]
PIN io_oeb[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 6.990 829.320 7.270 833.320 ;
END
END io_oeb[0]
PIN io_oeb[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 147.750 829.320 148.030 833.320 ;
END
END io_oeb[10]
PIN io_oeb[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 162.010 829.320 162.290 833.320 ;
END
END io_oeb[11]
PIN io_oeb[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 176.270 829.320 176.550 833.320 ;
END
END io_oeb[12]
PIN io_oeb[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 190.070 829.320 190.350 833.320 ;
END
END io_oeb[13]
PIN io_oeb[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 204.330 829.320 204.610 833.320 ;
END
END io_oeb[14]
PIN io_oeb[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 218.130 829.320 218.410 833.320 ;
END
END io_oeb[15]
PIN io_oeb[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 232.390 829.320 232.670 833.320 ;
END
END io_oeb[16]
PIN io_oeb[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 246.650 829.320 246.930 833.320 ;
END
END io_oeb[17]
PIN io_oeb[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 260.450 829.320 260.730 833.320 ;
END
END io_oeb[18]
PIN io_oeb[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 274.710 829.320 274.990 833.320 ;
END
END io_oeb[19]
PIN io_oeb[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 20.790 829.320 21.070 833.320 ;
END
END io_oeb[1]
PIN io_oeb[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 288.970 829.320 289.250 833.320 ;
END
END io_oeb[20]
PIN io_oeb[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 302.770 829.320 303.050 833.320 ;
END
END io_oeb[21]
PIN io_oeb[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 317.030 829.320 317.310 833.320 ;
END
END io_oeb[22]
PIN io_oeb[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 331.290 829.320 331.570 833.320 ;
END
END io_oeb[23]
PIN io_oeb[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 345.090 829.320 345.370 833.320 ;
END
END io_oeb[24]
PIN io_oeb[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 359.350 829.320 359.630 833.320 ;
END
END io_oeb[25]
PIN io_oeb[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 373.610 829.320 373.890 833.320 ;
END
END io_oeb[26]
PIN io_oeb[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 387.410 829.320 387.690 833.320 ;
END
END io_oeb[27]
PIN io_oeb[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 401.670 829.320 401.950 833.320 ;
END
END io_oeb[28]
PIN io_oeb[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 415.930 829.320 416.210 833.320 ;
END
END io_oeb[29]
PIN io_oeb[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 35.050 829.320 35.330 833.320 ;
END
END io_oeb[2]
PIN io_oeb[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 429.730 829.320 430.010 833.320 ;
END
END io_oeb[30]
PIN io_oeb[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 443.990 829.320 444.270 833.320 ;
END
END io_oeb[31]
PIN io_oeb[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 458.250 829.320 458.530 833.320 ;
END
END io_oeb[32]
PIN io_oeb[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 472.050 829.320 472.330 833.320 ;
END
END io_oeb[33]
PIN io_oeb[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 486.310 829.320 486.590 833.320 ;
END
END io_oeb[34]
PIN io_oeb[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 500.570 829.320 500.850 833.320 ;
END
END io_oeb[35]
PIN io_oeb[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 514.370 829.320 514.650 833.320 ;
END
END io_oeb[36]
PIN io_oeb[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 528.630 829.320 528.910 833.320 ;
END
END io_oeb[37]
PIN io_oeb[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 49.310 829.320 49.590 833.320 ;
END
END io_oeb[3]
PIN io_oeb[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 63.110 829.320 63.390 833.320 ;
END
END io_oeb[4]
PIN io_oeb[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 77.370 829.320 77.650 833.320 ;
END
END io_oeb[5]
PIN io_oeb[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 91.630 829.320 91.910 833.320 ;
END
END io_oeb[6]
PIN io_oeb[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 105.430 829.320 105.710 833.320 ;
END
END io_oeb[7]
PIN io_oeb[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 119.690 829.320 119.970 833.320 ;
END
END io_oeb[8]
PIN io_oeb[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 133.950 829.320 134.230 833.320 ;
END
END io_oeb[9]
PIN io_out[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 11.590 829.320 11.870 833.320 ;
END
END io_out[0]
PIN io_out[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 152.350 829.320 152.630 833.320 ;
END
END io_out[10]
PIN io_out[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 166.610 829.320 166.890 833.320 ;
END
END io_out[11]
PIN io_out[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 180.870 829.320 181.150 833.320 ;
END
END io_out[12]
PIN io_out[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 194.670 829.320 194.950 833.320 ;
END
END io_out[13]
PIN io_out[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 208.930 829.320 209.210 833.320 ;
END
END io_out[14]
PIN io_out[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 223.190 829.320 223.470 833.320 ;
END
END io_out[15]
PIN io_out[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 236.990 829.320 237.270 833.320 ;
END
END io_out[16]
PIN io_out[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 251.250 829.320 251.530 833.320 ;
END
END io_out[17]
PIN io_out[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 265.510 829.320 265.790 833.320 ;
END
END io_out[18]
PIN io_out[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 279.310 829.320 279.590 833.320 ;
END
END io_out[19]
PIN io_out[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 25.850 829.320 26.130 833.320 ;
END
END io_out[1]
PIN io_out[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 293.570 829.320 293.850 833.320 ;
END
END io_out[20]
PIN io_out[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 307.830 829.320 308.110 833.320 ;
END
END io_out[21]
PIN io_out[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 321.630 829.320 321.910 833.320 ;
END
END io_out[22]
PIN io_out[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 335.890 829.320 336.170 833.320 ;
END
END io_out[23]
PIN io_out[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 350.150 829.320 350.430 833.320 ;
END
END io_out[24]
PIN io_out[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 363.950 829.320 364.230 833.320 ;
END
END io_out[25]
PIN io_out[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 378.210 829.320 378.490 833.320 ;
END
END io_out[26]
PIN io_out[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 392.470 829.320 392.750 833.320 ;
END
END io_out[27]
PIN io_out[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 406.270 829.320 406.550 833.320 ;
END
END io_out[28]
PIN io_out[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 420.530 829.320 420.810 833.320 ;
END
END io_out[29]
PIN io_out[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 39.650 829.320 39.930 833.320 ;
END
END io_out[2]
PIN io_out[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 434.330 829.320 434.610 833.320 ;
END
END io_out[30]
PIN io_out[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 448.590 829.320 448.870 833.320 ;
END
END io_out[31]
PIN io_out[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 462.850 829.320 463.130 833.320 ;
END
END io_out[32]
PIN io_out[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 476.650 829.320 476.930 833.320 ;
END
END io_out[33]
PIN io_out[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 490.910 829.320 491.190 833.320 ;
END
END io_out[34]
PIN io_out[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 505.170 829.320 505.450 833.320 ;
END
END io_out[35]
PIN io_out[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 518.970 829.320 519.250 833.320 ;
END
END io_out[36]
PIN io_out[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 533.230 829.320 533.510 833.320 ;
END
END io_out[37]
PIN io_out[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 53.910 829.320 54.190 833.320 ;
END
END io_out[3]
PIN io_out[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 68.170 829.320 68.450 833.320 ;
END
END io_out[4]
PIN io_out[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 81.970 829.320 82.250 833.320 ;
END
END io_out[5]
PIN io_out[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 96.230 829.320 96.510 833.320 ;
END
END io_out[6]
PIN io_out[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 110.030 829.320 110.310 833.320 ;
END
END io_out[7]
PIN io_out[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 124.290 829.320 124.570 833.320 ;
END
END io_out[8]
PIN io_out[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 138.550 829.320 138.830 833.320 ;
END
END io_out[9]
PIN irq[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 512.070 0.000 512.350 4.000 ;
END
END irq[0]
PIN irq[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 516.670 0.000 516.950 4.000 ;
END
END irq[1]
PIN irq[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 521.730 0.000 522.010 4.000 ;
END
END irq[2]
PIN o_addr1[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 20.440 4.000 21.040 ;
END
END o_addr1[0]
PIN o_addr1[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 569.570 0.000 569.850 4.000 ;
END
END o_addr1[1]
PIN o_addr1[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 89.800 4.000 90.400 ;
END
END o_addr1[2]
PIN o_addr1[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 603.610 0.000 603.890 4.000 ;
END
END o_addr1[3]
PIN o_addr1[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 218.320 822.600 218.920 ;
END
END o_addr1[4]
PIN o_addr1[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 270.000 4.000 270.600 ;
END
END o_addr1[5]
PIN o_addr1[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 627.530 0.000 627.810 4.000 ;
END
END o_addr1[6]
PIN o_addr1[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 622.470 829.320 622.750 833.320 ;
END
END o_addr1[7]
PIN o_addr1[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 345.480 822.600 346.080 ;
END
END o_addr1[8]
PIN o_addr1_1[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 550.710 0.000 550.990 4.000 ;
END
END o_addr1_1[0]
PIN o_addr1_1[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 76.200 4.000 76.800 ;
END
END o_addr1_1[1]
PIN o_addr1_1[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 161.880 822.600 162.480 ;
END
END o_addr1_1[2]
PIN o_addr1_1[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 598.550 0.000 598.830 4.000 ;
END
END o_addr1_1[3]
PIN o_addr1_1[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 580.150 829.320 580.430 833.320 ;
END
END o_addr1_1[4]
PIN o_addr1_1[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 589.810 829.320 590.090 833.320 ;
END
END o_addr1_1[5]
PIN o_addr1_1[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 599.010 829.320 599.290 833.320 ;
END
END o_addr1_1[6]
PIN o_addr1_1[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 325.760 4.000 326.360 ;
END
END o_addr1_1[7]
PIN o_addr1_1[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 636.730 829.320 637.010 833.320 ;
END
END o_addr1_1[8]
PIN o_csb0
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 6.840 822.600 7.440 ;
END
END o_csb0
PIN o_csb0_1
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 6.840 4.000 7.440 ;
END
END o_csb0_1
PIN o_csb1
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 526.330 0.000 526.610 4.000 ;
END
END o_csb1
PIN o_csb1_1
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 531.390 0.000 531.670 4.000 ;
END
END o_csb1_1
PIN o_din0[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 34.040 4.000 34.640 ;
END
END o_din0[0]
PIN o_din0[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 655.590 829.320 655.870 833.320 ;
END
END o_din0[10]
PIN o_din0[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 680.430 0.000 680.710 4.000 ;
END
END o_din0[11]
PIN o_din0[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 694.690 0.000 694.970 4.000 ;
END
END o_din0[12]
PIN o_din0[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 436.600 4.000 437.200 ;
END
END o_din0[13]
PIN o_din0[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 542.680 822.600 543.280 ;
END
END o_din0[14]
PIN o_din0[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 679.050 829.320 679.330 833.320 ;
END
END o_din0[15]
PIN o_din0[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 733.330 0.000 733.610 4.000 ;
END
END o_din0[16]
PIN o_din0[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 747.590 0.000 747.870 4.000 ;
END
END o_din0[17]
PIN o_din0[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 533.840 4.000 534.440 ;
END
END o_din0[18]
PIN o_din0[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 707.110 829.320 707.390 833.320 ;
END
END o_din0[19]
PIN o_din0[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 105.440 822.600 106.040 ;
END
END o_din0[1]
PIN o_din0[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 721.370 829.320 721.650 833.320 ;
END
END o_din0[20]
PIN o_din0[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 725.970 829.320 726.250 833.320 ;
END
END o_din0[21]
PIN o_din0[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 740.230 829.320 740.510 833.320 ;
END
END o_din0[22]
PIN o_din0[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 776.570 0.000 776.850 4.000 ;
END
END o_din0[23]
PIN o_din0[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 781.170 0.000 781.450 4.000 ;
END
END o_din0[24]
PIN o_din0[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 768.290 829.320 768.570 833.320 ;
END
END o_din0[25]
PIN o_din0[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 769.120 822.600 769.720 ;
END
END o_din0[26]
PIN o_din0[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 791.750 829.320 792.030 833.320 ;
END
END o_din0[27]
PIN o_din0[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 800.490 0.000 800.770 4.000 ;
END
END o_din0[28]
PIN o_din0[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 784.080 4.000 784.680 ;
END
END o_din0[29]
PIN o_din0[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 556.690 829.320 556.970 833.320 ;
END
END o_din0[2]
PIN o_din0[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 815.210 829.320 815.490 833.320 ;
END
END o_din0[30]
PIN o_din0[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 819.810 0.000 820.090 4.000 ;
END
END o_din0[31]
PIN o_din0[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 566.350 829.320 566.630 833.320 ;
END
END o_din0[3]
PIN o_din0[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 232.600 822.600 233.200 ;
END
END o_din0[4]
PIN o_din0[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 617.870 0.000 618.150 4.000 ;
END
END o_din0[5]
PIN o_din0[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 608.670 829.320 608.950 833.320 ;
END
END o_din0[6]
PIN o_din0[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 641.790 0.000 642.070 4.000 ;
END
END o_din0[7]
PIN o_din0[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 373.360 822.600 373.960 ;
END
END o_din0[8]
PIN o_din0[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 401.920 822.600 402.520 ;
END
END o_din0[9]
PIN o_din0_1[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 555.310 0.000 555.590 4.000 ;
END
END o_din0_1[0]
PIN o_din0_1[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 444.080 822.600 444.680 ;
END
END o_din0_1[10]
PIN o_din0_1[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 660.190 829.320 660.470 833.320 ;
END
END o_din0_1[11]
PIN o_din0_1[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 690.090 0.000 690.370 4.000 ;
END
END o_din0_1[12]
PIN o_din0_1[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 704.350 0.000 704.630 4.000 ;
END
END o_din0_1[13]
PIN o_din0_1[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 529.080 822.600 529.680 ;
END
END o_din0_1[14]
PIN o_din0_1[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 464.480 4.000 465.080 ;
END
END o_din0_1[15]
PIN o_din0_1[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 728.270 0.000 728.550 4.000 ;
END
END o_din0_1[16]
PIN o_din0_1[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 506.640 4.000 507.240 ;
END
END o_din0_1[17]
PIN o_din0_1[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 613.400 822.600 614.000 ;
END
END o_din0_1[18]
PIN o_din0_1[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 548.120 4.000 548.720 ;
END
END o_din0_1[19]
PIN o_din0_1[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 91.160 822.600 91.760 ;
END
END o_din0_1[1]
PIN o_din0_1[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 656.240 822.600 656.840 ;
END
END o_din0_1[20]
PIN o_din0_1[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 617.480 4.000 618.080 ;
END
END o_din0_1[21]
PIN o_din0_1[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 762.310 0.000 762.590 4.000 ;
END
END o_din0_1[22]
PIN o_din0_1[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 631.080 4.000 631.680 ;
END
END o_din0_1[23]
PIN o_din0_1[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 726.280 822.600 726.880 ;
END
END o_din0_1[24]
PIN o_din0_1[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 740.560 822.600 741.160 ;
END
END o_din0_1[25]
PIN o_din0_1[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 772.890 829.320 773.170 833.320 ;
END
END o_din0_1[26]
PIN o_din0_1[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 787.150 829.320 787.430 833.320 ;
END
END o_din0_1[27]
PIN o_din0_1[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 795.890 0.000 796.170 4.000 ;
END
END o_din0_1[28]
PIN o_din0_1[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 769.800 4.000 770.400 ;
END
END o_din0_1[29]
PIN o_din0_1[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 103.400 4.000 104.000 ;
END
END o_din0_1[2]
PIN o_din0_1[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 797.680 4.000 798.280 ;
END
END o_din0_1[30]
PIN o_din0_1[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 815.210 0.000 815.490 4.000 ;
END
END o_din0_1[31]
PIN o_din0_1[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 204.040 822.600 204.640 ;
END
END o_din0_1[3]
PIN o_din0_1[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 228.520 4.000 229.120 ;
END
END o_din0_1[4]
PIN o_din0_1[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 612.810 0.000 613.090 4.000 ;
END
END o_din0_1[5]
PIN o_din0_1[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 603.610 829.320 603.890 833.320 ;
END
END o_din0_1[6]
PIN o_din0_1[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 340.040 4.000 340.640 ;
END
END o_din0_1[7]
PIN o_din0_1[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 359.760 822.600 360.360 ;
END
END o_din0_1[8]
PIN o_din0_1[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 395.120 4.000 395.720 ;
END
END o_din0_1[9]
PIN o_waddr0[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 48.320 4.000 48.920 ;
END
END o_waddr0[0]
PIN o_waddr0[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 119.720 822.600 120.320 ;
END
END o_waddr0[1]
PIN o_waddr0[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 588.890 0.000 589.170 4.000 ;
END
END o_waddr0[2]
PIN o_waddr0[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 159.160 4.000 159.760 ;
END
END o_waddr0[3]
PIN o_waddr0[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 584.750 829.320 585.030 833.320 ;
END
END o_waddr0[4]
PIN o_waddr0[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 622.470 0.000 622.750 4.000 ;
END
END o_waddr0[5]
PIN o_waddr0[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 632.130 0.000 632.410 4.000 ;
END
END o_waddr0[6]
PIN o_waddr0[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 627.070 829.320 627.350 833.320 ;
END
END o_waddr0[7]
PIN o_waddr0[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 651.450 0.000 651.730 4.000 ;
END
END o_waddr0[8]
PIN o_waddr0_1[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 537.830 829.320 538.110 833.320 ;
END
END o_waddr0_1[0]
PIN o_waddr0_1[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 574.630 0.000 574.910 4.000 ;
END
END o_waddr0_1[1]
PIN o_waddr0_1[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 117.680 4.000 118.280 ;
END
END o_waddr0_1[2]
PIN o_waddr0_1[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 608.210 0.000 608.490 4.000 ;
END
END o_waddr0_1[3]
PIN o_waddr0_1[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 242.800 4.000 243.400 ;
END
END o_waddr0_1[4]
PIN o_waddr0_1[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 289.040 822.600 289.640 ;
END
END o_waddr0_1[5]
PIN o_waddr0_1[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 613.270 829.320 613.550 833.320 ;
END
END o_waddr0_1[6]
PIN o_waddr0_1[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 331.200 822.600 331.800 ;
END
END o_waddr0_1[7]
PIN o_waddr0_1[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 646.850 0.000 647.130 4.000 ;
END
END o_waddr0_1[8]
PIN o_web0
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 535.990 0.000 536.270 4.000 ;
END
END o_web0
PIN o_web0_1
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 541.050 0.000 541.330 4.000 ;
END
END o_web0_1
PIN o_wmask0[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 559.910 0.000 560.190 4.000 ;
END
END o_wmask0[0]
PIN o_wmask0[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 552.090 829.320 552.370 833.320 ;
END
END o_wmask0[1]
PIN o_wmask0[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 561.290 829.320 561.570 833.320 ;
END
END o_wmask0[2]
PIN o_wmask0[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 173.440 4.000 174.040 ;
END
END o_wmask0[3]
PIN o_wmask0_1[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 61.920 4.000 62.520 ;
END
END o_wmask0_1[0]
PIN o_wmask0_1[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 547.490 829.320 547.770 833.320 ;
END
END o_wmask0_1[1]
PIN o_wmask0_1[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 593.950 0.000 594.230 4.000 ;
END
END o_wmask0_1[2]
PIN o_wmask0_1[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 570.950 829.320 571.230 833.320 ;
END
END o_wmask0_1[3]
PIN vccd1
DIRECTION INPUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 21.040 10.640 22.640 821.680 ;
END
PORT
LAYER met4 ;
RECT 174.640 10.640 176.240 821.680 ;
END
PORT
LAYER met4 ;
RECT 328.240 10.640 329.840 821.680 ;
END
PORT
LAYER met4 ;
RECT 481.840 10.640 483.440 821.680 ;
END
PORT
LAYER met4 ;
RECT 635.440 10.640 637.040 821.680 ;
END
PORT
LAYER met4 ;
RECT 789.040 10.640 790.640 821.680 ;
END
END vccd1
PIN vssd1
DIRECTION INPUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 97.840 10.640 99.440 821.680 ;
END
PORT
LAYER met4 ;
RECT 251.440 10.640 253.040 821.680 ;
END
PORT
LAYER met4 ;
RECT 405.040 10.640 406.640 821.680 ;
END
PORT
LAYER met4 ;
RECT 558.640 10.640 560.240 821.680 ;
END
PORT
LAYER met4 ;
RECT 712.240 10.640 713.840 821.680 ;
END
END vssd1
PIN wb_clk_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2.390 0.000 2.670 4.000 ;
END
END wb_clk_i
PIN wb_rst_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 6.990 0.000 7.270 4.000 ;
END
END wb_rst_i
PIN wbs_ack_o
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 11.590 0.000 11.870 4.000 ;
END
END wbs_ack_o
PIN wbs_adr_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 30.910 0.000 31.190 4.000 ;
END
END wbs_adr_i[0]
PIN wbs_adr_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 194.670 0.000 194.950 4.000 ;
END
END wbs_adr_i[10]
PIN wbs_adr_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 208.930 0.000 209.210 4.000 ;
END
END wbs_adr_i[11]
PIN wbs_adr_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 223.190 0.000 223.470 4.000 ;
END
END wbs_adr_i[12]
PIN wbs_adr_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 237.910 0.000 238.190 4.000 ;
END
END wbs_adr_i[13]
PIN wbs_adr_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 252.170 0.000 252.450 4.000 ;
END
END wbs_adr_i[14]
PIN wbs_adr_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 266.890 0.000 267.170 4.000 ;
END
END wbs_adr_i[15]
PIN wbs_adr_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 281.150 0.000 281.430 4.000 ;
END
END wbs_adr_i[16]
PIN wbs_adr_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 295.410 0.000 295.690 4.000 ;
END
END wbs_adr_i[17]
PIN wbs_adr_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 310.130 0.000 310.410 4.000 ;
END
END wbs_adr_i[18]
PIN wbs_adr_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 324.390 0.000 324.670 4.000 ;
END
END wbs_adr_i[19]
PIN wbs_adr_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 50.230 0.000 50.510 4.000 ;
END
END wbs_adr_i[1]
PIN wbs_adr_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 338.650 0.000 338.930 4.000 ;
END
END wbs_adr_i[20]
PIN wbs_adr_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 353.370 0.000 353.650 4.000 ;
END
END wbs_adr_i[21]
PIN wbs_adr_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 367.630 0.000 367.910 4.000 ;
END
END wbs_adr_i[22]
PIN wbs_adr_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 382.350 0.000 382.630 4.000 ;
END
END wbs_adr_i[23]
PIN wbs_adr_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 396.610 0.000 396.890 4.000 ;
END
END wbs_adr_i[24]
PIN wbs_adr_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 410.870 0.000 411.150 4.000 ;
END
END wbs_adr_i[25]
PIN wbs_adr_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 425.590 0.000 425.870 4.000 ;
END
END wbs_adr_i[26]
PIN wbs_adr_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 439.850 0.000 440.130 4.000 ;
END
END wbs_adr_i[27]
PIN wbs_adr_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 454.110 0.000 454.390 4.000 ;
END
END wbs_adr_i[28]
PIN wbs_adr_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 468.830 0.000 469.110 4.000 ;
END
END wbs_adr_i[29]
PIN wbs_adr_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 69.550 0.000 69.830 4.000 ;
END
END wbs_adr_i[2]
PIN wbs_adr_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 483.090 0.000 483.370 4.000 ;
END
END wbs_adr_i[30]
PIN wbs_adr_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 497.350 0.000 497.630 4.000 ;
END
END wbs_adr_i[31]
PIN wbs_adr_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 88.870 0.000 89.150 4.000 ;
END
END wbs_adr_i[3]
PIN wbs_adr_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 108.190 0.000 108.470 4.000 ;
END
END wbs_adr_i[4]
PIN wbs_adr_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 122.450 0.000 122.730 4.000 ;
END
END wbs_adr_i[5]
PIN wbs_adr_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 136.710 0.000 136.990 4.000 ;
END
END wbs_adr_i[6]
PIN wbs_adr_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 151.430 0.000 151.710 4.000 ;
END
END wbs_adr_i[7]
PIN wbs_adr_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 165.690 0.000 165.970 4.000 ;
END
END wbs_adr_i[8]
PIN wbs_adr_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 179.950 0.000 180.230 4.000 ;
END
END wbs_adr_i[9]
PIN wbs_cyc_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 16.650 0.000 16.930 4.000 ;
END
END wbs_cyc_i
PIN wbs_dat_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 35.970 0.000 36.250 4.000 ;
END
END wbs_dat_i[0]
PIN wbs_dat_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 199.270 0.000 199.550 4.000 ;
END
END wbs_dat_i[10]
PIN wbs_dat_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 213.990 0.000 214.270 4.000 ;
END
END wbs_dat_i[11]
PIN wbs_dat_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 228.250 0.000 228.530 4.000 ;
END
END wbs_dat_i[12]
PIN wbs_dat_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 242.510 0.000 242.790 4.000 ;
END
END wbs_dat_i[13]
PIN wbs_dat_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 257.230 0.000 257.510 4.000 ;
END
END wbs_dat_i[14]
PIN wbs_dat_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 271.490 0.000 271.770 4.000 ;
END
END wbs_dat_i[15]
PIN wbs_dat_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 285.750 0.000 286.030 4.000 ;
END
END wbs_dat_i[16]
PIN wbs_dat_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 300.470 0.000 300.750 4.000 ;
END
END wbs_dat_i[17]
PIN wbs_dat_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 314.730 0.000 315.010 4.000 ;
END
END wbs_dat_i[18]
PIN wbs_dat_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 329.450 0.000 329.730 4.000 ;
END
END wbs_dat_i[19]
PIN wbs_dat_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 55.290 0.000 55.570 4.000 ;
END
END wbs_dat_i[1]
PIN wbs_dat_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 343.710 0.000 343.990 4.000 ;
END
END wbs_dat_i[20]
PIN wbs_dat_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 357.970 0.000 358.250 4.000 ;
END
END wbs_dat_i[21]
PIN wbs_dat_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 372.690 0.000 372.970 4.000 ;
END
END wbs_dat_i[22]
PIN wbs_dat_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 386.950 0.000 387.230 4.000 ;
END
END wbs_dat_i[23]
PIN wbs_dat_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 401.210 0.000 401.490 4.000 ;
END
END wbs_dat_i[24]
PIN wbs_dat_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 415.930 0.000 416.210 4.000 ;
END
END wbs_dat_i[25]
PIN wbs_dat_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 430.190 0.000 430.470 4.000 ;
END
END wbs_dat_i[26]
PIN wbs_dat_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 444.450 0.000 444.730 4.000 ;
END
END wbs_dat_i[27]
PIN wbs_dat_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 459.170 0.000 459.450 4.000 ;
END
END wbs_dat_i[28]
PIN wbs_dat_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 473.430 0.000 473.710 4.000 ;
END
END wbs_dat_i[29]
PIN wbs_dat_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 74.150 0.000 74.430 4.000 ;
END
END wbs_dat_i[2]
PIN wbs_dat_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 488.150 0.000 488.430 4.000 ;
END
END wbs_dat_i[30]
PIN wbs_dat_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 502.410 0.000 502.690 4.000 ;
END
END wbs_dat_i[31]
PIN wbs_dat_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 93.470 0.000 93.750 4.000 ;
END
END wbs_dat_i[3]
PIN wbs_dat_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 112.790 0.000 113.070 4.000 ;
END
END wbs_dat_i[4]
PIN wbs_dat_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 127.050 0.000 127.330 4.000 ;
END
END wbs_dat_i[5]
PIN wbs_dat_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 141.770 0.000 142.050 4.000 ;
END
END wbs_dat_i[6]
PIN wbs_dat_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 156.030 0.000 156.310 4.000 ;
END
END wbs_dat_i[7]
PIN wbs_dat_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 170.290 0.000 170.570 4.000 ;
END
END wbs_dat_i[8]
PIN wbs_dat_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 185.010 0.000 185.290 4.000 ;
END
END wbs_dat_i[9]
PIN wbs_dat_o[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 40.570 0.000 40.850 4.000 ;
END
END wbs_dat_o[0]
PIN wbs_dat_o[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 204.330 0.000 204.610 4.000 ;
END
END wbs_dat_o[10]
PIN wbs_dat_o[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 218.590 0.000 218.870 4.000 ;
END
END wbs_dat_o[11]
PIN wbs_dat_o[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 232.850 0.000 233.130 4.000 ;
END
END wbs_dat_o[12]
PIN wbs_dat_o[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 247.570 0.000 247.850 4.000 ;
END
END wbs_dat_o[13]
PIN wbs_dat_o[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 261.830 0.000 262.110 4.000 ;
END
END wbs_dat_o[14]
PIN wbs_dat_o[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 276.550 0.000 276.830 4.000 ;
END
END wbs_dat_o[15]
PIN wbs_dat_o[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 290.810 0.000 291.090 4.000 ;
END
END wbs_dat_o[16]
PIN wbs_dat_o[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 305.070 0.000 305.350 4.000 ;
END
END wbs_dat_o[17]
PIN wbs_dat_o[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 319.790 0.000 320.070 4.000 ;
END
END wbs_dat_o[18]
PIN wbs_dat_o[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 334.050 0.000 334.330 4.000 ;
END
END wbs_dat_o[19]
PIN wbs_dat_o[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 59.890 0.000 60.170 4.000 ;
END
END wbs_dat_o[1]
PIN wbs_dat_o[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 348.310 0.000 348.590 4.000 ;
END
END wbs_dat_o[20]
PIN wbs_dat_o[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 363.030 0.000 363.310 4.000 ;
END
END wbs_dat_o[21]
PIN wbs_dat_o[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 377.290 0.000 377.570 4.000 ;
END
END wbs_dat_o[22]
PIN wbs_dat_o[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 391.550 0.000 391.830 4.000 ;
END
END wbs_dat_o[23]
PIN wbs_dat_o[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 406.270 0.000 406.550 4.000 ;
END
END wbs_dat_o[24]
PIN wbs_dat_o[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 420.530 0.000 420.810 4.000 ;
END
END wbs_dat_o[25]
PIN wbs_dat_o[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 435.250 0.000 435.530 4.000 ;
END
END wbs_dat_o[26]
PIN wbs_dat_o[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 449.510 0.000 449.790 4.000 ;
END
END wbs_dat_o[27]
PIN wbs_dat_o[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 463.770 0.000 464.050 4.000 ;
END
END wbs_dat_o[28]
PIN wbs_dat_o[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 478.490 0.000 478.770 4.000 ;
END
END wbs_dat_o[29]
PIN wbs_dat_o[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 79.210 0.000 79.490 4.000 ;
END
END wbs_dat_o[2]
PIN wbs_dat_o[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 492.750 0.000 493.030 4.000 ;
END
END wbs_dat_o[30]
PIN wbs_dat_o[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 507.010 0.000 507.290 4.000 ;
END
END wbs_dat_o[31]
PIN wbs_dat_o[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 98.530 0.000 98.810 4.000 ;
END
END wbs_dat_o[3]
PIN wbs_dat_o[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 117.390 0.000 117.670 4.000 ;
END
END wbs_dat_o[4]
PIN wbs_dat_o[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 132.110 0.000 132.390 4.000 ;
END
END wbs_dat_o[5]
PIN wbs_dat_o[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 146.370 0.000 146.650 4.000 ;
END
END wbs_dat_o[6]
PIN wbs_dat_o[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 161.090 0.000 161.370 4.000 ;
END
END wbs_dat_o[7]
PIN wbs_dat_o[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 175.350 0.000 175.630 4.000 ;
END
END wbs_dat_o[8]
PIN wbs_dat_o[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 189.610 0.000 189.890 4.000 ;
END
END wbs_dat_o[9]
PIN wbs_sel_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 45.630 0.000 45.910 4.000 ;
END
END wbs_sel_i[0]
PIN wbs_sel_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 64.490 0.000 64.770 4.000 ;
END
END wbs_sel_i[1]
PIN wbs_sel_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 83.810 0.000 84.090 4.000 ;
END
END wbs_sel_i[2]
PIN wbs_sel_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 103.130 0.000 103.410 4.000 ;
END
END wbs_sel_i[3]
PIN wbs_stb_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 21.250 0.000 21.530 4.000 ;
END
END wbs_stb_i
PIN wbs_we_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 26.310 0.000 26.590 4.000 ;
END
END wbs_we_i
OBS
LAYER li1 ;
RECT 5.520 10.795 820.955 821.525 ;
LAYER met1 ;
RECT 0.530 0.040 821.490 821.680 ;
LAYER met2 ;
RECT 0.090 829.040 2.110 830.010 ;
RECT 2.950 829.040 6.710 830.010 ;
RECT 7.550 829.040 11.310 830.010 ;
RECT 12.150 829.040 15.910 830.010 ;
RECT 16.750 829.040 20.510 830.010 ;
RECT 21.350 829.040 25.570 830.010 ;
RECT 26.410 829.040 30.170 830.010 ;
RECT 31.010 829.040 34.770 830.010 ;
RECT 35.610 829.040 39.370 830.010 ;
RECT 40.210 829.040 43.970 830.010 ;
RECT 44.810 829.040 49.030 830.010 ;
RECT 49.870 829.040 53.630 830.010 ;
RECT 54.470 829.040 58.230 830.010 ;
RECT 59.070 829.040 62.830 830.010 ;
RECT 63.670 829.040 67.890 830.010 ;
RECT 68.730 829.040 72.490 830.010 ;
RECT 73.330 829.040 77.090 830.010 ;
RECT 77.930 829.040 81.690 830.010 ;
RECT 82.530 829.040 86.290 830.010 ;
RECT 87.130 829.040 91.350 830.010 ;
RECT 92.190 829.040 95.950 830.010 ;
RECT 96.790 829.040 100.550 830.010 ;
RECT 101.390 829.040 105.150 830.010 ;
RECT 105.990 829.040 109.750 830.010 ;
RECT 110.590 829.040 114.810 830.010 ;
RECT 115.650 829.040 119.410 830.010 ;
RECT 120.250 829.040 124.010 830.010 ;
RECT 124.850 829.040 128.610 830.010 ;
RECT 129.450 829.040 133.670 830.010 ;
RECT 134.510 829.040 138.270 830.010 ;
RECT 139.110 829.040 142.870 830.010 ;
RECT 143.710 829.040 147.470 830.010 ;
RECT 148.310 829.040 152.070 830.010 ;
RECT 152.910 829.040 157.130 830.010 ;
RECT 157.970 829.040 161.730 830.010 ;
RECT 162.570 829.040 166.330 830.010 ;
RECT 167.170 829.040 170.930 830.010 ;
RECT 171.770 829.040 175.990 830.010 ;
RECT 176.830 829.040 180.590 830.010 ;
RECT 181.430 829.040 185.190 830.010 ;
RECT 186.030 829.040 189.790 830.010 ;
RECT 190.630 829.040 194.390 830.010 ;
RECT 195.230 829.040 199.450 830.010 ;
RECT 200.290 829.040 204.050 830.010 ;
RECT 204.890 829.040 208.650 830.010 ;
RECT 209.490 829.040 213.250 830.010 ;
RECT 214.090 829.040 217.850 830.010 ;
RECT 218.690 829.040 222.910 830.010 ;
RECT 223.750 829.040 227.510 830.010 ;
RECT 228.350 829.040 232.110 830.010 ;
RECT 232.950 829.040 236.710 830.010 ;
RECT 237.550 829.040 241.770 830.010 ;
RECT 242.610 829.040 246.370 830.010 ;
RECT 247.210 829.040 250.970 830.010 ;
RECT 251.810 829.040 255.570 830.010 ;
RECT 256.410 829.040 260.170 830.010 ;
RECT 261.010 829.040 265.230 830.010 ;
RECT 266.070 829.040 269.830 830.010 ;
RECT 270.670 829.040 274.430 830.010 ;
RECT 275.270 829.040 279.030 830.010 ;
RECT 279.870 829.040 284.090 830.010 ;
RECT 284.930 829.040 288.690 830.010 ;
RECT 289.530 829.040 293.290 830.010 ;
RECT 294.130 829.040 297.890 830.010 ;
RECT 298.730 829.040 302.490 830.010 ;
RECT 303.330 829.040 307.550 830.010 ;
RECT 308.390 829.040 312.150 830.010 ;
RECT 312.990 829.040 316.750 830.010 ;
RECT 317.590 829.040 321.350 830.010 ;
RECT 322.190 829.040 325.950 830.010 ;
RECT 326.790 829.040 331.010 830.010 ;
RECT 331.850 829.040 335.610 830.010 ;
RECT 336.450 829.040 340.210 830.010 ;
RECT 341.050 829.040 344.810 830.010 ;
RECT 345.650 829.040 349.870 830.010 ;
RECT 350.710 829.040 354.470 830.010 ;
RECT 355.310 829.040 359.070 830.010 ;
RECT 359.910 829.040 363.670 830.010 ;
RECT 364.510 829.040 368.270 830.010 ;
RECT 369.110 829.040 373.330 830.010 ;
RECT 374.170 829.040 377.930 830.010 ;
RECT 378.770 829.040 382.530 830.010 ;
RECT 383.370 829.040 387.130 830.010 ;
RECT 387.970 829.040 392.190 830.010 ;
RECT 393.030 829.040 396.790 830.010 ;
RECT 397.630 829.040 401.390 830.010 ;
RECT 402.230 829.040 405.990 830.010 ;
RECT 406.830 829.040 410.590 830.010 ;
RECT 411.430 829.040 415.650 830.010 ;
RECT 416.490 829.040 420.250 830.010 ;
RECT 421.090 829.040 424.850 830.010 ;
RECT 425.690 829.040 429.450 830.010 ;
RECT 430.290 829.040 434.050 830.010 ;
RECT 434.890 829.040 439.110 830.010 ;
RECT 439.950 829.040 443.710 830.010 ;
RECT 444.550 829.040 448.310 830.010 ;
RECT 449.150 829.040 452.910 830.010 ;
RECT 453.750 829.040 457.970 830.010 ;
RECT 458.810 829.040 462.570 830.010 ;
RECT 463.410 829.040 467.170 830.010 ;
RECT 468.010 829.040 471.770 830.010 ;
RECT 472.610 829.040 476.370 830.010 ;
RECT 477.210 829.040 481.430 830.010 ;
RECT 482.270 829.040 486.030 830.010 ;
RECT 486.870 829.040 490.630 830.010 ;
RECT 491.470 829.040 495.230 830.010 ;
RECT 496.070 829.040 500.290 830.010 ;
RECT 501.130 829.040 504.890 830.010 ;
RECT 505.730 829.040 509.490 830.010 ;
RECT 510.330 829.040 514.090 830.010 ;
RECT 514.930 829.040 518.690 830.010 ;
RECT 519.530 829.040 523.750 830.010 ;
RECT 524.590 829.040 528.350 830.010 ;
RECT 529.190 829.040 532.950 830.010 ;
RECT 533.790 829.040 537.550 830.010 ;
RECT 538.390 829.040 542.150 830.010 ;
RECT 542.990 829.040 547.210 830.010 ;
RECT 548.050 829.040 551.810 830.010 ;
RECT 552.650 829.040 556.410 830.010 ;
RECT 557.250 829.040 561.010 830.010 ;
RECT 561.850 829.040 566.070 830.010 ;
RECT 566.910 829.040 570.670 830.010 ;
RECT 571.510 829.040 575.270 830.010 ;
RECT 576.110 829.040 579.870 830.010 ;
RECT 580.710 829.040 584.470 830.010 ;
RECT 585.310 829.040 589.530 830.010 ;
RECT 590.370 829.040 594.130 830.010 ;
RECT 594.970 829.040 598.730 830.010 ;
RECT 599.570 829.040 603.330 830.010 ;
RECT 604.170 829.040 608.390 830.010 ;
RECT 609.230 829.040 612.990 830.010 ;
RECT 613.830 829.040 617.590 830.010 ;
RECT 618.430 829.040 622.190 830.010 ;
RECT 623.030 829.040 626.790 830.010 ;
RECT 627.630 829.040 631.850 830.010 ;
RECT 632.690 829.040 636.450 830.010 ;
RECT 637.290 829.040 641.050 830.010 ;
RECT 641.890 829.040 645.650 830.010 ;
RECT 646.490 829.040 650.250 830.010 ;
RECT 651.090 829.040 655.310 830.010 ;
RECT 656.150 829.040 659.910 830.010 ;
RECT 660.750 829.040 664.510 830.010 ;
RECT 665.350 829.040 669.110 830.010 ;
RECT 669.950 829.040 674.170 830.010 ;
RECT 675.010 829.040 678.770 830.010 ;
RECT 679.610 829.040 683.370 830.010 ;
RECT 684.210 829.040 687.970 830.010 ;
RECT 688.810 829.040 692.570 830.010 ;
RECT 693.410 829.040 697.630 830.010 ;
RECT 698.470 829.040 702.230 830.010 ;
RECT 703.070 829.040 706.830 830.010 ;
RECT 707.670 829.040 711.430 830.010 ;
RECT 712.270 829.040 716.490 830.010 ;
RECT 717.330 829.040 721.090 830.010 ;
RECT 721.930 829.040 725.690 830.010 ;
RECT 726.530 829.040 730.290 830.010 ;
RECT 731.130 829.040 734.890 830.010 ;
RECT 735.730 829.040 739.950 830.010 ;
RECT 740.790 829.040 744.550 830.010 ;
RECT 745.390 829.040 749.150 830.010 ;
RECT 749.990 829.040 753.750 830.010 ;
RECT 754.590 829.040 758.350 830.010 ;
RECT 759.190 829.040 763.410 830.010 ;
RECT 764.250 829.040 768.010 830.010 ;
RECT 768.850 829.040 772.610 830.010 ;
RECT 773.450 829.040 777.210 830.010 ;
RECT 778.050 829.040 782.270 830.010 ;
RECT 783.110 829.040 786.870 830.010 ;
RECT 787.710 829.040 791.470 830.010 ;
RECT 792.310 829.040 796.070 830.010 ;
RECT 796.910 829.040 800.670 830.010 ;
RECT 801.510 829.040 805.730 830.010 ;
RECT 806.570 829.040 810.330 830.010 ;
RECT 811.170 829.040 814.930 830.010 ;
RECT 815.770 829.040 819.530 830.010 ;
RECT 820.370 829.040 821.470 830.010 ;
RECT 0.090 4.280 821.470 829.040 ;
RECT 0.090 0.010 2.110 4.280 ;
RECT 2.950 0.010 6.710 4.280 ;
RECT 7.550 0.010 11.310 4.280 ;
RECT 12.150 0.010 16.370 4.280 ;
RECT 17.210 0.010 20.970 4.280 ;
RECT 21.810 0.010 26.030 4.280 ;
RECT 26.870 0.010 30.630 4.280 ;
RECT 31.470 0.010 35.690 4.280 ;
RECT 36.530 0.010 40.290 4.280 ;
RECT 41.130 0.010 45.350 4.280 ;
RECT 46.190 0.010 49.950 4.280 ;
RECT 50.790 0.010 55.010 4.280 ;
RECT 55.850 0.010 59.610 4.280 ;
RECT 60.450 0.010 64.210 4.280 ;
RECT 65.050 0.010 69.270 4.280 ;
RECT 70.110 0.010 73.870 4.280 ;
RECT 74.710 0.010 78.930 4.280 ;
RECT 79.770 0.010 83.530 4.280 ;
RECT 84.370 0.010 88.590 4.280 ;
RECT 89.430 0.010 93.190 4.280 ;
RECT 94.030 0.010 98.250 4.280 ;
RECT 99.090 0.010 102.850 4.280 ;
RECT 103.690 0.010 107.910 4.280 ;
RECT 108.750 0.010 112.510 4.280 ;
RECT 113.350 0.010 117.110 4.280 ;
RECT 117.950 0.010 122.170 4.280 ;
RECT 123.010 0.010 126.770 4.280 ;
RECT 127.610 0.010 131.830 4.280 ;
RECT 132.670 0.010 136.430 4.280 ;
RECT 137.270 0.010 141.490 4.280 ;
RECT 142.330 0.010 146.090 4.280 ;
RECT 146.930 0.010 151.150 4.280 ;
RECT 151.990 0.010 155.750 4.280 ;
RECT 156.590 0.010 160.810 4.280 ;
RECT 161.650 0.010 165.410 4.280 ;
RECT 166.250 0.010 170.010 4.280 ;
RECT 170.850 0.010 175.070 4.280 ;
RECT 175.910 0.010 179.670 4.280 ;
RECT 180.510 0.010 184.730 4.280 ;
RECT 185.570 0.010 189.330 4.280 ;
RECT 190.170 0.010 194.390 4.280 ;
RECT 195.230 0.010 198.990 4.280 ;
RECT 199.830 0.010 204.050 4.280 ;
RECT 204.890 0.010 208.650 4.280 ;
RECT 209.490 0.010 213.710 4.280 ;
RECT 214.550 0.010 218.310 4.280 ;
RECT 219.150 0.010 222.910 4.280 ;
RECT 223.750 0.010 227.970 4.280 ;
RECT 228.810 0.010 232.570 4.280 ;
RECT 233.410 0.010 237.630 4.280 ;
RECT 238.470 0.010 242.230 4.280 ;
RECT 243.070 0.010 247.290 4.280 ;
RECT 248.130 0.010 251.890 4.280 ;
RECT 252.730 0.010 256.950 4.280 ;
RECT 257.790 0.010 261.550 4.280 ;
RECT 262.390 0.010 266.610 4.280 ;
RECT 267.450 0.010 271.210 4.280 ;
RECT 272.050 0.010 276.270 4.280 ;
RECT 277.110 0.010 280.870 4.280 ;
RECT 281.710 0.010 285.470 4.280 ;
RECT 286.310 0.010 290.530 4.280 ;
RECT 291.370 0.010 295.130 4.280 ;
RECT 295.970 0.010 300.190 4.280 ;
RECT 301.030 0.010 304.790 4.280 ;
RECT 305.630 0.010 309.850 4.280 ;
RECT 310.690 0.010 314.450 4.280 ;
RECT 315.290 0.010 319.510 4.280 ;
RECT 320.350 0.010 324.110 4.280 ;
RECT 324.950 0.010 329.170 4.280 ;
RECT 330.010 0.010 333.770 4.280 ;
RECT 334.610 0.010 338.370 4.280 ;
RECT 339.210 0.010 343.430 4.280 ;
RECT 344.270 0.010 348.030 4.280 ;
RECT 348.870 0.010 353.090 4.280 ;
RECT 353.930 0.010 357.690 4.280 ;
RECT 358.530 0.010 362.750 4.280 ;
RECT 363.590 0.010 367.350 4.280 ;
RECT 368.190 0.010 372.410 4.280 ;
RECT 373.250 0.010 377.010 4.280 ;
RECT 377.850 0.010 382.070 4.280 ;
RECT 382.910 0.010 386.670 4.280 ;
RECT 387.510 0.010 391.270 4.280 ;
RECT 392.110 0.010 396.330 4.280 ;
RECT 397.170 0.010 400.930 4.280 ;
RECT 401.770 0.010 405.990 4.280 ;
RECT 406.830 0.010 410.590 4.280 ;
RECT 411.430 0.010 415.650 4.280 ;
RECT 416.490 0.010 420.250 4.280 ;
RECT 421.090 0.010 425.310 4.280 ;
RECT 426.150 0.010 429.910 4.280 ;
RECT 430.750 0.010 434.970 4.280 ;
RECT 435.810 0.010 439.570 4.280 ;
RECT 440.410 0.010 444.170 4.280 ;
RECT 445.010 0.010 449.230 4.280 ;
RECT 450.070 0.010 453.830 4.280 ;
RECT 454.670 0.010 458.890 4.280 ;
RECT 459.730 0.010 463.490 4.280 ;
RECT 464.330 0.010 468.550 4.280 ;
RECT 469.390 0.010 473.150 4.280 ;
RECT 473.990 0.010 478.210 4.280 ;
RECT 479.050 0.010 482.810 4.280 ;
RECT 483.650 0.010 487.870 4.280 ;
RECT 488.710 0.010 492.470 4.280 ;
RECT 493.310 0.010 497.070 4.280 ;
RECT 497.910 0.010 502.130 4.280 ;
RECT 502.970 0.010 506.730 4.280 ;
RECT 507.570 0.010 511.790 4.280 ;
RECT 512.630 0.010 516.390 4.280 ;
RECT 517.230 0.010 521.450 4.280 ;
RECT 522.290 0.010 526.050 4.280 ;
RECT 526.890 0.010 531.110 4.280 ;
RECT 531.950 0.010 535.710 4.280 ;
RECT 536.550 0.010 540.770 4.280 ;
RECT 541.610 0.010 545.370 4.280 ;
RECT 546.210 0.010 550.430 4.280 ;
RECT 551.270 0.010 555.030 4.280 ;
RECT 555.870 0.010 559.630 4.280 ;
RECT 560.470 0.010 564.690 4.280 ;
RECT 565.530 0.010 569.290 4.280 ;
RECT 570.130 0.010 574.350 4.280 ;
RECT 575.190 0.010 578.950 4.280 ;
RECT 579.790 0.010 584.010 4.280 ;
RECT 584.850 0.010 588.610 4.280 ;
RECT 589.450 0.010 593.670 4.280 ;
RECT 594.510 0.010 598.270 4.280 ;
RECT 599.110 0.010 603.330 4.280 ;
RECT 604.170 0.010 607.930 4.280 ;
RECT 608.770 0.010 612.530 4.280 ;
RECT 613.370 0.010 617.590 4.280 ;
RECT 618.430 0.010 622.190 4.280 ;
RECT 623.030 0.010 627.250 4.280 ;
RECT 628.090 0.010 631.850 4.280 ;
RECT 632.690 0.010 636.910 4.280 ;
RECT 637.750 0.010 641.510 4.280 ;
RECT 642.350 0.010 646.570 4.280 ;
RECT 647.410 0.010 651.170 4.280 ;
RECT 652.010 0.010 656.230 4.280 ;
RECT 657.070 0.010 660.830 4.280 ;
RECT 661.670 0.010 665.430 4.280 ;
RECT 666.270 0.010 670.490 4.280 ;
RECT 671.330 0.010 675.090 4.280 ;
RECT 675.930 0.010 680.150 4.280 ;
RECT 680.990 0.010 684.750 4.280 ;
RECT 685.590 0.010 689.810 4.280 ;
RECT 690.650 0.010 694.410 4.280 ;
RECT 695.250 0.010 699.470 4.280 ;
RECT 700.310 0.010 704.070 4.280 ;
RECT 704.910 0.010 709.130 4.280 ;
RECT 709.970 0.010 713.730 4.280 ;
RECT 714.570 0.010 718.330 4.280 ;
RECT 719.170 0.010 723.390 4.280 ;
RECT 724.230 0.010 727.990 4.280 ;
RECT 728.830 0.010 733.050 4.280 ;
RECT 733.890 0.010 737.650 4.280 ;
RECT 738.490 0.010 742.710 4.280 ;
RECT 743.550 0.010 747.310 4.280 ;
RECT 748.150 0.010 752.370 4.280 ;
RECT 753.210 0.010 756.970 4.280 ;
RECT 757.810 0.010 762.030 4.280 ;
RECT 762.870 0.010 766.630 4.280 ;
RECT 767.470 0.010 771.230 4.280 ;
RECT 772.070 0.010 776.290 4.280 ;
RECT 777.130 0.010 780.890 4.280 ;
RECT 781.730 0.010 785.950 4.280 ;
RECT 786.790 0.010 790.550 4.280 ;
RECT 791.390 0.010 795.610 4.280 ;
RECT 796.450 0.010 800.210 4.280 ;
RECT 801.050 0.010 805.270 4.280 ;
RECT 806.110 0.010 809.870 4.280 ;
RECT 810.710 0.010 814.930 4.280 ;
RECT 815.770 0.010 819.530 4.280 ;
RECT 820.370 0.010 821.470 4.280 ;
LAYER met3 ;
RECT 0.065 812.960 821.495 821.605 ;
RECT 4.400 812.280 821.495 812.960 ;
RECT 4.400 811.560 818.200 812.280 ;
RECT 0.065 810.880 818.200 811.560 ;
RECT 0.065 798.680 821.495 810.880 ;
RECT 4.400 798.000 821.495 798.680 ;
RECT 4.400 797.280 818.200 798.000 ;
RECT 0.065 796.600 818.200 797.280 ;
RECT 0.065 785.080 821.495 796.600 ;
RECT 4.400 783.720 821.495 785.080 ;
RECT 4.400 783.680 818.200 783.720 ;
RECT 0.065 782.320 818.200 783.680 ;
RECT 0.065 770.800 821.495 782.320 ;
RECT 4.400 770.120 821.495 770.800 ;
RECT 4.400 769.400 818.200 770.120 ;
RECT 0.065 768.720 818.200 769.400 ;
RECT 0.065 757.200 821.495 768.720 ;
RECT 4.400 755.840 821.495 757.200 ;
RECT 4.400 755.800 818.200 755.840 ;
RECT 0.065 754.440 818.200 755.800 ;
RECT 0.065 743.600 821.495 754.440 ;
RECT 4.400 742.200 821.495 743.600 ;
RECT 0.065 741.560 821.495 742.200 ;
RECT 0.065 740.160 818.200 741.560 ;
RECT 0.065 729.320 821.495 740.160 ;
RECT 4.400 727.920 821.495 729.320 ;
RECT 0.065 727.280 821.495 727.920 ;
RECT 0.065 725.880 818.200 727.280 ;
RECT 0.065 715.720 821.495 725.880 ;
RECT 4.400 714.320 821.495 715.720 ;
RECT 0.065 713.680 821.495 714.320 ;
RECT 0.065 712.280 818.200 713.680 ;
RECT 0.065 701.440 821.495 712.280 ;
RECT 4.400 700.040 821.495 701.440 ;
RECT 0.065 699.400 821.495 700.040 ;
RECT 0.065 698.000 818.200 699.400 ;
RECT 0.065 687.840 821.495 698.000 ;
RECT 4.400 686.440 821.495 687.840 ;
RECT 0.065 685.120 821.495 686.440 ;
RECT 0.065 683.720 818.200 685.120 ;
RECT 0.065 674.240 821.495 683.720 ;
RECT 4.400 672.840 821.495 674.240 ;
RECT 0.065 670.840 821.495 672.840 ;
RECT 0.065 669.440 818.200 670.840 ;
RECT 0.065 659.960 821.495 669.440 ;
RECT 4.400 658.560 821.495 659.960 ;
RECT 0.065 657.240 821.495 658.560 ;
RECT 0.065 655.840 818.200 657.240 ;
RECT 0.065 646.360 821.495 655.840 ;
RECT 4.400 644.960 821.495 646.360 ;
RECT 0.065 642.960 821.495 644.960 ;
RECT 0.065 641.560 818.200 642.960 ;
RECT 0.065 632.080 821.495 641.560 ;
RECT 4.400 630.680 821.495 632.080 ;
RECT 0.065 628.680 821.495 630.680 ;
RECT 0.065 627.280 818.200 628.680 ;
RECT 0.065 618.480 821.495 627.280 ;
RECT 4.400 617.080 821.495 618.480 ;
RECT 0.065 614.400 821.495 617.080 ;
RECT 0.065 613.000 818.200 614.400 ;
RECT 0.065 604.200 821.495 613.000 ;
RECT 4.400 602.800 821.495 604.200 ;
RECT 0.065 600.800 821.495 602.800 ;
RECT 0.065 599.400 818.200 600.800 ;
RECT 0.065 590.600 821.495 599.400 ;
RECT 4.400 589.200 821.495 590.600 ;
RECT 0.065 586.520 821.495 589.200 ;
RECT 0.065 585.120 818.200 586.520 ;
RECT 0.065 577.000 821.495 585.120 ;
RECT 4.400 575.600 821.495 577.000 ;
RECT 0.065 572.240 821.495 575.600 ;
RECT 0.065 570.840 818.200 572.240 ;
RECT 0.065 562.720 821.495 570.840 ;
RECT 4.400 561.320 821.495 562.720 ;
RECT 0.065 557.960 821.495 561.320 ;
RECT 0.065 556.560 818.200 557.960 ;
RECT 0.065 549.120 821.495 556.560 ;
RECT 4.400 547.720 821.495 549.120 ;
RECT 0.065 543.680 821.495 547.720 ;
RECT 0.065 542.280 818.200 543.680 ;
RECT 0.065 534.840 821.495 542.280 ;
RECT 4.400 533.440 821.495 534.840 ;
RECT 0.065 530.080 821.495 533.440 ;
RECT 0.065 528.680 818.200 530.080 ;
RECT 0.065 521.240 821.495 528.680 ;
RECT 4.400 519.840 821.495 521.240 ;
RECT 0.065 515.800 821.495 519.840 ;
RECT 0.065 514.400 818.200 515.800 ;
RECT 0.065 507.640 821.495 514.400 ;
RECT 4.400 506.240 821.495 507.640 ;
RECT 0.065 501.520 821.495 506.240 ;
RECT 0.065 500.120 818.200 501.520 ;
RECT 0.065 493.360 821.495 500.120 ;
RECT 4.400 491.960 821.495 493.360 ;
RECT 0.065 487.240 821.495 491.960 ;
RECT 0.065 485.840 818.200 487.240 ;
RECT 0.065 479.760 821.495 485.840 ;
RECT 4.400 478.360 821.495 479.760 ;
RECT 0.065 473.640 821.495 478.360 ;
RECT 0.065 472.240 818.200 473.640 ;
RECT 0.065 465.480 821.495 472.240 ;
RECT 4.400 464.080 821.495 465.480 ;
RECT 0.065 459.360 821.495 464.080 ;
RECT 0.065 457.960 818.200 459.360 ;
RECT 0.065 451.880 821.495 457.960 ;
RECT 4.400 450.480 821.495 451.880 ;
RECT 0.065 445.080 821.495 450.480 ;
RECT 0.065 443.680 818.200 445.080 ;
RECT 0.065 437.600 821.495 443.680 ;
RECT 4.400 436.200 821.495 437.600 ;
RECT 0.065 430.800 821.495 436.200 ;
RECT 0.065 429.400 818.200 430.800 ;
RECT 0.065 424.000 821.495 429.400 ;
RECT 4.400 422.600 821.495 424.000 ;
RECT 0.065 417.200 821.495 422.600 ;
RECT 0.065 415.800 818.200 417.200 ;
RECT 0.065 410.400 821.495 415.800 ;
RECT 4.400 409.000 821.495 410.400 ;
RECT 0.065 402.920 821.495 409.000 ;
RECT 0.065 401.520 818.200 402.920 ;
RECT 0.065 396.120 821.495 401.520 ;
RECT 4.400 394.720 821.495 396.120 ;
RECT 0.065 388.640 821.495 394.720 ;
RECT 0.065 387.240 818.200 388.640 ;
RECT 0.065 382.520 821.495 387.240 ;
RECT 4.400 381.120 821.495 382.520 ;
RECT 0.065 374.360 821.495 381.120 ;
RECT 0.065 372.960 818.200 374.360 ;
RECT 0.065 368.240 821.495 372.960 ;
RECT 4.400 366.840 821.495 368.240 ;
RECT 0.065 360.760 821.495 366.840 ;
RECT 0.065 359.360 818.200 360.760 ;
RECT 0.065 354.640 821.495 359.360 ;
RECT 4.400 353.240 821.495 354.640 ;
RECT 0.065 346.480 821.495 353.240 ;
RECT 0.065 345.080 818.200 346.480 ;
RECT 0.065 341.040 821.495 345.080 ;
RECT 4.400 339.640 821.495 341.040 ;
RECT 0.065 332.200 821.495 339.640 ;
RECT 0.065 330.800 818.200 332.200 ;
RECT 0.065 326.760 821.495 330.800 ;
RECT 4.400 325.360 821.495 326.760 ;
RECT 0.065 317.920 821.495 325.360 ;
RECT 0.065 316.520 818.200 317.920 ;
RECT 0.065 313.160 821.495 316.520 ;
RECT 4.400 311.760 821.495 313.160 ;
RECT 0.065 304.320 821.495 311.760 ;
RECT 0.065 302.920 818.200 304.320 ;
RECT 0.065 298.880 821.495 302.920 ;
RECT 4.400 297.480 821.495 298.880 ;
RECT 0.065 290.040 821.495 297.480 ;
RECT 0.065 288.640 818.200 290.040 ;
RECT 0.065 285.280 821.495 288.640 ;
RECT 4.400 283.880 821.495 285.280 ;
RECT 0.065 275.760 821.495 283.880 ;
RECT 0.065 274.360 818.200 275.760 ;
RECT 0.065 271.000 821.495 274.360 ;
RECT 4.400 269.600 821.495 271.000 ;
RECT 0.065 261.480 821.495 269.600 ;
RECT 0.065 260.080 818.200 261.480 ;
RECT 0.065 257.400 821.495 260.080 ;
RECT 4.400 256.000 821.495 257.400 ;
RECT 0.065 247.200 821.495 256.000 ;
RECT 0.065 245.800 818.200 247.200 ;
RECT 0.065 243.800 821.495 245.800 ;
RECT 4.400 242.400 821.495 243.800 ;
RECT 0.065 233.600 821.495 242.400 ;
RECT 0.065 232.200 818.200 233.600 ;
RECT 0.065 229.520 821.495 232.200 ;
RECT 4.400 228.120 821.495 229.520 ;
RECT 0.065 219.320 821.495 228.120 ;
RECT 0.065 217.920 818.200 219.320 ;
RECT 0.065 215.920 821.495 217.920 ;
RECT 4.400 214.520 821.495 215.920 ;
RECT 0.065 205.040 821.495 214.520 ;
RECT 0.065 203.640 818.200 205.040 ;
RECT 0.065 201.640 821.495 203.640 ;
RECT 4.400 200.240 821.495 201.640 ;
RECT 0.065 190.760 821.495 200.240 ;
RECT 0.065 189.360 818.200 190.760 ;
RECT 0.065 188.040 821.495 189.360 ;
RECT 4.400 186.640 821.495 188.040 ;
RECT 0.065 177.160 821.495 186.640 ;
RECT 0.065 175.760 818.200 177.160 ;
RECT 0.065 174.440 821.495 175.760 ;
RECT 4.400 173.040 821.495 174.440 ;
RECT 0.065 162.880 821.495 173.040 ;
RECT 0.065 161.480 818.200 162.880 ;
RECT 0.065 160.160 821.495 161.480 ;
RECT 4.400 158.760 821.495 160.160 ;
RECT 0.065 148.600 821.495 158.760 ;
RECT 0.065 147.200 818.200 148.600 ;
RECT 0.065 146.560 821.495 147.200 ;
RECT 4.400 145.160 821.495 146.560 ;
RECT 0.065 134.320 821.495 145.160 ;
RECT 0.065 132.920 818.200 134.320 ;
RECT 0.065 132.280 821.495 132.920 ;
RECT 4.400 130.880 821.495 132.280 ;
RECT 0.065 120.720 821.495 130.880 ;
RECT 0.065 119.320 818.200 120.720 ;
RECT 0.065 118.680 821.495 119.320 ;
RECT 4.400 117.280 821.495 118.680 ;
RECT 0.065 106.440 821.495 117.280 ;
RECT 0.065 105.040 818.200 106.440 ;
RECT 0.065 104.400 821.495 105.040 ;
RECT 4.400 103.000 821.495 104.400 ;
RECT 0.065 92.160 821.495 103.000 ;
RECT 0.065 90.800 818.200 92.160 ;
RECT 4.400 90.760 818.200 90.800 ;
RECT 4.400 89.400 821.495 90.760 ;
RECT 0.065 77.880 821.495 89.400 ;
RECT 0.065 77.200 818.200 77.880 ;
RECT 4.400 76.480 818.200 77.200 ;
RECT 4.400 75.800 821.495 76.480 ;
RECT 0.065 64.280 821.495 75.800 ;
RECT 0.065 62.920 818.200 64.280 ;
RECT 4.400 62.880 818.200 62.920 ;
RECT 4.400 61.520 821.495 62.880 ;
RECT 0.065 50.000 821.495 61.520 ;
RECT 0.065 49.320 818.200 50.000 ;
RECT 4.400 48.600 818.200 49.320 ;
RECT 4.400 47.920 821.495 48.600 ;
RECT 0.065 35.720 821.495 47.920 ;
RECT 0.065 35.040 818.200 35.720 ;
RECT 4.400 34.320 818.200 35.040 ;
RECT 4.400 33.640 821.495 34.320 ;
RECT 0.065 21.440 821.495 33.640 ;
RECT 4.400 20.040 818.200 21.440 ;
RECT 0.065 7.840 821.495 20.040 ;
RECT 4.400 6.440 818.200 7.840 ;
RECT 0.065 0.175 821.495 6.440 ;
LAYER met4 ;
RECT 2.135 10.240 20.640 820.585 ;
RECT 23.040 10.240 97.440 820.585 ;
RECT 99.840 10.240 174.240 820.585 ;
RECT 176.640 10.240 251.040 820.585 ;
RECT 253.440 10.240 327.840 820.585 ;
RECT 330.240 10.240 404.640 820.585 ;
RECT 407.040 10.240 481.440 820.585 ;
RECT 483.840 10.240 558.240 820.585 ;
RECT 560.640 10.240 635.040 820.585 ;
RECT 637.440 10.240 711.840 820.585 ;
RECT 714.240 10.240 737.545 820.585 ;
RECT 2.135 6.295 737.545 10.240 ;
END
END user_proj
END LIBRARY