blob: 7d0c3d21920fe5914d71ca99f7356dfc56926f96 [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO user_proj
CLASS BLOCK ;
FOREIGN user_proj ;
ORIGIN 0.000 0.000 ;
SIZE 822.600 BY 833.320 ;
PIN i_dout0[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 537.830 0.000 538.110 4.000 ;
END
END i_dout0[0]
PIN i_dout0[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 364.520 4.000 365.120 ;
END
END i_dout0[10]
PIN i_dout0[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 403.280 4.000 403.880 ;
END
END i_dout0[11]
PIN i_dout0[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 668.010 829.320 668.290 833.320 ;
END
END i_dout0[12]
PIN i_dout0[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 682.730 829.320 683.010 833.320 ;
END
END i_dout0[13]
PIN i_dout0[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 467.200 4.000 467.800 ;
END
END i_dout0[14]
PIN i_dout0[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 493.040 4.000 493.640 ;
END
END i_dout0[15]
PIN i_dout0[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 707.110 829.320 707.390 833.320 ;
END
END i_dout0[16]
PIN i_dout0[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 556.960 4.000 557.560 ;
END
END i_dout0[17]
PIN i_dout0[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 724.130 0.000 724.410 4.000 ;
END
END i_dout0[18]
PIN i_dout0[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 731.490 829.320 731.770 833.320 ;
END
END i_dout0[19]
PIN i_dout0[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 579.690 829.320 579.970 833.320 ;
END
END i_dout0[1]
PIN i_dout0[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 645.360 822.600 645.960 ;
END
END i_dout0[20]
PIN i_dout0[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 607.960 4.000 608.560 ;
END
END i_dout0[21]
PIN i_dout0[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 753.110 0.000 753.390 4.000 ;
END
END i_dout0[22]
PIN i_dout0[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 686.840 822.600 687.440 ;
END
END i_dout0[23]
PIN i_dout0[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 760.930 829.320 761.210 833.320 ;
END
END i_dout0[24]
PIN i_dout0[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 742.600 822.600 743.200 ;
END
END i_dout0[25]
PIN i_dout0[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 771.970 0.000 772.250 4.000 ;
END
END i_dout0[26]
PIN i_dout0[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 736.480 4.000 737.080 ;
END
END i_dout0[27]
PIN i_dout0[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 761.640 4.000 762.240 ;
END
END i_dout0[28]
PIN i_dout0[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 805.550 0.000 805.830 4.000 ;
END
END i_dout0[29]
PIN i_dout0[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 566.350 0.000 566.630 4.000 ;
END
END i_dout0[2]
PIN i_dout0[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 797.680 822.600 798.280 ;
END
END i_dout0[30]
PIN i_dout0[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 826.240 4.000 826.840 ;
END
END i_dout0[31]
PIN i_dout0[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 585.670 0.000 585.950 4.000 ;
END
END i_dout0[3]
PIN i_dout0[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 214.920 822.600 215.520 ;
END
END i_dout0[4]
PIN i_dout0[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 270.000 822.600 270.600 ;
END
END i_dout0[5]
PIN i_dout0[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 312.160 822.600 312.760 ;
END
END i_dout0[6]
PIN i_dout0[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 638.110 0.000 638.390 4.000 ;
END
END i_dout0[7]
PIN i_dout0[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 367.240 822.600 367.840 ;
END
END i_dout0[8]
PIN i_dout0[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 658.350 829.320 658.630 833.320 ;
END
END i_dout0[9]
PIN i_dout0_1[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 542.430 0.000 542.710 4.000 ;
END
END i_dout0_1[0]
PIN i_dout0_1[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 339.360 4.000 339.960 ;
END
END i_dout0_1[10]
PIN i_dout0_1[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 377.440 4.000 378.040 ;
END
END i_dout0_1[11]
PIN i_dout0_1[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 464.480 822.600 465.080 ;
END
END i_dout0_1[12]
PIN i_dout0_1[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 673.070 829.320 673.350 833.320 ;
END
END i_dout0_1[13]
PIN i_dout0_1[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 687.790 829.320 688.070 833.320 ;
END
END i_dout0_1[14]
PIN i_dout0_1[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 520.240 822.600 520.840 ;
END
END i_dout0_1[15]
PIN i_dout0_1[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 518.200 4.000 518.800 ;
END
END i_dout0_1[16]
PIN i_dout0_1[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 700.210 0.000 700.490 4.000 ;
END
END i_dout0_1[17]
PIN i_dout0_1[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 569.880 4.000 570.480 ;
END
END i_dout0_1[18]
PIN i_dout0_1[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 726.890 829.320 727.170 833.320 ;
END
END i_dout0_1[19]
PIN i_dout0_1[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 48.320 822.600 48.920 ;
END
END i_dout0_1[1]
PIN i_dout0_1[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 582.800 4.000 583.400 ;
END
END i_dout0_1[20]
PIN i_dout0_1[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 736.550 829.320 736.830 833.320 ;
END
END i_dout0_1[21]
PIN i_dout0_1[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 746.210 829.320 746.490 833.320 ;
END
END i_dout0_1[22]
PIN i_dout0_1[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 756.330 829.320 756.610 833.320 ;
END
END i_dout0_1[23]
PIN i_dout0_1[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 659.640 4.000 660.240 ;
END
END i_dout0_1[24]
PIN i_dout0_1[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 672.560 4.000 673.160 ;
END
END i_dout0_1[25]
PIN i_dout0_1[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 780.710 829.320 780.990 833.320 ;
END
END i_dout0_1[26]
PIN i_dout0_1[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 781.630 0.000 781.910 4.000 ;
END
END i_dout0_1[27]
PIN i_dout0_1[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 795.430 829.320 795.710 833.320 ;
END
END i_dout0_1[28]
PIN i_dout0_1[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 800.400 4.000 801.000 ;
END
END i_dout0_1[29]
PIN i_dout0_1[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 89.800 822.600 90.400 ;
END
END i_dout0_1[2]
PIN i_dout0_1[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 784.080 822.600 784.680 ;
END
END i_dout0_1[30]
PIN i_dout0_1[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 815.210 0.000 815.490 4.000 ;
END
END i_dout0_1[31]
PIN i_dout0_1[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 580.610 0.000 580.890 4.000 ;
END
END i_dout0_1[3]
PIN i_dout0_1[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 187.040 822.600 187.640 ;
END
END i_dout0_1[4]
PIN i_dout0_1[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 619.250 829.320 619.530 833.320 ;
END
END i_dout0_1[5]
PIN i_dout0_1[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 197.920 4.000 198.520 ;
END
END i_dout0_1[6]
PIN i_dout0_1[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 633.510 0.000 633.790 4.000 ;
END
END i_dout0_1[7]
PIN i_dout0_1[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 657.430 0.000 657.710 4.000 ;
END
END i_dout0_1[8]
PIN i_dout0_1[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 409.400 822.600 410.000 ;
END
END i_dout0_1[9]
PIN i_dout1[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 547.490 0.000 547.770 4.000 ;
END
END i_dout1[0]
PIN i_dout1[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 436.600 822.600 437.200 ;
END
END i_dout1[10]
PIN i_dout1[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 450.880 822.600 451.480 ;
END
END i_dout1[11]
PIN i_dout1[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 690.550 0.000 690.830 4.000 ;
END
END i_dout1[12]
PIN i_dout1[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 478.760 822.600 479.360 ;
END
END i_dout1[13]
PIN i_dout1[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 480.120 4.000 480.720 ;
END
END i_dout1[14]
PIN i_dout1[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 505.960 4.000 506.560 ;
END
END i_dout1[15]
PIN i_dout1[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 531.120 4.000 531.720 ;
END
END i_dout1[16]
PIN i_dout1[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 709.870 0.000 710.150 4.000 ;
END
END i_dout1[17]
PIN i_dout1[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 716.770 829.320 717.050 833.320 ;
END
END i_dout1[18]
PIN i_dout1[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 603.200 822.600 603.800 ;
END
END i_dout1[19]
PIN i_dout1[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 57.160 4.000 57.760 ;
END
END i_dout1[1]
PIN i_dout1[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 733.790 0.000 734.070 4.000 ;
END
END i_dout1[20]
PIN i_dout1[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 741.610 829.320 741.890 833.320 ;
END
END i_dout1[21]
PIN i_dout1[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 633.800 4.000 634.400 ;
END
END i_dout1[22]
PIN i_dout1[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 700.440 822.600 701.040 ;
END
END i_dout1[23]
PIN i_dout1[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 765.990 829.320 766.270 833.320 ;
END
END i_dout1[24]
PIN i_dout1[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 775.650 829.320 775.930 833.320 ;
END
END i_dout1[25]
PIN i_dout1[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 777.030 0.000 777.310 4.000 ;
END
END i_dout1[26]
PIN i_dout1[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 786.230 0.000 786.510 4.000 ;
END
END i_dout1[27]
PIN i_dout1[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 795.890 0.000 796.170 4.000 ;
END
END i_dout1[28]
PIN i_dout1[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 769.800 822.600 770.400 ;
END
END i_dout1[29]
PIN i_dout1[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 121.080 4.000 121.680 ;
END
END i_dout1[2]
PIN i_dout1[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 811.960 822.600 812.560 ;
END
END i_dout1[30]
PIN i_dout1[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 814.750 829.320 815.030 833.320 ;
END
END i_dout1[31]
PIN i_dout1[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 590.270 0.000 590.550 4.000 ;
END
END i_dout1[3]
PIN i_dout1[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 228.520 822.600 229.120 ;
END
END i_dout1[4]
PIN i_dout1[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 619.250 0.000 619.530 4.000 ;
END
END i_dout1[5]
PIN i_dout1[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 633.510 829.320 633.790 833.320 ;
END
END i_dout1[6]
PIN i_dout1[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 642.710 0.000 642.990 4.000 ;
END
END i_dout1[7]
PIN i_dout1[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 381.520 822.600 382.120 ;
END
END i_dout1[8]
PIN i_dout1[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 666.630 0.000 666.910 4.000 ;
END
END i_dout1[9]
PIN i_dout1_1[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 6.840 822.600 7.440 ;
END
END i_dout1_1[0]
PIN i_dout1_1[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 351.600 4.000 352.200 ;
END
END i_dout1_1[10]
PIN i_dout1_1[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 390.360 4.000 390.960 ;
END
END i_dout1_1[11]
PIN i_dout1_1[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 685.950 0.000 686.230 4.000 ;
END
END i_dout1_1[12]
PIN i_dout1_1[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 677.670 829.320 677.950 833.320 ;
END
END i_dout1_1[13]
PIN i_dout1_1[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 692.390 829.320 692.670 833.320 ;
END
END i_dout1_1[14]
PIN i_dout1_1[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 697.450 829.320 697.730 833.320 ;
END
END i_dout1_1[15]
PIN i_dout1_1[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 548.120 822.600 548.720 ;
END
END i_dout1_1[16]
PIN i_dout1_1[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 705.270 0.000 705.550 4.000 ;
END
END i_dout1_1[17]
PIN i_dout1_1[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 719.530 0.000 719.810 4.000 ;
END
END i_dout1_1[18]
PIN i_dout1_1[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 589.600 822.600 590.200 ;
END
END i_dout1_1[19]
PIN i_dout1_1[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 556.690 0.000 556.970 4.000 ;
END
END i_dout1_1[1]
PIN i_dout1_1[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 729.190 0.000 729.470 4.000 ;
END
END i_dout1_1[20]
PIN i_dout1_1[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 743.450 0.000 743.730 4.000 ;
END
END i_dout1_1[21]
PIN i_dout1_1[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 748.050 0.000 748.330 4.000 ;
END
END i_dout1_1[22]
PIN i_dout1_1[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 757.710 0.000 757.990 4.000 ;
END
END i_dout1_1[23]
PIN i_dout1_1[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 728.320 822.600 728.920 ;
END
END i_dout1_1[24]
PIN i_dout1_1[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 684.800 4.000 685.400 ;
END
END i_dout1_1[25]
PIN i_dout1_1[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 756.200 822.600 756.800 ;
END
END i_dout1_1[26]
PIN i_dout1_1[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 723.560 4.000 724.160 ;
END
END i_dout1_1[27]
PIN i_dout1_1[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 749.400 4.000 750.000 ;
END
END i_dout1_1[28]
PIN i_dout1_1[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 800.950 0.000 801.230 4.000 ;
END
END i_dout1_1[29]
PIN i_dout1_1[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 103.400 822.600 104.000 ;
END
END i_dout1_1[2]
PIN i_dout1_1[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 805.090 829.320 805.370 833.320 ;
END
END i_dout1_1[30]
PIN i_dout1_1[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 825.560 822.600 826.160 ;
END
END i_dout1_1[31]
PIN i_dout1_1[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 131.280 822.600 131.880 ;
END
END i_dout1_1[3]
PIN i_dout1_1[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 200.640 822.600 201.240 ;
END
END i_dout1_1[4]
PIN i_dout1_1[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 614.190 0.000 614.470 4.000 ;
END
END i_dout1_1[5]
PIN i_dout1_1[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 628.450 0.000 628.730 4.000 ;
END
END i_dout1_1[6]
PIN i_dout1_1[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 249.600 4.000 250.200 ;
END
END i_dout1_1[7]
PIN i_dout1_1[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 648.230 829.320 648.510 833.320 ;
END
END i_dout1_1[8]
PIN i_dout1_1[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 423.000 822.600 423.600 ;
END
END i_dout1_1[9]
PIN io_in[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2.390 829.320 2.670 833.320 ;
END
END io_in[0]
PIN io_in[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 149.130 829.320 149.410 833.320 ;
END
END io_in[10]
PIN io_in[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 163.850 829.320 164.130 833.320 ;
END
END io_in[11]
PIN io_in[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 178.570 829.320 178.850 833.320 ;
END
END io_in[12]
PIN io_in[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 193.290 829.320 193.570 833.320 ;
END
END io_in[13]
PIN io_in[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 208.010 829.320 208.290 833.320 ;
END
END io_in[14]
PIN io_in[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 222.270 829.320 222.550 833.320 ;
END
END io_in[15]
PIN io_in[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 236.990 829.320 237.270 833.320 ;
END
END io_in[16]
PIN io_in[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 251.710 829.320 251.990 833.320 ;
END
END io_in[17]
PIN io_in[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 266.430 829.320 266.710 833.320 ;
END
END io_in[18]
PIN io_in[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 281.150 829.320 281.430 833.320 ;
END
END io_in[19]
PIN io_in[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 16.650 829.320 16.930 833.320 ;
END
END io_in[1]
PIN io_in[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 295.870 829.320 296.150 833.320 ;
END
END io_in[20]
PIN io_in[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 310.590 829.320 310.870 833.320 ;
END
END io_in[21]
PIN io_in[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 325.310 829.320 325.590 833.320 ;
END
END io_in[22]
PIN io_in[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 340.030 829.320 340.310 833.320 ;
END
END io_in[23]
PIN io_in[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 354.750 829.320 355.030 833.320 ;
END
END io_in[24]
PIN io_in[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 369.470 829.320 369.750 833.320 ;
END
END io_in[25]
PIN io_in[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 384.190 829.320 384.470 833.320 ;
END
END io_in[26]
PIN io_in[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 398.910 829.320 399.190 833.320 ;
END
END io_in[27]
PIN io_in[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 413.630 829.320 413.910 833.320 ;
END
END io_in[28]
PIN io_in[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 427.890 829.320 428.170 833.320 ;
END
END io_in[29]
PIN io_in[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 31.370 829.320 31.650 833.320 ;
END
END io_in[2]
PIN io_in[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 442.610 829.320 442.890 833.320 ;
END
END io_in[30]
PIN io_in[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 457.330 829.320 457.610 833.320 ;
END
END io_in[31]
PIN io_in[32]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 472.050 829.320 472.330 833.320 ;
END
END io_in[32]
PIN io_in[33]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 486.770 829.320 487.050 833.320 ;
END
END io_in[33]
PIN io_in[34]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 501.490 829.320 501.770 833.320 ;
END
END io_in[34]
PIN io_in[35]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 516.210 829.320 516.490 833.320 ;
END
END io_in[35]
PIN io_in[36]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 530.930 829.320 531.210 833.320 ;
END
END io_in[36]
PIN io_in[37]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 545.650 829.320 545.930 833.320 ;
END
END io_in[37]
PIN io_in[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 46.090 829.320 46.370 833.320 ;
END
END io_in[3]
PIN io_in[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 60.810 829.320 61.090 833.320 ;
END
END io_in[4]
PIN io_in[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 75.530 829.320 75.810 833.320 ;
END
END io_in[5]
PIN io_in[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 90.250 829.320 90.530 833.320 ;
END
END io_in[6]
PIN io_in[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 104.970 829.320 105.250 833.320 ;
END
END io_in[7]
PIN io_in[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 119.690 829.320 119.970 833.320 ;
END
END io_in[8]
PIN io_in[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 134.410 829.320 134.690 833.320 ;
END
END io_in[9]
PIN io_oeb[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 6.990 829.320 7.270 833.320 ;
END
END io_oeb[0]
PIN io_oeb[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 153.730 829.320 154.010 833.320 ;
END
END io_oeb[10]
PIN io_oeb[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 168.450 829.320 168.730 833.320 ;
END
END io_oeb[11]
PIN io_oeb[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 183.170 829.320 183.450 833.320 ;
END
END io_oeb[12]
PIN io_oeb[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 197.890 829.320 198.170 833.320 ;
END
END io_oeb[13]
PIN io_oeb[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 212.610 829.320 212.890 833.320 ;
END
END io_oeb[14]
PIN io_oeb[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 227.330 829.320 227.610 833.320 ;
END
END io_oeb[15]
PIN io_oeb[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 242.050 829.320 242.330 833.320 ;
END
END io_oeb[16]
PIN io_oeb[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 256.770 829.320 257.050 833.320 ;
END
END io_oeb[17]
PIN io_oeb[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 271.490 829.320 271.770 833.320 ;
END
END io_oeb[18]
PIN io_oeb[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 286.210 829.320 286.490 833.320 ;
END
END io_oeb[19]
PIN io_oeb[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 21.710 829.320 21.990 833.320 ;
END
END io_oeb[1]
PIN io_oeb[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 300.930 829.320 301.210 833.320 ;
END
END io_oeb[20]
PIN io_oeb[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 315.650 829.320 315.930 833.320 ;
END
END io_oeb[21]
PIN io_oeb[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 330.370 829.320 330.650 833.320 ;
END
END io_oeb[22]
PIN io_oeb[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 345.090 829.320 345.370 833.320 ;
END
END io_oeb[23]
PIN io_oeb[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 359.350 829.320 359.630 833.320 ;
END
END io_oeb[24]
PIN io_oeb[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 374.070 829.320 374.350 833.320 ;
END
END io_oeb[25]
PIN io_oeb[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 388.790 829.320 389.070 833.320 ;
END
END io_oeb[26]
PIN io_oeb[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 403.510 829.320 403.790 833.320 ;
END
END io_oeb[27]
PIN io_oeb[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 418.230 829.320 418.510 833.320 ;
END
END io_oeb[28]
PIN io_oeb[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 432.950 829.320 433.230 833.320 ;
END
END io_oeb[29]
PIN io_oeb[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 36.430 829.320 36.710 833.320 ;
END
END io_oeb[2]
PIN io_oeb[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 447.670 829.320 447.950 833.320 ;
END
END io_oeb[30]
PIN io_oeb[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 462.390 829.320 462.670 833.320 ;
END
END io_oeb[31]
PIN io_oeb[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 477.110 829.320 477.390 833.320 ;
END
END io_oeb[32]
PIN io_oeb[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 491.830 829.320 492.110 833.320 ;
END
END io_oeb[33]
PIN io_oeb[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 506.550 829.320 506.830 833.320 ;
END
END io_oeb[34]
PIN io_oeb[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 521.270 829.320 521.550 833.320 ;
END
END io_oeb[35]
PIN io_oeb[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 535.990 829.320 536.270 833.320 ;
END
END io_oeb[36]
PIN io_oeb[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 550.710 829.320 550.990 833.320 ;
END
END io_oeb[37]
PIN io_oeb[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 51.150 829.320 51.430 833.320 ;
END
END io_oeb[3]
PIN io_oeb[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 65.870 829.320 66.150 833.320 ;
END
END io_oeb[4]
PIN io_oeb[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 80.590 829.320 80.870 833.320 ;
END
END io_oeb[5]
PIN io_oeb[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 95.310 829.320 95.590 833.320 ;
END
END io_oeb[6]
PIN io_oeb[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 110.030 829.320 110.310 833.320 ;
END
END io_oeb[7]
PIN io_oeb[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 124.750 829.320 125.030 833.320 ;
END
END io_oeb[8]
PIN io_oeb[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 139.470 829.320 139.750 833.320 ;
END
END io_oeb[9]
PIN io_out[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 12.050 829.320 12.330 833.320 ;
END
END io_out[0]
PIN io_out[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 158.790 829.320 159.070 833.320 ;
END
END io_out[10]
PIN io_out[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 173.510 829.320 173.790 833.320 ;
END
END io_out[11]
PIN io_out[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 188.230 829.320 188.510 833.320 ;
END
END io_out[12]
PIN io_out[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 202.950 829.320 203.230 833.320 ;
END
END io_out[13]
PIN io_out[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 217.670 829.320 217.950 833.320 ;
END
END io_out[14]
PIN io_out[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 232.390 829.320 232.670 833.320 ;
END
END io_out[15]
PIN io_out[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 247.110 829.320 247.390 833.320 ;
END
END io_out[16]
PIN io_out[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 261.830 829.320 262.110 833.320 ;
END
END io_out[17]
PIN io_out[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 276.550 829.320 276.830 833.320 ;
END
END io_out[18]
PIN io_out[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 290.810 829.320 291.090 833.320 ;
END
END io_out[19]
PIN io_out[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 26.770 829.320 27.050 833.320 ;
END
END io_out[1]
PIN io_out[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 305.530 829.320 305.810 833.320 ;
END
END io_out[20]
PIN io_out[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 320.250 829.320 320.530 833.320 ;
END
END io_out[21]
PIN io_out[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 334.970 829.320 335.250 833.320 ;
END
END io_out[22]
PIN io_out[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 349.690 829.320 349.970 833.320 ;
END
END io_out[23]
PIN io_out[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 364.410 829.320 364.690 833.320 ;
END
END io_out[24]
PIN io_out[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 379.130 829.320 379.410 833.320 ;
END
END io_out[25]
PIN io_out[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 393.850 829.320 394.130 833.320 ;
END
END io_out[26]
PIN io_out[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 408.570 829.320 408.850 833.320 ;
END
END io_out[27]
PIN io_out[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 423.290 829.320 423.570 833.320 ;
END
END io_out[28]
PIN io_out[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 438.010 829.320 438.290 833.320 ;
END
END io_out[29]
PIN io_out[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 41.490 829.320 41.770 833.320 ;
END
END io_out[2]
PIN io_out[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 452.730 829.320 453.010 833.320 ;
END
END io_out[30]
PIN io_out[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 467.450 829.320 467.730 833.320 ;
END
END io_out[31]
PIN io_out[32]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 482.170 829.320 482.450 833.320 ;
END
END io_out[32]
PIN io_out[33]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 496.430 829.320 496.710 833.320 ;
END
END io_out[33]
PIN io_out[34]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 511.150 829.320 511.430 833.320 ;
END
END io_out[34]
PIN io_out[35]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 525.870 829.320 526.150 833.320 ;
END
END io_out[35]
PIN io_out[36]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 540.590 829.320 540.870 833.320 ;
END
END io_out[36]
PIN io_out[37]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 555.310 829.320 555.590 833.320 ;
END
END io_out[37]
PIN io_out[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 56.210 829.320 56.490 833.320 ;
END
END io_out[3]
PIN io_out[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 70.930 829.320 71.210 833.320 ;
END
END io_out[4]
PIN io_out[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 85.190 829.320 85.470 833.320 ;
END
END io_out[5]
PIN io_out[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 99.910 829.320 100.190 833.320 ;
END
END io_out[6]
PIN io_out[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 114.630 829.320 114.910 833.320 ;
END
END io_out[7]
PIN io_out[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 129.350 829.320 129.630 833.320 ;
END
END io_out[8]
PIN io_out[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 144.070 829.320 144.350 833.320 ;
END
END io_out[9]
PIN irq[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 508.850 0.000 509.130 4.000 ;
END
END irq[0]
PIN irq[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 513.910 0.000 514.190 4.000 ;
END
END irq[1]
PIN irq[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 518.510 0.000 518.790 4.000 ;
END
END irq[2]
PIN o_addr1[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 570.030 829.320 570.310 833.320 ;
END
END o_addr1[0]
PIN o_addr1[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 70.080 4.000 70.680 ;
END
END o_addr1[1]
PIN o_addr1[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 134.000 4.000 134.600 ;
END
END o_addr1[2]
PIN o_addr1[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 604.530 829.320 604.810 833.320 ;
END
END o_addr1[3]
PIN o_addr1[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 604.530 0.000 604.810 4.000 ;
END
END o_addr1[4]
PIN o_addr1[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 284.280 822.600 284.880 ;
END
END o_addr1[5]
PIN o_addr1[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 638.570 829.320 638.850 833.320 ;
END
END o_addr1[6]
PIN o_addr1[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 643.630 829.320 643.910 833.320 ;
END
END o_addr1[7]
PIN o_addr1[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 287.680 4.000 288.280 ;
END
END o_addr1[8]
PIN o_addr1_1[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 564.970 829.320 565.250 833.320 ;
END
END o_addr1_1[0]
PIN o_addr1_1[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 61.920 822.600 62.520 ;
END
END o_addr1_1[1]
PIN o_addr1_1[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 571.410 0.000 571.690 4.000 ;
END
END o_addr1_1[2]
PIN o_addr1_1[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 145.560 822.600 146.160 ;
END
END o_addr1_1[3]
PIN o_addr1_1[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 242.800 822.600 243.400 ;
END
END o_addr1_1[4]
PIN o_addr1_1[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 623.850 0.000 624.130 4.000 ;
END
END o_addr1_1[5]
PIN o_addr1_1[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 210.840 4.000 211.440 ;
END
END o_addr1_1[6]
PIN o_addr1_1[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 647.770 0.000 648.050 4.000 ;
END
END o_addr1_1[7]
PIN o_addr1_1[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 395.120 822.600 395.720 ;
END
END o_addr1_1[8]
PIN o_csb0
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 560.370 829.320 560.650 833.320 ;
END
END o_csb0
PIN o_csb0_1
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 523.570 0.000 523.850 4.000 ;
END
END o_csb0_1
PIN o_csb1
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 6.160 4.000 6.760 ;
END
END o_csb1
PIN o_csb1_1
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 528.170 0.000 528.450 4.000 ;
END
END o_csb1_1
PIN o_din0[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 575.090 829.320 575.370 833.320 ;
END
END o_din0[0]
PIN o_din0[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 676.290 0.000 676.570 4.000 ;
END
END o_din0[10]
PIN o_din0[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 416.200 4.000 416.800 ;
END
END o_din0[11]
PIN o_din0[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 428.440 4.000 429.040 ;
END
END o_din0[12]
PIN o_din0[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 454.280 4.000 454.880 ;
END
END o_din0[13]
PIN o_din0[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 506.640 822.600 507.240 ;
END
END o_din0[14]
PIN o_din0[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 702.050 829.320 702.330 833.320 ;
END
END o_din0[15]
PIN o_din0[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 544.040 4.000 544.640 ;
END
END o_din0[16]
PIN o_din0[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 714.470 0.000 714.750 4.000 ;
END
END o_din0[17]
PIN o_din0[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 576.000 822.600 576.600 ;
END
END o_din0[18]
PIN o_din0[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 631.080 822.600 631.680 ;
END
END o_din0[19]
PIN o_din0[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 584.750 829.320 585.030 833.320 ;
END
END o_din0[1]
PIN o_din0[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 595.040 4.000 595.640 ;
END
END o_din0[20]
PIN o_din0[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 658.960 822.600 659.560 ;
END
END o_din0[21]
PIN o_din0[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 673.240 822.600 673.840 ;
END
END o_din0[22]
PIN o_din0[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 646.720 4.000 647.320 ;
END
END o_din0[23]
PIN o_din0[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 770.590 829.320 770.870 833.320 ;
END
END o_din0[24]
PIN o_din0[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 767.370 0.000 767.650 4.000 ;
END
END o_din0[25]
PIN o_din0[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 785.310 829.320 785.590 833.320 ;
END
END o_din0[26]
PIN o_din0[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 791.290 0.000 791.570 4.000 ;
END
END o_din0[27]
PIN o_din0[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 787.480 4.000 788.080 ;
END
END o_din0[28]
PIN o_din0[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 800.030 829.320 800.310 833.320 ;
END
END o_din0[29]
PIN o_din0[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 117.680 822.600 118.280 ;
END
END o_din0[2]
PIN o_din0[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 810.150 829.320 810.430 833.320 ;
END
END o_din0[30]
PIN o_din0[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 819.810 829.320 820.090 833.320 ;
END
END o_din0[31]
PIN o_din0[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 595.330 0.000 595.610 4.000 ;
END
END o_din0[3]
PIN o_din0[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 614.190 829.320 614.470 833.320 ;
END
END o_din0[4]
PIN o_din0[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 297.880 822.600 298.480 ;
END
END o_din0[5]
PIN o_din0[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 223.760 4.000 224.360 ;
END
END o_din0[6]
PIN o_din0[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 353.640 822.600 354.240 ;
END
END o_din0[7]
PIN o_din0[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 300.600 4.000 301.200 ;
END
END o_din0[8]
PIN o_din0[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 326.440 4.000 327.040 ;
END
END o_din0[9]
PIN o_din0_1[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 20.440 822.600 21.040 ;
END
END o_din0_1[0]
PIN o_din0_1[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 662.950 829.320 663.230 833.320 ;
END
END o_din0_1[10]
PIN o_din0_1[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 681.350 0.000 681.630 4.000 ;
END
END o_din0_1[11]
PIN o_din0_1[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 695.610 0.000 695.890 4.000 ;
END
END o_din0_1[12]
PIN o_din0_1[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 441.360 4.000 441.960 ;
END
END o_din0_1[13]
PIN o_din0_1[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 492.360 822.600 492.960 ;
END
END o_din0_1[14]
PIN o_din0_1[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 533.840 822.600 534.440 ;
END
END o_din0_1[15]
PIN o_din0_1[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 561.720 822.600 562.320 ;
END
END o_din0_1[16]
PIN o_din0_1[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 712.170 829.320 712.450 833.320 ;
END
END o_din0_1[17]
PIN o_din0_1[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 721.830 829.320 722.110 833.320 ;
END
END o_din0_1[18]
PIN o_din0_1[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 617.480 822.600 618.080 ;
END
END o_din0_1[19]
PIN o_din0_1[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 76.200 822.600 76.800 ;
END
END o_din0_1[1]
PIN o_din0_1[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 738.390 0.000 738.670 4.000 ;
END
END o_din0_1[20]
PIN o_din0_1[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 620.880 4.000 621.480 ;
END
END o_din0_1[21]
PIN o_din0_1[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 751.270 829.320 751.550 833.320 ;
END
END o_din0_1[22]
PIN o_din0_1[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 714.720 822.600 715.320 ;
END
END o_din0_1[23]
PIN o_din0_1[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 762.310 0.000 762.590 4.000 ;
END
END o_din0_1[24]
PIN o_din0_1[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 697.720 4.000 698.320 ;
END
END o_din0_1[25]
PIN o_din0_1[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 710.640 4.000 711.240 ;
END
END o_din0_1[26]
PIN o_din0_1[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 790.370 829.320 790.650 833.320 ;
END
END o_din0_1[27]
PIN o_din0_1[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 774.560 4.000 775.160 ;
END
END o_din0_1[28]
PIN o_din0_1[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 810.150 0.000 810.430 4.000 ;
END
END o_din0_1[29]
PIN o_din0_1[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 576.010 0.000 576.290 4.000 ;
END
END o_din0_1[2]
PIN o_din0_1[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 813.320 4.000 813.920 ;
END
END o_din0_1[30]
PIN o_din0_1[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 819.810 0.000 820.090 4.000 ;
END
END o_din0_1[31]
PIN o_din0_1[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 159.840 4.000 160.440 ;
END
END o_din0_1[3]
PIN o_din0_1[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 609.130 829.320 609.410 833.320 ;
END
END o_din0_1[4]
PIN o_din0_1[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 185.000 4.000 185.600 ;
END
END o_din0_1[5]
PIN o_din0_1[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 325.760 822.600 326.360 ;
END
END o_din0_1[6]
PIN o_din0_1[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 652.370 0.000 652.650 4.000 ;
END
END o_din0_1[7]
PIN o_din0_1[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 662.030 0.000 662.310 4.000 ;
END
END o_din0_1[8]
PIN o_din0_1[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 671.690 0.000 671.970 4.000 ;
END
END o_din0_1[9]
PIN o_waddr0[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 31.320 4.000 31.920 ;
END
END o_waddr0[0]
PIN o_waddr0[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 95.240 4.000 95.840 ;
END
END o_waddr0[1]
PIN o_waddr0[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 146.920 4.000 147.520 ;
END
END o_waddr0[2]
PIN o_waddr0[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 159.160 822.600 159.760 ;
END
END o_waddr0[3]
PIN o_waddr0[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 256.400 822.600 257.000 ;
END
END o_waddr0[4]
PIN o_waddr0[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 628.910 829.320 629.190 833.320 ;
END
END o_waddr0[5]
PIN o_waddr0[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 236.680 4.000 237.280 ;
END
END o_waddr0[6]
PIN o_waddr0[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 274.760 4.000 275.360 ;
END
END o_waddr0[7]
PIN o_waddr0[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 313.520 4.000 314.120 ;
END
END o_waddr0[8]
PIN o_waddr0_1[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 552.090 0.000 552.370 4.000 ;
END
END o_waddr0_1[0]
PIN o_waddr0_1[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 83.000 4.000 83.600 ;
END
END o_waddr0_1[1]
PIN o_waddr0_1[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 589.810 829.320 590.090 833.320 ;
END
END o_waddr0_1[2]
PIN o_waddr0_1[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 599.930 0.000 600.210 4.000 ;
END
END o_waddr0_1[3]
PIN o_waddr0_1[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 609.590 0.000 609.870 4.000 ;
END
END o_waddr0_1[4]
PIN o_waddr0_1[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 623.850 829.320 624.130 833.320 ;
END
END o_waddr0_1[5]
PIN o_waddr0_1[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 340.040 822.600 340.640 ;
END
END o_waddr0_1[6]
PIN o_waddr0_1[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 261.840 4.000 262.440 ;
END
END o_waddr0_1[7]
PIN o_waddr0_1[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 653.290 829.320 653.570 833.320 ;
END
END o_waddr0_1[8]
PIN o_web0
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 18.400 4.000 19.000 ;
END
END o_web0
PIN o_web0_1
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 532.770 0.000 533.050 4.000 ;
END
END o_web0_1
PIN o_wmask0[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 44.240 4.000 44.840 ;
END
END o_wmask0[0]
PIN o_wmask0[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 108.160 4.000 108.760 ;
END
END o_wmask0[1]
PIN o_wmask0[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 599.470 829.320 599.750 833.320 ;
END
END o_wmask0[2]
PIN o_wmask0[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 173.440 822.600 174.040 ;
END
END o_wmask0[3]
PIN o_wmask0_1[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 818.600 34.040 822.600 34.640 ;
END
END o_wmask0_1[0]
PIN o_wmask0_1[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 561.750 0.000 562.030 4.000 ;
END
END o_wmask0_1[1]
PIN o_wmask0_1[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 594.410 829.320 594.690 833.320 ;
END
END o_wmask0_1[2]
PIN o_wmask0_1[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met3 ;
RECT 0.000 172.760 4.000 173.360 ;
END
END o_wmask0_1[3]
PIN vccd1
DIRECTION INPUT ;
USE POWER ;
PORT
LAYER met4 ;
RECT 21.040 10.640 22.640 821.680 ;
END
PORT
LAYER met4 ;
RECT 174.640 10.640 176.240 821.680 ;
END
PORT
LAYER met4 ;
RECT 328.240 10.640 329.840 821.680 ;
END
PORT
LAYER met4 ;
RECT 481.840 10.640 483.440 821.680 ;
END
PORT
LAYER met4 ;
RECT 635.440 10.640 637.040 821.680 ;
END
PORT
LAYER met4 ;
RECT 789.040 10.640 790.640 821.680 ;
END
END vccd1
PIN vssd1
DIRECTION INPUT ;
USE GROUND ;
PORT
LAYER met4 ;
RECT 97.840 10.640 99.440 821.680 ;
END
PORT
LAYER met4 ;
RECT 251.440 10.640 253.040 821.680 ;
END
PORT
LAYER met4 ;
RECT 405.040 10.640 406.640 821.680 ;
END
PORT
LAYER met4 ;
RECT 558.640 10.640 560.240 821.680 ;
END
PORT
LAYER met4 ;
RECT 712.240 10.640 713.840 821.680 ;
END
END vssd1
PIN wb_clk_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 2.390 0.000 2.670 4.000 ;
END
END wb_clk_i
PIN wb_rst_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 6.990 0.000 7.270 4.000 ;
END
END wb_rst_i
PIN wbs_ack_o
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 11.590 0.000 11.870 4.000 ;
END
END wbs_ack_o
PIN wbs_adr_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 30.910 0.000 31.190 4.000 ;
END
END wbs_adr_i[0]
PIN wbs_adr_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 193.290 0.000 193.570 4.000 ;
END
END wbs_adr_i[10]
PIN wbs_adr_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 208.010 0.000 208.290 4.000 ;
END
END wbs_adr_i[11]
PIN wbs_adr_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 222.270 0.000 222.550 4.000 ;
END
END wbs_adr_i[12]
PIN wbs_adr_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 236.530 0.000 236.810 4.000 ;
END
END wbs_adr_i[13]
PIN wbs_adr_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 250.790 0.000 251.070 4.000 ;
END
END wbs_adr_i[14]
PIN wbs_adr_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 265.050 0.000 265.330 4.000 ;
END
END wbs_adr_i[15]
PIN wbs_adr_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 279.310 0.000 279.590 4.000 ;
END
END wbs_adr_i[16]
PIN wbs_adr_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 294.030 0.000 294.310 4.000 ;
END
END wbs_adr_i[17]
PIN wbs_adr_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 308.290 0.000 308.570 4.000 ;
END
END wbs_adr_i[18]
PIN wbs_adr_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 322.550 0.000 322.830 4.000 ;
END
END wbs_adr_i[19]
PIN wbs_adr_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 49.770 0.000 50.050 4.000 ;
END
END wbs_adr_i[1]
PIN wbs_adr_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 336.810 0.000 337.090 4.000 ;
END
END wbs_adr_i[20]
PIN wbs_adr_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 351.070 0.000 351.350 4.000 ;
END
END wbs_adr_i[21]
PIN wbs_adr_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 365.790 0.000 366.070 4.000 ;
END
END wbs_adr_i[22]
PIN wbs_adr_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 380.050 0.000 380.330 4.000 ;
END
END wbs_adr_i[23]
PIN wbs_adr_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 394.310 0.000 394.590 4.000 ;
END
END wbs_adr_i[24]
PIN wbs_adr_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 408.570 0.000 408.850 4.000 ;
END
END wbs_adr_i[25]
PIN wbs_adr_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 422.830 0.000 423.110 4.000 ;
END
END wbs_adr_i[26]
PIN wbs_adr_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 437.090 0.000 437.370 4.000 ;
END
END wbs_adr_i[27]
PIN wbs_adr_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 451.810 0.000 452.090 4.000 ;
END
END wbs_adr_i[28]
PIN wbs_adr_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 466.070 0.000 466.350 4.000 ;
END
END wbs_adr_i[29]
PIN wbs_adr_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 69.090 0.000 69.370 4.000 ;
END
END wbs_adr_i[2]
PIN wbs_adr_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 480.330 0.000 480.610 4.000 ;
END
END wbs_adr_i[30]
PIN wbs_adr_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 494.590 0.000 494.870 4.000 ;
END
END wbs_adr_i[31]
PIN wbs_adr_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 88.410 0.000 88.690 4.000 ;
END
END wbs_adr_i[3]
PIN wbs_adr_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 107.270 0.000 107.550 4.000 ;
END
END wbs_adr_i[4]
PIN wbs_adr_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 121.530 0.000 121.810 4.000 ;
END
END wbs_adr_i[5]
PIN wbs_adr_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 136.250 0.000 136.530 4.000 ;
END
END wbs_adr_i[6]
PIN wbs_adr_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 150.510 0.000 150.790 4.000 ;
END
END wbs_adr_i[7]
PIN wbs_adr_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 164.770 0.000 165.050 4.000 ;
END
END wbs_adr_i[8]
PIN wbs_adr_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 179.030 0.000 179.310 4.000 ;
END
END wbs_adr_i[9]
PIN wbs_cyc_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 16.650 0.000 16.930 4.000 ;
END
END wbs_cyc_i
PIN wbs_dat_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 35.510 0.000 35.790 4.000 ;
END
END wbs_dat_i[0]
PIN wbs_dat_i[10]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 198.350 0.000 198.630 4.000 ;
END
END wbs_dat_i[10]
PIN wbs_dat_i[11]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 212.610 0.000 212.890 4.000 ;
END
END wbs_dat_i[11]
PIN wbs_dat_i[12]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 226.870 0.000 227.150 4.000 ;
END
END wbs_dat_i[12]
PIN wbs_dat_i[13]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 241.130 0.000 241.410 4.000 ;
END
END wbs_dat_i[13]
PIN wbs_dat_i[14]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 255.390 0.000 255.670 4.000 ;
END
END wbs_dat_i[14]
PIN wbs_dat_i[15]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 270.110 0.000 270.390 4.000 ;
END
END wbs_dat_i[15]
PIN wbs_dat_i[16]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 284.370 0.000 284.650 4.000 ;
END
END wbs_dat_i[16]
PIN wbs_dat_i[17]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 298.630 0.000 298.910 4.000 ;
END
END wbs_dat_i[17]
PIN wbs_dat_i[18]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 312.890 0.000 313.170 4.000 ;
END
END wbs_dat_i[18]
PIN wbs_dat_i[19]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 327.150 0.000 327.430 4.000 ;
END
END wbs_dat_i[19]
PIN wbs_dat_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 54.830 0.000 55.110 4.000 ;
END
END wbs_dat_i[1]
PIN wbs_dat_i[20]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 341.870 0.000 342.150 4.000 ;
END
END wbs_dat_i[20]
PIN wbs_dat_i[21]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 356.130 0.000 356.410 4.000 ;
END
END wbs_dat_i[21]
PIN wbs_dat_i[22]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 370.390 0.000 370.670 4.000 ;
END
END wbs_dat_i[22]
PIN wbs_dat_i[23]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 384.650 0.000 384.930 4.000 ;
END
END wbs_dat_i[23]
PIN wbs_dat_i[24]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 398.910 0.000 399.190 4.000 ;
END
END wbs_dat_i[24]
PIN wbs_dat_i[25]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 413.630 0.000 413.910 4.000 ;
END
END wbs_dat_i[25]
PIN wbs_dat_i[26]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 427.890 0.000 428.170 4.000 ;
END
END wbs_dat_i[26]
PIN wbs_dat_i[27]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 442.150 0.000 442.430 4.000 ;
END
END wbs_dat_i[27]
PIN wbs_dat_i[28]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 456.410 0.000 456.690 4.000 ;
END
END wbs_dat_i[28]
PIN wbs_dat_i[29]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 470.670 0.000 470.950 4.000 ;
END
END wbs_dat_i[29]
PIN wbs_dat_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 73.690 0.000 73.970 4.000 ;
END
END wbs_dat_i[2]
PIN wbs_dat_i[30]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 484.930 0.000 485.210 4.000 ;
END
END wbs_dat_i[30]
PIN wbs_dat_i[31]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 499.650 0.000 499.930 4.000 ;
END
END wbs_dat_i[31]
PIN wbs_dat_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 93.010 0.000 93.290 4.000 ;
END
END wbs_dat_i[3]
PIN wbs_dat_i[4]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 112.330 0.000 112.610 4.000 ;
END
END wbs_dat_i[4]
PIN wbs_dat_i[5]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 126.590 0.000 126.870 4.000 ;
END
END wbs_dat_i[5]
PIN wbs_dat_i[6]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 140.850 0.000 141.130 4.000 ;
END
END wbs_dat_i[6]
PIN wbs_dat_i[7]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 155.110 0.000 155.390 4.000 ;
END
END wbs_dat_i[7]
PIN wbs_dat_i[8]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 169.370 0.000 169.650 4.000 ;
END
END wbs_dat_i[8]
PIN wbs_dat_i[9]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 184.090 0.000 184.370 4.000 ;
END
END wbs_dat_i[9]
PIN wbs_dat_o[0]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 40.570 0.000 40.850 4.000 ;
END
END wbs_dat_o[0]
PIN wbs_dat_o[10]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 202.950 0.000 203.230 4.000 ;
END
END wbs_dat_o[10]
PIN wbs_dat_o[11]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 217.210 0.000 217.490 4.000 ;
END
END wbs_dat_o[11]
PIN wbs_dat_o[12]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 231.470 0.000 231.750 4.000 ;
END
END wbs_dat_o[12]
PIN wbs_dat_o[13]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 246.190 0.000 246.470 4.000 ;
END
END wbs_dat_o[13]
PIN wbs_dat_o[14]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 260.450 0.000 260.730 4.000 ;
END
END wbs_dat_o[14]
PIN wbs_dat_o[15]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 274.710 0.000 274.990 4.000 ;
END
END wbs_dat_o[15]
PIN wbs_dat_o[16]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 288.970 0.000 289.250 4.000 ;
END
END wbs_dat_o[16]
PIN wbs_dat_o[17]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 303.230 0.000 303.510 4.000 ;
END
END wbs_dat_o[17]
PIN wbs_dat_o[18]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 317.950 0.000 318.230 4.000 ;
END
END wbs_dat_o[18]
PIN wbs_dat_o[19]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 332.210 0.000 332.490 4.000 ;
END
END wbs_dat_o[19]
PIN wbs_dat_o[1]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 59.430 0.000 59.710 4.000 ;
END
END wbs_dat_o[1]
PIN wbs_dat_o[20]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 346.470 0.000 346.750 4.000 ;
END
END wbs_dat_o[20]
PIN wbs_dat_o[21]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 360.730 0.000 361.010 4.000 ;
END
END wbs_dat_o[21]
PIN wbs_dat_o[22]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 374.990 0.000 375.270 4.000 ;
END
END wbs_dat_o[22]
PIN wbs_dat_o[23]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 389.710 0.000 389.990 4.000 ;
END
END wbs_dat_o[23]
PIN wbs_dat_o[24]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 403.970 0.000 404.250 4.000 ;
END
END wbs_dat_o[24]
PIN wbs_dat_o[25]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 418.230 0.000 418.510 4.000 ;
END
END wbs_dat_o[25]
PIN wbs_dat_o[26]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 432.490 0.000 432.770 4.000 ;
END
END wbs_dat_o[26]
PIN wbs_dat_o[27]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 446.750 0.000 447.030 4.000 ;
END
END wbs_dat_o[27]
PIN wbs_dat_o[28]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 461.010 0.000 461.290 4.000 ;
END
END wbs_dat_o[28]
PIN wbs_dat_o[29]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 475.730 0.000 476.010 4.000 ;
END
END wbs_dat_o[29]
PIN wbs_dat_o[2]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 78.750 0.000 79.030 4.000 ;
END
END wbs_dat_o[2]
PIN wbs_dat_o[30]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 489.990 0.000 490.270 4.000 ;
END
END wbs_dat_o[30]
PIN wbs_dat_o[31]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 504.250 0.000 504.530 4.000 ;
END
END wbs_dat_o[31]
PIN wbs_dat_o[3]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 97.610 0.000 97.890 4.000 ;
END
END wbs_dat_o[3]
PIN wbs_dat_o[4]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 116.930 0.000 117.210 4.000 ;
END
END wbs_dat_o[4]
PIN wbs_dat_o[5]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 131.190 0.000 131.470 4.000 ;
END
END wbs_dat_o[5]
PIN wbs_dat_o[6]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 145.450 0.000 145.730 4.000 ;
END
END wbs_dat_o[6]
PIN wbs_dat_o[7]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 160.170 0.000 160.450 4.000 ;
END
END wbs_dat_o[7]
PIN wbs_dat_o[8]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 174.430 0.000 174.710 4.000 ;
END
END wbs_dat_o[8]
PIN wbs_dat_o[9]
DIRECTION OUTPUT TRISTATE ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 188.690 0.000 188.970 4.000 ;
END
END wbs_dat_o[9]
PIN wbs_sel_i[0]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 45.170 0.000 45.450 4.000 ;
END
END wbs_sel_i[0]
PIN wbs_sel_i[1]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 64.490 0.000 64.770 4.000 ;
END
END wbs_sel_i[1]
PIN wbs_sel_i[2]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 83.350 0.000 83.630 4.000 ;
END
END wbs_sel_i[2]
PIN wbs_sel_i[3]
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 102.670 0.000 102.950 4.000 ;
END
END wbs_sel_i[3]
PIN wbs_stb_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 21.250 0.000 21.530 4.000 ;
END
END wbs_stb_i
PIN wbs_we_i
DIRECTION INPUT ;
USE SIGNAL ;
PORT
LAYER met2 ;
RECT 25.850 0.000 26.130 4.000 ;
END
END wbs_we_i
OBS
LAYER li1 ;
RECT 5.520 7.225 822.335 821.525 ;
LAYER met1 ;
RECT 0.070 0.040 822.410 821.680 ;
LAYER met2 ;
RECT 0.100 829.040 2.110 830.010 ;
RECT 2.950 829.040 6.710 830.010 ;
RECT 7.550 829.040 11.770 830.010 ;
RECT 12.610 829.040 16.370 830.010 ;
RECT 17.210 829.040 21.430 830.010 ;
RECT 22.270 829.040 26.490 830.010 ;
RECT 27.330 829.040 31.090 830.010 ;
RECT 31.930 829.040 36.150 830.010 ;
RECT 36.990 829.040 41.210 830.010 ;
RECT 42.050 829.040 45.810 830.010 ;
RECT 46.650 829.040 50.870 830.010 ;
RECT 51.710 829.040 55.930 830.010 ;
RECT 56.770 829.040 60.530 830.010 ;
RECT 61.370 829.040 65.590 830.010 ;
RECT 66.430 829.040 70.650 830.010 ;
RECT 71.490 829.040 75.250 830.010 ;
RECT 76.090 829.040 80.310 830.010 ;
RECT 81.150 829.040 84.910 830.010 ;
RECT 85.750 829.040 89.970 830.010 ;
RECT 90.810 829.040 95.030 830.010 ;
RECT 95.870 829.040 99.630 830.010 ;
RECT 100.470 829.040 104.690 830.010 ;
RECT 105.530 829.040 109.750 830.010 ;
RECT 110.590 829.040 114.350 830.010 ;
RECT 115.190 829.040 119.410 830.010 ;
RECT 120.250 829.040 124.470 830.010 ;
RECT 125.310 829.040 129.070 830.010 ;
RECT 129.910 829.040 134.130 830.010 ;
RECT 134.970 829.040 139.190 830.010 ;
RECT 140.030 829.040 143.790 830.010 ;
RECT 144.630 829.040 148.850 830.010 ;
RECT 149.690 829.040 153.450 830.010 ;
RECT 154.290 829.040 158.510 830.010 ;
RECT 159.350 829.040 163.570 830.010 ;
RECT 164.410 829.040 168.170 830.010 ;
RECT 169.010 829.040 173.230 830.010 ;
RECT 174.070 829.040 178.290 830.010 ;
RECT 179.130 829.040 182.890 830.010 ;
RECT 183.730 829.040 187.950 830.010 ;
RECT 188.790 829.040 193.010 830.010 ;
RECT 193.850 829.040 197.610 830.010 ;
RECT 198.450 829.040 202.670 830.010 ;
RECT 203.510 829.040 207.730 830.010 ;
RECT 208.570 829.040 212.330 830.010 ;
RECT 213.170 829.040 217.390 830.010 ;
RECT 218.230 829.040 221.990 830.010 ;
RECT 222.830 829.040 227.050 830.010 ;
RECT 227.890 829.040 232.110 830.010 ;
RECT 232.950 829.040 236.710 830.010 ;
RECT 237.550 829.040 241.770 830.010 ;
RECT 242.610 829.040 246.830 830.010 ;
RECT 247.670 829.040 251.430 830.010 ;
RECT 252.270 829.040 256.490 830.010 ;
RECT 257.330 829.040 261.550 830.010 ;
RECT 262.390 829.040 266.150 830.010 ;
RECT 266.990 829.040 271.210 830.010 ;
RECT 272.050 829.040 276.270 830.010 ;
RECT 277.110 829.040 280.870 830.010 ;
RECT 281.710 829.040 285.930 830.010 ;
RECT 286.770 829.040 290.530 830.010 ;
RECT 291.370 829.040 295.590 830.010 ;
RECT 296.430 829.040 300.650 830.010 ;
RECT 301.490 829.040 305.250 830.010 ;
RECT 306.090 829.040 310.310 830.010 ;
RECT 311.150 829.040 315.370 830.010 ;
RECT 316.210 829.040 319.970 830.010 ;
RECT 320.810 829.040 325.030 830.010 ;
RECT 325.870 829.040 330.090 830.010 ;
RECT 330.930 829.040 334.690 830.010 ;
RECT 335.530 829.040 339.750 830.010 ;
RECT 340.590 829.040 344.810 830.010 ;
RECT 345.650 829.040 349.410 830.010 ;
RECT 350.250 829.040 354.470 830.010 ;
RECT 355.310 829.040 359.070 830.010 ;
RECT 359.910 829.040 364.130 830.010 ;
RECT 364.970 829.040 369.190 830.010 ;
RECT 370.030 829.040 373.790 830.010 ;
RECT 374.630 829.040 378.850 830.010 ;
RECT 379.690 829.040 383.910 830.010 ;
RECT 384.750 829.040 388.510 830.010 ;
RECT 389.350 829.040 393.570 830.010 ;
RECT 394.410 829.040 398.630 830.010 ;
RECT 399.470 829.040 403.230 830.010 ;
RECT 404.070 829.040 408.290 830.010 ;
RECT 409.130 829.040 413.350 830.010 ;
RECT 414.190 829.040 417.950 830.010 ;
RECT 418.790 829.040 423.010 830.010 ;
RECT 423.850 829.040 427.610 830.010 ;
RECT 428.450 829.040 432.670 830.010 ;
RECT 433.510 829.040 437.730 830.010 ;
RECT 438.570 829.040 442.330 830.010 ;
RECT 443.170 829.040 447.390 830.010 ;
RECT 448.230 829.040 452.450 830.010 ;
RECT 453.290 829.040 457.050 830.010 ;
RECT 457.890 829.040 462.110 830.010 ;
RECT 462.950 829.040 467.170 830.010 ;
RECT 468.010 829.040 471.770 830.010 ;
RECT 472.610 829.040 476.830 830.010 ;
RECT 477.670 829.040 481.890 830.010 ;
RECT 482.730 829.040 486.490 830.010 ;
RECT 487.330 829.040 491.550 830.010 ;
RECT 492.390 829.040 496.150 830.010 ;
RECT 496.990 829.040 501.210 830.010 ;
RECT 502.050 829.040 506.270 830.010 ;
RECT 507.110 829.040 510.870 830.010 ;
RECT 511.710 829.040 515.930 830.010 ;
RECT 516.770 829.040 520.990 830.010 ;
RECT 521.830 829.040 525.590 830.010 ;
RECT 526.430 829.040 530.650 830.010 ;
RECT 531.490 829.040 535.710 830.010 ;
RECT 536.550 829.040 540.310 830.010 ;
RECT 541.150 829.040 545.370 830.010 ;
RECT 546.210 829.040 550.430 830.010 ;
RECT 551.270 829.040 555.030 830.010 ;
RECT 555.870 829.040 560.090 830.010 ;
RECT 560.930 829.040 564.690 830.010 ;
RECT 565.530 829.040 569.750 830.010 ;
RECT 570.590 829.040 574.810 830.010 ;
RECT 575.650 829.040 579.410 830.010 ;
RECT 580.250 829.040 584.470 830.010 ;
RECT 585.310 829.040 589.530 830.010 ;
RECT 590.370 829.040 594.130 830.010 ;
RECT 594.970 829.040 599.190 830.010 ;
RECT 600.030 829.040 604.250 830.010 ;
RECT 605.090 829.040 608.850 830.010 ;
RECT 609.690 829.040 613.910 830.010 ;
RECT 614.750 829.040 618.970 830.010 ;
RECT 619.810 829.040 623.570 830.010 ;
RECT 624.410 829.040 628.630 830.010 ;
RECT 629.470 829.040 633.230 830.010 ;
RECT 634.070 829.040 638.290 830.010 ;
RECT 639.130 829.040 643.350 830.010 ;
RECT 644.190 829.040 647.950 830.010 ;
RECT 648.790 829.040 653.010 830.010 ;
RECT 653.850 829.040 658.070 830.010 ;
RECT 658.910 829.040 662.670 830.010 ;
RECT 663.510 829.040 667.730 830.010 ;
RECT 668.570 829.040 672.790 830.010 ;
RECT 673.630 829.040 677.390 830.010 ;
RECT 678.230 829.040 682.450 830.010 ;
RECT 683.290 829.040 687.510 830.010 ;
RECT 688.350 829.040 692.110 830.010 ;
RECT 692.950 829.040 697.170 830.010 ;
RECT 698.010 829.040 701.770 830.010 ;
RECT 702.610 829.040 706.830 830.010 ;
RECT 707.670 829.040 711.890 830.010 ;
RECT 712.730 829.040 716.490 830.010 ;
RECT 717.330 829.040 721.550 830.010 ;
RECT 722.390 829.040 726.610 830.010 ;
RECT 727.450 829.040 731.210 830.010 ;
RECT 732.050 829.040 736.270 830.010 ;
RECT 737.110 829.040 741.330 830.010 ;
RECT 742.170 829.040 745.930 830.010 ;
RECT 746.770 829.040 750.990 830.010 ;
RECT 751.830 829.040 756.050 830.010 ;
RECT 756.890 829.040 760.650 830.010 ;
RECT 761.490 829.040 765.710 830.010 ;
RECT 766.550 829.040 770.310 830.010 ;
RECT 771.150 829.040 775.370 830.010 ;
RECT 776.210 829.040 780.430 830.010 ;
RECT 781.270 829.040 785.030 830.010 ;
RECT 785.870 829.040 790.090 830.010 ;
RECT 790.930 829.040 795.150 830.010 ;
RECT 795.990 829.040 799.750 830.010 ;
RECT 800.590 829.040 804.810 830.010 ;
RECT 805.650 829.040 809.870 830.010 ;
RECT 810.710 829.040 814.470 830.010 ;
RECT 815.310 829.040 819.530 830.010 ;
RECT 820.370 829.040 822.390 830.010 ;
RECT 0.100 4.280 822.390 829.040 ;
RECT 0.100 0.010 2.110 4.280 ;
RECT 2.950 0.010 6.710 4.280 ;
RECT 7.550 0.010 11.310 4.280 ;
RECT 12.150 0.010 16.370 4.280 ;
RECT 17.210 0.010 20.970 4.280 ;
RECT 21.810 0.010 25.570 4.280 ;
RECT 26.410 0.010 30.630 4.280 ;
RECT 31.470 0.010 35.230 4.280 ;
RECT 36.070 0.010 40.290 4.280 ;
RECT 41.130 0.010 44.890 4.280 ;
RECT 45.730 0.010 49.490 4.280 ;
RECT 50.330 0.010 54.550 4.280 ;
RECT 55.390 0.010 59.150 4.280 ;
RECT 59.990 0.010 64.210 4.280 ;
RECT 65.050 0.010 68.810 4.280 ;
RECT 69.650 0.010 73.410 4.280 ;
RECT 74.250 0.010 78.470 4.280 ;
RECT 79.310 0.010 83.070 4.280 ;
RECT 83.910 0.010 88.130 4.280 ;
RECT 88.970 0.010 92.730 4.280 ;
RECT 93.570 0.010 97.330 4.280 ;
RECT 98.170 0.010 102.390 4.280 ;
RECT 103.230 0.010 106.990 4.280 ;
RECT 107.830 0.010 112.050 4.280 ;
RECT 112.890 0.010 116.650 4.280 ;
RECT 117.490 0.010 121.250 4.280 ;
RECT 122.090 0.010 126.310 4.280 ;
RECT 127.150 0.010 130.910 4.280 ;
RECT 131.750 0.010 135.970 4.280 ;
RECT 136.810 0.010 140.570 4.280 ;
RECT 141.410 0.010 145.170 4.280 ;
RECT 146.010 0.010 150.230 4.280 ;
RECT 151.070 0.010 154.830 4.280 ;
RECT 155.670 0.010 159.890 4.280 ;
RECT 160.730 0.010 164.490 4.280 ;
RECT 165.330 0.010 169.090 4.280 ;
RECT 169.930 0.010 174.150 4.280 ;
RECT 174.990 0.010 178.750 4.280 ;
RECT 179.590 0.010 183.810 4.280 ;
RECT 184.650 0.010 188.410 4.280 ;
RECT 189.250 0.010 193.010 4.280 ;
RECT 193.850 0.010 198.070 4.280 ;
RECT 198.910 0.010 202.670 4.280 ;
RECT 203.510 0.010 207.730 4.280 ;
RECT 208.570 0.010 212.330 4.280 ;
RECT 213.170 0.010 216.930 4.280 ;
RECT 217.770 0.010 221.990 4.280 ;
RECT 222.830 0.010 226.590 4.280 ;
RECT 227.430 0.010 231.190 4.280 ;
RECT 232.030 0.010 236.250 4.280 ;
RECT 237.090 0.010 240.850 4.280 ;
RECT 241.690 0.010 245.910 4.280 ;
RECT 246.750 0.010 250.510 4.280 ;
RECT 251.350 0.010 255.110 4.280 ;
RECT 255.950 0.010 260.170 4.280 ;
RECT 261.010 0.010 264.770 4.280 ;
RECT 265.610 0.010 269.830 4.280 ;
RECT 270.670 0.010 274.430 4.280 ;
RECT 275.270 0.010 279.030 4.280 ;
RECT 279.870 0.010 284.090 4.280 ;
RECT 284.930 0.010 288.690 4.280 ;
RECT 289.530 0.010 293.750 4.280 ;
RECT 294.590 0.010 298.350 4.280 ;
RECT 299.190 0.010 302.950 4.280 ;
RECT 303.790 0.010 308.010 4.280 ;
RECT 308.850 0.010 312.610 4.280 ;
RECT 313.450 0.010 317.670 4.280 ;
RECT 318.510 0.010 322.270 4.280 ;
RECT 323.110 0.010 326.870 4.280 ;
RECT 327.710 0.010 331.930 4.280 ;
RECT 332.770 0.010 336.530 4.280 ;
RECT 337.370 0.010 341.590 4.280 ;
RECT 342.430 0.010 346.190 4.280 ;
RECT 347.030 0.010 350.790 4.280 ;
RECT 351.630 0.010 355.850 4.280 ;
RECT 356.690 0.010 360.450 4.280 ;
RECT 361.290 0.010 365.510 4.280 ;
RECT 366.350 0.010 370.110 4.280 ;
RECT 370.950 0.010 374.710 4.280 ;
RECT 375.550 0.010 379.770 4.280 ;
RECT 380.610 0.010 384.370 4.280 ;
RECT 385.210 0.010 389.430 4.280 ;
RECT 390.270 0.010 394.030 4.280 ;
RECT 394.870 0.010 398.630 4.280 ;
RECT 399.470 0.010 403.690 4.280 ;
RECT 404.530 0.010 408.290 4.280 ;
RECT 409.130 0.010 413.350 4.280 ;
RECT 414.190 0.010 417.950 4.280 ;
RECT 418.790 0.010 422.550 4.280 ;
RECT 423.390 0.010 427.610 4.280 ;
RECT 428.450 0.010 432.210 4.280 ;
RECT 433.050 0.010 436.810 4.280 ;
RECT 437.650 0.010 441.870 4.280 ;
RECT 442.710 0.010 446.470 4.280 ;
RECT 447.310 0.010 451.530 4.280 ;
RECT 452.370 0.010 456.130 4.280 ;
RECT 456.970 0.010 460.730 4.280 ;
RECT 461.570 0.010 465.790 4.280 ;
RECT 466.630 0.010 470.390 4.280 ;
RECT 471.230 0.010 475.450 4.280 ;
RECT 476.290 0.010 480.050 4.280 ;
RECT 480.890 0.010 484.650 4.280 ;
RECT 485.490 0.010 489.710 4.280 ;
RECT 490.550 0.010 494.310 4.280 ;
RECT 495.150 0.010 499.370 4.280 ;
RECT 500.210 0.010 503.970 4.280 ;
RECT 504.810 0.010 508.570 4.280 ;
RECT 509.410 0.010 513.630 4.280 ;
RECT 514.470 0.010 518.230 4.280 ;
RECT 519.070 0.010 523.290 4.280 ;
RECT 524.130 0.010 527.890 4.280 ;
RECT 528.730 0.010 532.490 4.280 ;
RECT 533.330 0.010 537.550 4.280 ;
RECT 538.390 0.010 542.150 4.280 ;
RECT 542.990 0.010 547.210 4.280 ;
RECT 548.050 0.010 551.810 4.280 ;
RECT 552.650 0.010 556.410 4.280 ;
RECT 557.250 0.010 561.470 4.280 ;
RECT 562.310 0.010 566.070 4.280 ;
RECT 566.910 0.010 571.130 4.280 ;
RECT 571.970 0.010 575.730 4.280 ;
RECT 576.570 0.010 580.330 4.280 ;
RECT 581.170 0.010 585.390 4.280 ;
RECT 586.230 0.010 589.990 4.280 ;
RECT 590.830 0.010 595.050 4.280 ;
RECT 595.890 0.010 599.650 4.280 ;
RECT 600.490 0.010 604.250 4.280 ;
RECT 605.090 0.010 609.310 4.280 ;
RECT 610.150 0.010 613.910 4.280 ;
RECT 614.750 0.010 618.970 4.280 ;
RECT 619.810 0.010 623.570 4.280 ;
RECT 624.410 0.010 628.170 4.280 ;
RECT 629.010 0.010 633.230 4.280 ;
RECT 634.070 0.010 637.830 4.280 ;
RECT 638.670 0.010 642.430 4.280 ;
RECT 643.270 0.010 647.490 4.280 ;
RECT 648.330 0.010 652.090 4.280 ;
RECT 652.930 0.010 657.150 4.280 ;
RECT 657.990 0.010 661.750 4.280 ;
RECT 662.590 0.010 666.350 4.280 ;
RECT 667.190 0.010 671.410 4.280 ;
RECT 672.250 0.010 676.010 4.280 ;
RECT 676.850 0.010 681.070 4.280 ;
RECT 681.910 0.010 685.670 4.280 ;
RECT 686.510 0.010 690.270 4.280 ;
RECT 691.110 0.010 695.330 4.280 ;
RECT 696.170 0.010 699.930 4.280 ;
RECT 700.770 0.010 704.990 4.280 ;
RECT 705.830 0.010 709.590 4.280 ;
RECT 710.430 0.010 714.190 4.280 ;
RECT 715.030 0.010 719.250 4.280 ;
RECT 720.090 0.010 723.850 4.280 ;
RECT 724.690 0.010 728.910 4.280 ;
RECT 729.750 0.010 733.510 4.280 ;
RECT 734.350 0.010 738.110 4.280 ;
RECT 738.950 0.010 743.170 4.280 ;
RECT 744.010 0.010 747.770 4.280 ;
RECT 748.610 0.010 752.830 4.280 ;
RECT 753.670 0.010 757.430 4.280 ;
RECT 758.270 0.010 762.030 4.280 ;
RECT 762.870 0.010 767.090 4.280 ;
RECT 767.930 0.010 771.690 4.280 ;
RECT 772.530 0.010 776.750 4.280 ;
RECT 777.590 0.010 781.350 4.280 ;
RECT 782.190 0.010 785.950 4.280 ;
RECT 786.790 0.010 791.010 4.280 ;
RECT 791.850 0.010 795.610 4.280 ;
RECT 796.450 0.010 800.670 4.280 ;
RECT 801.510 0.010 805.270 4.280 ;
RECT 806.110 0.010 809.870 4.280 ;
RECT 810.710 0.010 814.930 4.280 ;
RECT 815.770 0.010 819.530 4.280 ;
RECT 820.370 0.010 822.390 4.280 ;
LAYER met3 ;
RECT 4.000 814.320 822.415 821.605 ;
RECT 4.400 812.960 822.415 814.320 ;
RECT 4.400 812.920 818.200 812.960 ;
RECT 4.000 811.560 818.200 812.920 ;
RECT 4.000 801.400 822.415 811.560 ;
RECT 4.400 800.000 822.415 801.400 ;
RECT 4.000 798.680 822.415 800.000 ;
RECT 4.000 797.280 818.200 798.680 ;
RECT 4.000 788.480 822.415 797.280 ;
RECT 4.400 787.080 822.415 788.480 ;
RECT 4.000 785.080 822.415 787.080 ;
RECT 4.000 783.680 818.200 785.080 ;
RECT 4.000 775.560 822.415 783.680 ;
RECT 4.400 774.160 822.415 775.560 ;
RECT 4.000 770.800 822.415 774.160 ;
RECT 4.000 769.400 818.200 770.800 ;
RECT 4.000 762.640 822.415 769.400 ;
RECT 4.400 761.240 822.415 762.640 ;
RECT 4.000 757.200 822.415 761.240 ;
RECT 4.000 755.800 818.200 757.200 ;
RECT 4.000 750.400 822.415 755.800 ;
RECT 4.400 749.000 822.415 750.400 ;
RECT 4.000 743.600 822.415 749.000 ;
RECT 4.000 742.200 818.200 743.600 ;
RECT 4.000 737.480 822.415 742.200 ;
RECT 4.400 736.080 822.415 737.480 ;
RECT 4.000 729.320 822.415 736.080 ;
RECT 4.000 727.920 818.200 729.320 ;
RECT 4.000 724.560 822.415 727.920 ;
RECT 4.400 723.160 822.415 724.560 ;
RECT 4.000 715.720 822.415 723.160 ;
RECT 4.000 714.320 818.200 715.720 ;
RECT 4.000 711.640 822.415 714.320 ;
RECT 4.400 710.240 822.415 711.640 ;
RECT 4.000 701.440 822.415 710.240 ;
RECT 4.000 700.040 818.200 701.440 ;
RECT 4.000 698.720 822.415 700.040 ;
RECT 4.400 697.320 822.415 698.720 ;
RECT 4.000 687.840 822.415 697.320 ;
RECT 4.000 686.440 818.200 687.840 ;
RECT 4.000 685.800 822.415 686.440 ;
RECT 4.400 684.400 822.415 685.800 ;
RECT 4.000 674.240 822.415 684.400 ;
RECT 4.000 673.560 818.200 674.240 ;
RECT 4.400 672.840 818.200 673.560 ;
RECT 4.400 672.160 822.415 672.840 ;
RECT 4.000 660.640 822.415 672.160 ;
RECT 4.400 659.960 822.415 660.640 ;
RECT 4.400 659.240 818.200 659.960 ;
RECT 4.000 658.560 818.200 659.240 ;
RECT 4.000 647.720 822.415 658.560 ;
RECT 4.400 646.360 822.415 647.720 ;
RECT 4.400 646.320 818.200 646.360 ;
RECT 4.000 644.960 818.200 646.320 ;
RECT 4.000 634.800 822.415 644.960 ;
RECT 4.400 633.400 822.415 634.800 ;
RECT 4.000 632.080 822.415 633.400 ;
RECT 4.000 630.680 818.200 632.080 ;
RECT 4.000 621.880 822.415 630.680 ;
RECT 4.400 620.480 822.415 621.880 ;
RECT 4.000 618.480 822.415 620.480 ;
RECT 4.000 617.080 818.200 618.480 ;
RECT 4.000 608.960 822.415 617.080 ;
RECT 4.400 607.560 822.415 608.960 ;
RECT 4.000 604.200 822.415 607.560 ;
RECT 4.000 602.800 818.200 604.200 ;
RECT 4.000 596.040 822.415 602.800 ;
RECT 4.400 594.640 822.415 596.040 ;
RECT 4.000 590.600 822.415 594.640 ;
RECT 4.000 589.200 818.200 590.600 ;
RECT 4.000 583.800 822.415 589.200 ;
RECT 4.400 582.400 822.415 583.800 ;
RECT 4.000 577.000 822.415 582.400 ;
RECT 4.000 575.600 818.200 577.000 ;
RECT 4.000 570.880 822.415 575.600 ;
RECT 4.400 569.480 822.415 570.880 ;
RECT 4.000 562.720 822.415 569.480 ;
RECT 4.000 561.320 818.200 562.720 ;
RECT 4.000 557.960 822.415 561.320 ;
RECT 4.400 556.560 822.415 557.960 ;
RECT 4.000 549.120 822.415 556.560 ;
RECT 4.000 547.720 818.200 549.120 ;
RECT 4.000 545.040 822.415 547.720 ;
RECT 4.400 543.640 822.415 545.040 ;
RECT 4.000 534.840 822.415 543.640 ;
RECT 4.000 533.440 818.200 534.840 ;
RECT 4.000 532.120 822.415 533.440 ;
RECT 4.400 530.720 822.415 532.120 ;
RECT 4.000 521.240 822.415 530.720 ;
RECT 4.000 519.840 818.200 521.240 ;
RECT 4.000 519.200 822.415 519.840 ;
RECT 4.400 517.800 822.415 519.200 ;
RECT 4.000 507.640 822.415 517.800 ;
RECT 4.000 506.960 818.200 507.640 ;
RECT 4.400 506.240 818.200 506.960 ;
RECT 4.400 505.560 822.415 506.240 ;
RECT 4.000 494.040 822.415 505.560 ;
RECT 4.400 493.360 822.415 494.040 ;
RECT 4.400 492.640 818.200 493.360 ;
RECT 4.000 491.960 818.200 492.640 ;
RECT 4.000 481.120 822.415 491.960 ;
RECT 4.400 479.760 822.415 481.120 ;
RECT 4.400 479.720 818.200 479.760 ;
RECT 4.000 478.360 818.200 479.720 ;
RECT 4.000 468.200 822.415 478.360 ;
RECT 4.400 466.800 822.415 468.200 ;
RECT 4.000 465.480 822.415 466.800 ;
RECT 4.000 464.080 818.200 465.480 ;
RECT 4.000 455.280 822.415 464.080 ;
RECT 4.400 453.880 822.415 455.280 ;
RECT 4.000 451.880 822.415 453.880 ;
RECT 4.000 450.480 818.200 451.880 ;
RECT 4.000 442.360 822.415 450.480 ;
RECT 4.400 440.960 822.415 442.360 ;
RECT 4.000 437.600 822.415 440.960 ;
RECT 4.000 436.200 818.200 437.600 ;
RECT 4.000 429.440 822.415 436.200 ;
RECT 4.400 428.040 822.415 429.440 ;
RECT 4.000 424.000 822.415 428.040 ;
RECT 4.000 422.600 818.200 424.000 ;
RECT 4.000 417.200 822.415 422.600 ;
RECT 4.400 415.800 822.415 417.200 ;
RECT 4.000 410.400 822.415 415.800 ;
RECT 4.000 409.000 818.200 410.400 ;
RECT 4.000 404.280 822.415 409.000 ;
RECT 4.400 402.880 822.415 404.280 ;
RECT 4.000 396.120 822.415 402.880 ;
RECT 4.000 394.720 818.200 396.120 ;
RECT 4.000 391.360 822.415 394.720 ;
RECT 4.400 389.960 822.415 391.360 ;
RECT 4.000 382.520 822.415 389.960 ;
RECT 4.000 381.120 818.200 382.520 ;
RECT 4.000 378.440 822.415 381.120 ;
RECT 4.400 377.040 822.415 378.440 ;
RECT 4.000 368.240 822.415 377.040 ;
RECT 4.000 366.840 818.200 368.240 ;
RECT 4.000 365.520 822.415 366.840 ;
RECT 4.400 364.120 822.415 365.520 ;
RECT 4.000 354.640 822.415 364.120 ;
RECT 4.000 353.240 818.200 354.640 ;
RECT 4.000 352.600 822.415 353.240 ;
RECT 4.400 351.200 822.415 352.600 ;
RECT 4.000 341.040 822.415 351.200 ;
RECT 4.000 340.360 818.200 341.040 ;
RECT 4.400 339.640 818.200 340.360 ;
RECT 4.400 338.960 822.415 339.640 ;
RECT 4.000 327.440 822.415 338.960 ;
RECT 4.400 326.760 822.415 327.440 ;
RECT 4.400 326.040 818.200 326.760 ;
RECT 4.000 325.360 818.200 326.040 ;
RECT 4.000 314.520 822.415 325.360 ;
RECT 4.400 313.160 822.415 314.520 ;
RECT 4.400 313.120 818.200 313.160 ;
RECT 4.000 311.760 818.200 313.120 ;
RECT 4.000 301.600 822.415 311.760 ;
RECT 4.400 300.200 822.415 301.600 ;
RECT 4.000 298.880 822.415 300.200 ;
RECT 4.000 297.480 818.200 298.880 ;
RECT 4.000 288.680 822.415 297.480 ;
RECT 4.400 287.280 822.415 288.680 ;
RECT 4.000 285.280 822.415 287.280 ;
RECT 4.000 283.880 818.200 285.280 ;
RECT 4.000 275.760 822.415 283.880 ;
RECT 4.400 274.360 822.415 275.760 ;
RECT 4.000 271.000 822.415 274.360 ;
RECT 4.000 269.600 818.200 271.000 ;
RECT 4.000 262.840 822.415 269.600 ;
RECT 4.400 261.440 822.415 262.840 ;
RECT 4.000 257.400 822.415 261.440 ;
RECT 4.000 256.000 818.200 257.400 ;
RECT 4.000 250.600 822.415 256.000 ;
RECT 4.400 249.200 822.415 250.600 ;
RECT 4.000 243.800 822.415 249.200 ;
RECT 4.000 242.400 818.200 243.800 ;
RECT 4.000 237.680 822.415 242.400 ;
RECT 4.400 236.280 822.415 237.680 ;
RECT 4.000 229.520 822.415 236.280 ;
RECT 4.000 228.120 818.200 229.520 ;
RECT 4.000 224.760 822.415 228.120 ;
RECT 4.400 223.360 822.415 224.760 ;
RECT 4.000 215.920 822.415 223.360 ;
RECT 4.000 214.520 818.200 215.920 ;
RECT 4.000 211.840 822.415 214.520 ;
RECT 4.400 210.440 822.415 211.840 ;
RECT 4.000 201.640 822.415 210.440 ;
RECT 4.000 200.240 818.200 201.640 ;
RECT 4.000 198.920 822.415 200.240 ;
RECT 4.400 197.520 822.415 198.920 ;
RECT 4.000 188.040 822.415 197.520 ;
RECT 4.000 186.640 818.200 188.040 ;
RECT 4.000 186.000 822.415 186.640 ;
RECT 4.400 184.600 822.415 186.000 ;
RECT 4.000 174.440 822.415 184.600 ;
RECT 4.000 173.760 818.200 174.440 ;
RECT 4.400 173.040 818.200 173.760 ;
RECT 4.400 172.360 822.415 173.040 ;
RECT 4.000 160.840 822.415 172.360 ;
RECT 4.400 160.160 822.415 160.840 ;
RECT 4.400 159.440 818.200 160.160 ;
RECT 4.000 158.760 818.200 159.440 ;
RECT 4.000 147.920 822.415 158.760 ;
RECT 4.400 146.560 822.415 147.920 ;
RECT 4.400 146.520 818.200 146.560 ;
RECT 4.000 145.160 818.200 146.520 ;
RECT 4.000 135.000 822.415 145.160 ;
RECT 4.400 133.600 822.415 135.000 ;
RECT 4.000 132.280 822.415 133.600 ;
RECT 4.000 130.880 818.200 132.280 ;
RECT 4.000 122.080 822.415 130.880 ;
RECT 4.400 120.680 822.415 122.080 ;
RECT 4.000 118.680 822.415 120.680 ;
RECT 4.000 117.280 818.200 118.680 ;
RECT 4.000 109.160 822.415 117.280 ;
RECT 4.400 107.760 822.415 109.160 ;
RECT 4.000 104.400 822.415 107.760 ;
RECT 4.000 103.000 818.200 104.400 ;
RECT 4.000 96.240 822.415 103.000 ;
RECT 4.400 94.840 822.415 96.240 ;
RECT 4.000 90.800 822.415 94.840 ;
RECT 4.000 89.400 818.200 90.800 ;
RECT 4.000 84.000 822.415 89.400 ;
RECT 4.400 82.600 822.415 84.000 ;
RECT 4.000 77.200 822.415 82.600 ;
RECT 4.000 75.800 818.200 77.200 ;
RECT 4.000 71.080 822.415 75.800 ;
RECT 4.400 69.680 822.415 71.080 ;
RECT 4.000 62.920 822.415 69.680 ;
RECT 4.000 61.520 818.200 62.920 ;
RECT 4.000 58.160 822.415 61.520 ;
RECT 4.400 56.760 822.415 58.160 ;
RECT 4.000 49.320 822.415 56.760 ;
RECT 4.000 47.920 818.200 49.320 ;
RECT 4.000 45.240 822.415 47.920 ;
RECT 4.400 43.840 822.415 45.240 ;
RECT 4.000 35.040 822.415 43.840 ;
RECT 4.000 33.640 818.200 35.040 ;
RECT 4.000 32.320 822.415 33.640 ;
RECT 4.400 30.920 822.415 32.320 ;
RECT 4.000 21.440 822.415 30.920 ;
RECT 4.000 20.040 818.200 21.440 ;
RECT 4.000 19.400 822.415 20.040 ;
RECT 4.400 18.000 822.415 19.400 ;
RECT 4.000 7.840 822.415 18.000 ;
RECT 4.000 7.160 818.200 7.840 ;
RECT 4.400 6.440 818.200 7.160 ;
RECT 4.400 5.760 822.415 6.440 ;
RECT 4.000 2.215 822.415 5.760 ;
LAYER met4 ;
RECT 23.295 10.240 97.440 820.585 ;
RECT 99.840 10.240 174.240 820.585 ;
RECT 176.640 10.240 251.040 820.585 ;
RECT 253.440 10.240 327.840 820.585 ;
RECT 330.240 10.240 404.640 820.585 ;
RECT 407.040 10.240 481.440 820.585 ;
RECT 483.840 10.240 558.240 820.585 ;
RECT 560.640 10.240 635.040 820.585 ;
RECT 637.440 10.240 711.840 820.585 ;
RECT 714.240 10.240 788.640 820.585 ;
RECT 791.040 10.240 817.585 820.585 ;
RECT 23.295 2.215 817.585 10.240 ;
END
END user_proj
END LIBRARY