blob: 3b8df5c4548eaa978fd9f650d1779f791ce39113 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_37 unithd 5520 111520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_38 unithd 5520 114240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_39 unithd 5520 116960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_40 unithd 5520 119680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_41 unithd 5520 122400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_42 unithd 5520 125120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_43 unithd 5520 127840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_44 unithd 5520 130560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_45 unithd 5520 133280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_46 unithd 5520 136000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_47 unithd 5520 138720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_48 unithd 5520 141440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_49 unithd 5520 144160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_50 unithd 5520 146880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_51 unithd 5520 149600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_52 unithd 5520 152320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_53 unithd 5520 155040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_54 unithd 5520 157760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_55 unithd 5520 160480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_56 unithd 5520 163200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_57 unithd 5520 165920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_58 unithd 5520 168640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_59 unithd 5520 171360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_60 unithd 5520 174080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_61 unithd 5520 176800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_62 unithd 5520 179520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_63 unithd 5520 182240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_64 unithd 5520 184960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_65 unithd 5520 187680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_66 unithd 5520 190400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_67 unithd 5520 193120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_68 unithd 5520 195840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_69 unithd 5520 198560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_70 unithd 5520 201280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_71 unithd 5520 204000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_72 unithd 5520 206720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_73 unithd 5520 209440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_74 unithd 5520 212160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_75 unithd 5520 214880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_76 unithd 5520 217600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_77 unithd 5520 220320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_78 unithd 5520 223040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_79 unithd 5520 225760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_80 unithd 5520 228480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_81 unithd 5520 231200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_82 unithd 5520 233920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_83 unithd 5520 236640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_84 unithd 5520 239360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_85 unithd 5520 242080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_86 unithd 5520 244800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_87 unithd 5520 247520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_88 unithd 5520 250240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_89 unithd 5520 252960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_90 unithd 5520 255680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_91 unithd 5520 258400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_92 unithd 5520 261120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_93 unithd 5520 263840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_94 unithd 5520 266560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_95 unithd 5520 269280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_96 unithd 5520 272000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_97 unithd 5520 274720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_98 unithd 5520 277440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_99 unithd 5520 280160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_100 unithd 5520 282880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_101 unithd 5520 285600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_102 unithd 5520 288320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_103 unithd 5520 291040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_104 unithd 5520 293760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_105 unithd 5520 296480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_106 unithd 5520 299200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_107 unithd 5520 301920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_108 unithd 5520 304640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_109 unithd 5520 307360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_110 unithd 5520 310080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_111 unithd 5520 312800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_112 unithd 5520 315520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_113 unithd 5520 318240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_114 unithd 5520 320960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_115 unithd 5520 323680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_116 unithd 5520 326400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_117 unithd 5520 329120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_118 unithd 5520 331840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_119 unithd 5520 334560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_120 unithd 5520 337280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_121 unithd 5520 340000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_122 unithd 5520 342720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_123 unithd 5520 345440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_124 unithd 5520 348160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_125 unithd 5520 350880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_126 unithd 5520 353600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_127 unithd 5520 356320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_128 unithd 5520 359040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_129 unithd 5520 361760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_130 unithd 5520 364480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_131 unithd 5520 367200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_132 unithd 5520 369920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_133 unithd 5520 372640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_134 unithd 5520 375360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_135 unithd 5520 378080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_136 unithd 5520 380800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_137 unithd 5520 383520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_138 unithd 5520 386240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_139 unithd 5520 388960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_140 unithd 5520 391680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_141 unithd 5520 394400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_142 unithd 5520 397120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_143 unithd 5520 399840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_144 unithd 5520 402560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_145 unithd 5520 405280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_146 unithd 5520 408000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_147 unithd 5520 410720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_148 unithd 5520 413440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_149 unithd 5520 416160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_150 unithd 5520 418880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_151 unithd 5520 421600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_152 unithd 5520 424320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_153 unithd 5520 427040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_154 unithd 5520 429760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_155 unithd 5520 432480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_156 unithd 5520 435200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_157 unithd 5520 437920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_158 unithd 5520 440640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_159 unithd 5520 443360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_160 unithd 5520 446080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_161 unithd 5520 448800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_162 unithd 5520 451520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_163 unithd 5520 454240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_164 unithd 5520 456960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_165 unithd 5520 459680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_166 unithd 5520 462400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_167 unithd 5520 465120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_168 unithd 5520 467840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_169 unithd 5520 470560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_170 unithd 5520 473280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_171 unithd 5520 476000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_172 unithd 5520 478720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_173 unithd 5520 481440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_174 unithd 5520 484160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_175 unithd 5520 486880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_176 unithd 5520 489600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_177 unithd 5520 492320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_178 unithd 5520 495040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_179 unithd 5520 497760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_180 unithd 5520 500480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_181 unithd 5520 503200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_182 unithd 5520 505920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_183 unithd 5520 508640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_184 unithd 5520 511360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_185 unithd 5520 514080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_186 unithd 5520 516800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_187 unithd 5520 519520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_188 unithd 5520 522240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_189 unithd 5520 524960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_190 unithd 5520 527680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_191 unithd 5520 530400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_192 unithd 5520 533120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_193 unithd 5520 535840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_194 unithd 5520 538560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_195 unithd 5520 541280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_196 unithd 5520 544000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_197 unithd 5520 546720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_198 unithd 5520 549440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_199 unithd 5520 552160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_200 unithd 5520 554880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_201 unithd 5520 557600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_202 unithd 5520 560320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_203 unithd 5520 563040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_204 unithd 5520 565760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_205 unithd 5520 568480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_206 unithd 5520 571200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_207 unithd 5520 573920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_208 unithd 5520 576640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_209 unithd 5520 579360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_210 unithd 5520 582080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_211 unithd 5520 584800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_212 unithd 5520 587520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_213 unithd 5520 590240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_214 unithd 5520 592960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_215 unithd 5520 595680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_216 unithd 5520 598400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_217 unithd 5520 601120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_218 unithd 5520 603840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_219 unithd 5520 606560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_220 unithd 5520 609280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_221 unithd 5520 612000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_222 unithd 5520 614720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_223 unithd 5520 617440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_224 unithd 5520 620160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_225 unithd 5520 622880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_226 unithd 5520 625600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_227 unithd 5520 628320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_228 unithd 5520 631040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_229 unithd 5520 633760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_230 unithd 5520 636480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_231 unithd 5520 639200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_232 unithd 5520 641920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_233 unithd 5520 644640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_234 unithd 5520 647360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_235 unithd 5520 650080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_236 unithd 5520 652800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_237 unithd 5520 655520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_238 unithd 5520 658240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_239 unithd 5520 660960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_240 unithd 5520 663680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_241 unithd 5520 666400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_242 unithd 5520 669120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_243 unithd 5520 671840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_244 unithd 5520 674560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_245 unithd 5520 677280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_246 unithd 5520 680000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_247 unithd 5520 682720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_248 unithd 5520 685440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_249 unithd 5520 688160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_250 unithd 5520 690880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_251 unithd 5520 693600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_252 unithd 5520 696320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_253 unithd 5520 699040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_254 unithd 5520 701760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_255 unithd 5520 704480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_256 unithd 5520 707200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_257 unithd 5520 709920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_258 unithd 5520 712640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_259 unithd 5520 715360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_260 unithd 5520 718080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_261 unithd 5520 720800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_262 unithd 5520 723520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_263 unithd 5520 726240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_264 unithd 5520 728960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_265 unithd 5520 731680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_266 unithd 5520 734400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_267 unithd 5520 737120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_268 unithd 5520 739840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_269 unithd 5520 742560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_270 unithd 5520 745280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_271 unithd 5520 748000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_272 unithd 5520 750720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_273 unithd 5520 753440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_274 unithd 5520 756160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_275 unithd 5520 758880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_276 unithd 5520 761600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_277 unithd 5520 764320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_278 unithd 5520 767040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_279 unithd 5520 769760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_280 unithd 5520 772480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_281 unithd 5520 775200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_282 unithd 5520 777920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_283 unithd 5520 780640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_284 unithd 5520 783360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_285 unithd 5520 786080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_286 unithd 5520 788800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_287 unithd 5520 791520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_288 unithd 5520 794240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_289 unithd 5520 796960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_290 unithd 5520 799680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_291 unithd 5520 802400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_292 unithd 5520 805120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_293 unithd 5520 807840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_294 unithd 5520 810560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_295 unithd 5520 813280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_296 unithd 5520 816000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_297 unithd 5520 818720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_298 unithd 5520 821440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_299 unithd 5520 824160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_300 unithd 5520 826880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_301 unithd 5520 829600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_302 unithd 5520 832320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_303 unithd 5520 835040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_304 unithd 5520 837760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_305 unithd 5520 840480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_306 unithd 5520 843200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_307 unithd 5520 845920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_308 unithd 5520 848640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_309 unithd 5520 851360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_310 unithd 5520 854080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_311 unithd 5520 856800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_312 unithd 5520 859520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_313 unithd 5520 862240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_314 unithd 5520 864960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_315 unithd 5520 867680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_316 unithd 5520 870400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_317 unithd 5520 873120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_318 unithd 5520 875840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_319 unithd 5520 878560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_320 unithd 5520 881280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_321 unithd 5520 884000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_322 unithd 5520 886720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_323 unithd 5520 889440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_324 unithd 5520 892160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_325 unithd 5520 894880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_326 unithd 5520 897600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_327 unithd 5520 900320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_328 unithd 5520 903040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_329 unithd 5520 905760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_330 unithd 5520 908480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_331 unithd 5520 911200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_332 unithd 5520 913920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_333 unithd 5520 916640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_334 unithd 5520 919360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_335 unithd 5520 922080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_336 unithd 5520 924800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_337 unithd 5520 927520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_338 unithd 5520 930240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_339 unithd 5520 932960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_340 unithd 5520 935680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_341 unithd 5520 938400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_342 unithd 5520 941120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_343 unithd 5520 943840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_344 unithd 5520 946560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_345 unithd 5520 949280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_346 unithd 5520 952000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_347 unithd 5520 954720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_348 unithd 5520 957440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_349 unithd 5520 960160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_350 unithd 5520 962880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_351 unithd 5520 965600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_352 unithd 5520 968320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_353 unithd 5520 971040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_354 unithd 5520 973760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_355 unithd 5520 976480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_356 unithd 5520 979200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_357 unithd 5520 981920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_358 unithd 5520 984640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_359 unithd 5520 987360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_360 unithd 5520 990080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_361 unithd 5520 992800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_362 unithd 5520 995520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_363 unithd 5520 998240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_364 unithd 5520 1000960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_365 unithd 5520 1003680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_366 unithd 5520 1006400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_367 unithd 5520 1009120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_368 unithd 5520 1011840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_369 unithd 5520 1014560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_370 unithd 5520 1017280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_371 unithd 5520 1020000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_372 unithd 5520 1022720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_373 unithd 5520 1025440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_374 unithd 5520 1028160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_375 unithd 5520 1030880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_376 unithd 5520 1033600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_377 unithd 5520 1036320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_378 unithd 5520 1039040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_379 unithd 5520 1041760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_380 unithd 5520 1044480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_381 unithd 5520 1047200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_382 unithd 5520 1049920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_383 unithd 5520 1052640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_384 unithd 5520 1055360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_385 unithd 5520 1058080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_386 unithd 5520 1060800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_387 unithd 5520 1063520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_388 unithd 5520 1066240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_389 unithd 5520 1068960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_390 unithd 5520 1071680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_391 unithd 5520 1074400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_392 unithd 5520 1077120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_393 unithd 5520 1079840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_394 unithd 5520 1082560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_395 unithd 5520 1085280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_396 unithd 5520 1088000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_397 unithd 5520 1090720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_398 unithd 5520 1093440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_399 unithd 5520 1096160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_400 unithd 5520 1098880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_401 unithd 5520 1101600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_402 unithd 5520 1104320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_403 unithd 5520 1107040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_404 unithd 5520 1109760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_405 unithd 5520 1112480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_406 unithd 5520 1115200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_407 unithd 5520 1117920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_408 unithd 5520 1120640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_409 unithd 5520 1123360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_410 unithd 5520 1126080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_411 unithd 5520 1128800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_412 unithd 5520 1131520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_413 unithd 5520 1134240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_414 unithd 5520 1136960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_415 unithd 5520 1139680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_416 unithd 5520 1142400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_417 unithd 5520 1145120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_418 unithd 5520 1147840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_419 unithd 5520 1150560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_420 unithd 5520 1153280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_421 unithd 5520 1156000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_422 unithd 5520 1158720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_423 unithd 5520 1161440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_424 unithd 5520 1164160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_425 unithd 5520 1166880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_426 unithd 5520 1169600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_427 unithd 5520 1172320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_428 unithd 5520 1175040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_429 unithd 5520 1177760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_430 unithd 5520 1180480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_431 unithd 5520 1183200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_432 unithd 5520 1185920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_433 unithd 5520 1188640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_434 unithd 5520 1191360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_435 unithd 5520 1194080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_436 unithd 5520 1196800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_437 unithd 5520 1199520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_438 unithd 5520 1202240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_439 unithd 5520 1204960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_440 unithd 5520 1207680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_441 unithd 5520 1210400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_442 unithd 5520 1213120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_443 unithd 5520 1215840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_444 unithd 5520 1218560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_445 unithd 5520 1221280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_446 unithd 5520 1224000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_447 unithd 5520 1226720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_448 unithd 5520 1229440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_449 unithd 5520 1232160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_450 unithd 5520 1234880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_451 unithd 5520 1237600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_452 unithd 5520 1240320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_453 unithd 5520 1243040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_454 unithd 5520 1245760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_455 unithd 5520 1248480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_456 unithd 5520 1251200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_457 unithd 5520 1253920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_458 unithd 5520 1256640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_459 unithd 5520 1259360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_460 unithd 5520 1262080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_461 unithd 5520 1264800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_462 unithd 5520 1267520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_463 unithd 5520 1270240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_464 unithd 5520 1272960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_465 unithd 5520 1275680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_466 unithd 5520 1278400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_467 unithd 5520 1281120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_468 unithd 5520 1283840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_469 unithd 5520 1286560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_470 unithd 5520 1289280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_471 unithd 5520 1292000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_472 unithd 5520 1294720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_473 unithd 5520 1297440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_474 unithd 5520 1300160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_475 unithd 5520 1302880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_476 unithd 5520 1305600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_477 unithd 5520 1308320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_478 unithd 5520 1311040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_479 unithd 5520 1313760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_480 unithd 5520 1316480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_481 unithd 5520 1319200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_482 unithd 5520 1321920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_483 unithd 5520 1324640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_484 unithd 5520 1327360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_485 unithd 5520 1330080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_486 unithd 5520 1332800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_487 unithd 5520 1335520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_488 unithd 5520 1338240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_489 unithd 5520 1340960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_490 unithd 5520 1343680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_491 unithd 5520 1346400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_492 unithd 5520 1349120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_493 unithd 5520 1351840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_494 unithd 5520 1354560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_495 unithd 5520 1357280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_496 unithd 5520 1360000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_497 unithd 5520 1362720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_498 unithd 5520 1365440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_499 unithd 5520 1368160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_500 unithd 5520 1370880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_501 unithd 5520 1373600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_502 unithd 5520 1376320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_503 unithd 5520 1379040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_504 unithd 5520 1381760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_505 unithd 5520 1384480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_506 unithd 5520 1387200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_507 unithd 5520 1389920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_508 unithd 5520 1392640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_509 unithd 5520 1395360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_510 unithd 5520 1398080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_511 unithd 5520 1400800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_512 unithd 5520 1403520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_513 unithd 5520 1406240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_514 unithd 5520 1408960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_515 unithd 5520 1411680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_516 unithd 5520 1414400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_517 unithd 5520 1417120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_518 unithd 5520 1419840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_519 unithd 5520 1422560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_520 unithd 5520 1425280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_521 unithd 5520 1428000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_522 unithd 5520 1430720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_523 unithd 5520 1433440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_524 unithd 5520 1436160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_525 unithd 5520 1438880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_526 unithd 5520 1441600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_527 unithd 5520 1444320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_528 unithd 5520 1447040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_529 unithd 5520 1449760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_530 unithd 5520 1452480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_531 unithd 5520 1455200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_532 unithd 5520 1457920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_533 unithd 5520 1460640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_534 unithd 5520 1463360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_535 unithd 5520 1466080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_536 unithd 5520 1468800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_537 unithd 5520 1471520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_538 unithd 5520 1474240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_539 unithd 5520 1476960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_540 unithd 5520 1479680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_541 unithd 5520 1482400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_542 unithd 5520 1485120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_543 unithd 5520 1487840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_544 unithd 5520 1490560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_545 unithd 5520 1493280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_546 unithd 5520 1496000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_547 unithd 5520 1498720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_548 unithd 5520 1501440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_549 unithd 5520 1504160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_550 unithd 5520 1506880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_551 unithd 5520 1509600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_552 unithd 5520 1512320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_553 unithd 5520 1515040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_554 unithd 5520 1517760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_555 unithd 5520 1520480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_556 unithd 5520 1523200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_557 unithd 5520 1525920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_558 unithd 5520 1528640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_559 unithd 5520 1531360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_560 unithd 5520 1534080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_561 unithd 5520 1536800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_562 unithd 5520 1539520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_563 unithd 5520 1542240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_564 unithd 5520 1544960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_565 unithd 5520 1547680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_566 unithd 5520 1550400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_567 unithd 5520 1553120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_568 unithd 5520 1555840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_569 unithd 5520 1558560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_570 unithd 5520 1561280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_571 unithd 5520 1564000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_572 unithd 5520 1566720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_573 unithd 5520 1569440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_574 unithd 5520 1572160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_575 unithd 5520 1574880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_576 unithd 5520 1577600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_577 unithd 5520 1580320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_578 unithd 5520 1583040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_579 unithd 5520 1585760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_580 unithd 5520 1588480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_581 unithd 5520 1591200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_582 unithd 5520 1593920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_583 unithd 5520 1596640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_584 unithd 5520 1599360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_585 unithd 5520 1602080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_586 unithd 5520 1604800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_587 unithd 5520 1607520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_588 unithd 5520 1610240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_589 unithd 5520 1612960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_590 unithd 5520 1615680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_591 unithd 5520 1618400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_592 unithd 5520 1621120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_593 unithd 5520 1623840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_594 unithd 5520 1626560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_595 unithd 5520 1629280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_596 unithd 5520 1632000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_597 unithd 5520 1634720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_598 unithd 5520 1637440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_599 unithd 5520 1640160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_600 unithd 5520 1642880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_601 unithd 5520 1645600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_602 unithd 5520 1648320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_603 unithd 5520 1651040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_604 unithd 5520 1653760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_605 unithd 5520 1656480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_606 unithd 5520 1659200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_607 unithd 5520 1661920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_608 unithd 5520 1664640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_609 unithd 5520 1667360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_610 unithd 5520 1670080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_611 unithd 5520 1672800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_612 unithd 5520 1675520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_613 unithd 5520 1678240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_614 unithd 5520 1680960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_615 unithd 5520 1683680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_616 unithd 5520 1686400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_617 unithd 5520 1689120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_618 unithd 5520 1691840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_619 unithd 5520 1694560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_620 unithd 5520 1697280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_621 unithd 5520 1700000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_622 unithd 5520 1702720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_623 unithd 5520 1705440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_624 unithd 5520 1708160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_625 unithd 5520 1710880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_626 unithd 5520 1713600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_627 unithd 5520 1716320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_628 unithd 5520 1719040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_629 unithd 5520 1721760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_630 unithd 5520 1724480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_631 unithd 5520 1727200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_632 unithd 5520 1729920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_633 unithd 5520 1732640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_634 unithd 5520 1735360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_635 unithd 5520 1738080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_636 unithd 5520 1740800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_637 unithd 5520 1743520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_638 unithd 5520 1746240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_639 unithd 5520 1748960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_640 unithd 5520 1751680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_641 unithd 5520 1754400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_642 unithd 5520 1757120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_643 unithd 5520 1759840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_644 unithd 5520 1762560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_645 unithd 5520 1765280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_646 unithd 5520 1768000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_647 unithd 5520 1770720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_648 unithd 5520 1773440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_649 unithd 5520 1776160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_650 unithd 5520 1778880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_651 unithd 5520 1781600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_652 unithd 5520 1784320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_653 unithd 5520 1787040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_654 unithd 5520 1789760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_655 unithd 5520 1792480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_656 unithd 5520 1795200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_657 unithd 5520 1797920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_658 unithd 5520 1800640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_659 unithd 5520 1803360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_660 unithd 5520 1806080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_661 unithd 5520 1808800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_662 unithd 5520 1811520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_663 unithd 5520 1814240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_664 unithd 5520 1816960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_665 unithd 5520 1819680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_666 unithd 5520 1822400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_667 unithd 5520 1825120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_668 unithd 5520 1827840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_669 unithd 5520 1830560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_670 unithd 5520 1833280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_671 unithd 5520 1836000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_672 unithd 5520 1838720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_673 unithd 5520 1841440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_674 unithd 5520 1844160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_675 unithd 5520 1846880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_676 unithd 5520 1849600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_677 unithd 5520 1852320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_678 unithd 5520 1855040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_679 unithd 5520 1857760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_680 unithd 5520 1860480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_681 unithd 5520 1863200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_682 unithd 5520 1865920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_683 unithd 5520 1868640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_684 unithd 5520 1871360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_685 unithd 5520 1874080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_686 unithd 5520 1876800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_687 unithd 5520 1879520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_688 unithd 5520 1882240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_689 unithd 5520 1884960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_690 unithd 5520 1887680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_691 unithd 5520 1890400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_692 unithd 5520 1893120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_693 unithd 5520 1895840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_694 unithd 5520 1898560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_695 unithd 5520 1901280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_696 unithd 5520 1904000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_697 unithd 5520 1906720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_698 unithd 5520 1909440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_699 unithd 5520 1912160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_700 unithd 5520 1914880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_701 unithd 5520 1917600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_702 unithd 5520 1920320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_703 unithd 5520 1923040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_704 unithd 5520 1925760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_705 unithd 5520 1928480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_706 unithd 5520 1931200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_707 unithd 5520 1933920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_708 unithd 5520 1936640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_709 unithd 5520 1939360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_710 unithd 5520 1942080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_711 unithd 5520 1944800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_712 unithd 5520 1947520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_713 unithd 5520 1950240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_714 unithd 5520 1952960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_715 unithd 5520 1955680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_716 unithd 5520 1958400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_717 unithd 5520 1961120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_718 unithd 5520 1963840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_719 unithd 5520 1966560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_720 unithd 5520 1969280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_721 unithd 5520 1972000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_722 unithd 5520 1974720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_723 unithd 5520 1977440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_724 unithd 5520 1980160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_725 unithd 5520 1982880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_726 unithd 5520 1985600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_727 unithd 5520 1988320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_728 unithd 5520 1991040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_729 unithd 5520 1993760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_730 unithd 5520 1996480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_731 unithd 5520 1999200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_732 unithd 5520 2001920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_733 unithd 5520 2004640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_734 unithd 5520 2007360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_735 unithd 5520 2010080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_736 unithd 5520 2012800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_737 unithd 5520 2015520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_738 unithd 5520 2018240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_739 unithd 5520 2020960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_740 unithd 5520 2023680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_741 unithd 5520 2026400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_742 unithd 5520 2029120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_743 unithd 5520 2031840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_744 unithd 5520 2034560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_745 unithd 5520 2037280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_746 unithd 5520 2040000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_747 unithd 5520 2042720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_748 unithd 5520 2045440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_749 unithd 5520 2048160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_750 unithd 5520 2050880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_751 unithd 5520 2053600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_752 unithd 5520 2056320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_753 unithd 5520 2059040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_754 unithd 5520 2061760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_755 unithd 5520 2064480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_756 unithd 5520 2067200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_757 unithd 5520 2069920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_758 unithd 5520 2072640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_759 unithd 5520 2075360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_760 unithd 5520 2078080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_761 unithd 5520 2080800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_762 unithd 5520 2083520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_763 unithd 5520 2086240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_764 unithd 5520 2088960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_765 unithd 5520 2091680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_766 unithd 5520 2094400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_767 unithd 5520 2097120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_768 unithd 5520 2099840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_769 unithd 5520 2102560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_770 unithd 5520 2105280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_771 unithd 5520 2108000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_772 unithd 5520 2110720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_773 unithd 5520 2113440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_774 unithd 5520 2116160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_775 unithd 5520 2118880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_776 unithd 5520 2121600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_777 unithd 5520 2124320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_778 unithd 5520 2127040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_779 unithd 5520 2129760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_780 unithd 5520 2132480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_781 unithd 5520 2135200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_782 unithd 5520 2137920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_783 unithd 5520 2140640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_784 unithd 5520 2143360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_785 unithd 5520 2146080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_786 unithd 5520 2148800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_787 unithd 5520 2151520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_788 unithd 5520 2154240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_789 unithd 5520 2156960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_790 unithd 5520 2159680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_791 unithd 5520 2162400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_792 unithd 5520 2165120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_793 unithd 5520 2167840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_794 unithd 5520 2170560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_795 unithd 5520 2173280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_796 unithd 5520 2176000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_797 unithd 5520 2178720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_798 unithd 5520 2181440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_799 unithd 5520 2184160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_800 unithd 5520 2186880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_801 unithd 5520 2189600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_802 unithd 5520 2192320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_803 unithd 5520 2195040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_804 unithd 5520 2197760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_805 unithd 5520 2200480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_806 unithd 5520 2203200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_807 unithd 5520 2205920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_808 unithd 5520 2208640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_809 unithd 5520 2211360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_810 unithd 5520 2214080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_811 unithd 5520 2216800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_812 unithd 5520 2219520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_813 unithd 5520 2222240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_814 unithd 5520 2224960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_815 unithd 5520 2227680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_816 unithd 5520 2230400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_817 unithd 5520 2233120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_818 unithd 5520 2235840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_819 unithd 5520 2238560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_820 unithd 5520 2241280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_821 unithd 5520 2244000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_822 unithd 5520 2246720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_823 unithd 5520 2249440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_824 unithd 5520 2252160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_825 unithd 5520 2254880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_826 unithd 5520 2257600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_827 unithd 5520 2260320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_828 unithd 5520 2263040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_829 unithd 5520 2265760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_830 unithd 5520 2268480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_831 unithd 5520 2271200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_832 unithd 5520 2273920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_833 unithd 5520 2276640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_834 unithd 5520 2279360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_835 unithd 5520 2282080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_836 unithd 5520 2284800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_837 unithd 5520 2287520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_838 unithd 5520 2290240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_839 unithd 5520 2292960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_840 unithd 5520 2295680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_841 unithd 5520 2298400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_842 unithd 5520 2301120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_843 unithd 5520 2303840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_844 unithd 5520 2306560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_845 unithd 5520 2309280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_846 unithd 5520 2312000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_847 unithd 5520 2314720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_848 unithd 5520 2317440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_849 unithd 5520 2320160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_850 unithd 5520 2322880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_851 unithd 5520 2325600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_852 unithd 5520 2328320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_853 unithd 5520 2331040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_854 unithd 5520 2333760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_855 unithd 5520 2336480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_856 unithd 5520 2339200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_857 unithd 5520 2341920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_858 unithd 5520 2344640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_859 unithd 5520 2347360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_860 unithd 5520 2350080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_861 unithd 5520 2352800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_862 unithd 5520 2355520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_863 unithd 5520 2358240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_864 unithd 5520 2360960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_865 unithd 5520 2363680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_866 unithd 5520 2366400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_867 unithd 5520 2369120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_868 unithd 5520 2371840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_869 unithd 5520 2374560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_870 unithd 5520 2377280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_871 unithd 5520 2380000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_872 unithd 5520 2382720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_873 unithd 5520 2385440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_874 unithd 5520 2388160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_875 unithd 5520 2390880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_876 unithd 5520 2393600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_877 unithd 5520 2396320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_878 unithd 5520 2399040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_879 unithd 5520 2401760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_880 unithd 5520 2404480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_881 unithd 5520 2407200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_882 unithd 5520 2409920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_883 unithd 5520 2412640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_884 unithd 5520 2415360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_885 unithd 5520 2418080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_886 unithd 5520 2420800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_887 unithd 5520 2423520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_888 unithd 5520 2426240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_889 unithd 5520 2428960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_890 unithd 5520 2431680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_891 unithd 5520 2434400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_892 unithd 5520 2437120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_893 unithd 5520 2439840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_894 unithd 5520 2442560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_895 unithd 5520 2445280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_896 unithd 5520 2448000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_897 unithd 5520 2450720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_898 unithd 5520 2453440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_899 unithd 5520 2456160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_900 unithd 5520 2458880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_901 unithd 5520 2461600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_902 unithd 5520 2464320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_903 unithd 5520 2467040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_904 unithd 5520 2469760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_905 unithd 5520 2472480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_906 unithd 5520 2475200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_907 unithd 5520 2477920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_908 unithd 5520 2480640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_909 unithd 5520 2483360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_910 unithd 5520 2486080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_911 unithd 5520 2488800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_912 unithd 5520 2491520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_913 unithd 5520 2494240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_914 unithd 5520 2496960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_915 unithd 5520 2499680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_916 unithd 5520 2502400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_917 unithd 5520 2505120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_918 unithd 5520 2507840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_919 unithd 5520 2510560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_920 unithd 5520 2513280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_921 unithd 5520 2516000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_922 unithd 5520 2518720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_923 unithd 5520 2521440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_924 unithd 5520 2524160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_925 unithd 5520 2526880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_926 unithd 5520 2529600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_927 unithd 5520 2532320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_928 unithd 5520 2535040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_929 unithd 5520 2537760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_930 unithd 5520 2540480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_931 unithd 5520 2543200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_932 unithd 5520 2545920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_933 unithd 5520 2548640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_934 unithd 5520 2551360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_935 unithd 5520 2554080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_936 unithd 5520 2556800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_937 unithd 5520 2559520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_938 unithd 5520 2562240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_939 unithd 5520 2564960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_940 unithd 5520 2567680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_941 unithd 5520 2570400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_942 unithd 5520 2573120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_943 unithd 5520 2575840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_944 unithd 5520 2578560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_945 unithd 5520 2581280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_946 unithd 5520 2584000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_947 unithd 5520 2586720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_948 unithd 5520 2589440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_949 unithd 5520 2592160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_950 unithd 5520 2594880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_951 unithd 5520 2597600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_952 unithd 5520 2600320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_953 unithd 5520 2603040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_954 unithd 5520 2605760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_955 unithd 5520 2608480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_956 unithd 5520 2611200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_957 unithd 5520 2613920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_958 unithd 5520 2616640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_959 unithd 5520 2619360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_960 unithd 5520 2622080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_961 unithd 5520 2624800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_962 unithd 5520 2627520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_963 unithd 5520 2630240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_964 unithd 5520 2632960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_965 unithd 5520 2635680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_966 unithd 5520 2638400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_967 unithd 5520 2641120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_968 unithd 5520 2643840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_969 unithd 5520 2646560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_970 unithd 5520 2649280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_971 unithd 5520 2652000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_972 unithd 5520 2654720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_973 unithd 5520 2657440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_974 unithd 5520 2660160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_975 unithd 5520 2662880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_976 unithd 5520 2665600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_977 unithd 5520 2668320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_978 unithd 5520 2671040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_979 unithd 5520 2673760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_980 unithd 5520 2676480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_981 unithd 5520 2679200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_982 unithd 5520 2681920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_983 unithd 5520 2684640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_984 unithd 5520 2687360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_985 unithd 5520 2690080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_986 unithd 5520 2692800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_987 unithd 5520 2695520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_988 unithd 5520 2698240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_989 unithd 5520 2700960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_990 unithd 5520 2703680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_991 unithd 5520 2706400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_992 unithd 5520 2709120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_993 unithd 5520 2711840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_994 unithd 5520 2714560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_995 unithd 5520 2717280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_996 unithd 5520 2720000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_997 unithd 5520 2722720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_998 unithd 5520 2725440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_999 unithd 5520 2728160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1000 unithd 5520 2730880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1001 unithd 5520 2733600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1002 unithd 5520 2736320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1003 unithd 5520 2739040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1004 unithd 5520 2741760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1005 unithd 5520 2744480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1006 unithd 5520 2747200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1007 unithd 5520 2749920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1008 unithd 5520 2752640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1009 unithd 5520 2755360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1010 unithd 5520 2758080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1011 unithd 5520 2760800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1012 unithd 5520 2763520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1013 unithd 5520 2766240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1014 unithd 5520 2768960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1015 unithd 5520 2771680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1016 unithd 5520 2774400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1017 unithd 5520 2777120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1018 unithd 5520 2779840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1019 unithd 5520 2782560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1020 unithd 5520 2785280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1021 unithd 5520 2788000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1022 unithd 5520 2790720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1023 unithd 5520 2793440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1024 unithd 5520 2796160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1025 unithd 5520 2798880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1026 unithd 5520 2801600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1027 unithd 5520 2804320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1028 unithd 5520 2807040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1029 unithd 5520 2809760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1030 unithd 5520 2812480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1031 unithd 5520 2815200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1032 unithd 5520 2817920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1033 unithd 5520 2820640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1034 unithd 5520 2823360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1035 unithd 5520 2826080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1036 unithd 5520 2828800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1037 unithd 5520 2831520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1038 unithd 5520 2834240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1039 unithd 5520 2836960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1040 unithd 5520 2839680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1041 unithd 5520 2842400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1042 unithd 5520 2845120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1043 unithd 5520 2847840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1044 unithd 5520 2850560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1045 unithd 5520 2853280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1046 unithd 5520 2856000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1047 unithd 5520 2858720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1048 unithd 5520 2861440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1049 unithd 5520 2864160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1050 unithd 5520 2866880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1051 unithd 5520 2869600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1052 unithd 5520 2872320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1053 unithd 5520 2875040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1054 unithd 5520 2877760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1055 unithd 5520 2880480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1056 unithd 5520 2883200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1057 unithd 5520 2885920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1058 unithd 5520 2888640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1059 unithd 5520 2891360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1060 unithd 5520 2894080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1061 unithd 5520 2896800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1062 unithd 5520 2899520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1063 unithd 5520 2902240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1064 unithd 5520 2904960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1065 unithd 5520 2907680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1066 unithd 5520 2910400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1067 unithd 5520 2913120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1068 unithd 5520 2915840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1069 unithd 5520 2918560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1070 unithd 5520 2921280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1071 unithd 5520 2924000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1072 unithd 5520 2926720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1073 unithd 5520 2929440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1074 unithd 5520 2932160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1075 unithd 5520 2934880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1076 unithd 5520 2937600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1077 unithd 5520 2940320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1078 unithd 5520 2943040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1079 unithd 5520 2945760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1080 unithd 5520 2948480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1081 unithd 5520 2951200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1082 unithd 5520 2953920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1083 unithd 5520 2956640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1084 unithd 5520 2959360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1085 unithd 5520 2962080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1086 unithd 5520 2964800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1087 unithd 5520 2967520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1088 unithd 5520 2970240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1089 unithd 5520 2972960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1090 unithd 5520 2975680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1091 unithd 5520 2978400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1092 unithd 5520 2981120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1093 unithd 5520 2983840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1094 unithd 5520 2986560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1095 unithd 5520 2989280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1096 unithd 5520 2992000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1097 unithd 5520 2994720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1098 unithd 5520 2997440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1099 unithd 5520 3000160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1100 unithd 5520 3002880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1101 unithd 5520 3005600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1102 unithd 5520 3008320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1103 unithd 5520 3011040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1104 unithd 5520 3013760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1105 unithd 5520 3016480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1106 unithd 5520 3019200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1107 unithd 5520 3021920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1108 unithd 5520 3024640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1109 unithd 5520 3027360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1110 unithd 5520 3030080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1111 unithd 5520 3032800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1112 unithd 5520 3035520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1113 unithd 5520 3038240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1114 unithd 5520 3040960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1115 unithd 5520 3043680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1116 unithd 5520 3046400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1117 unithd 5520 3049120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1118 unithd 5520 3051840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1119 unithd 5520 3054560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1120 unithd 5520 3057280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1121 unithd 5520 3060000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1122 unithd 5520 3062720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1123 unithd 5520 3065440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1124 unithd 5520 3068160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1125 unithd 5520 3070880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1126 unithd 5520 3073600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1127 unithd 5520 3076320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1128 unithd 5520 3079040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1129 unithd 5520 3081760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1130 unithd 5520 3084480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1131 unithd 5520 3087200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1132 unithd 5520 3089920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1133 unithd 5520 3092640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1134 unithd 5520 3095360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1135 unithd 5520 3098080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1136 unithd 5520 3100800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1137 unithd 5520 3103520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1138 unithd 5520 3106240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1139 unithd 5520 3108960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1140 unithd 5520 3111680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1141 unithd 5520 3114400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1142 unithd 5520 3117120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1143 unithd 5520 3119840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1144 unithd 5520 3122560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1145 unithd 5520 3125280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1146 unithd 5520 3128000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1147 unithd 5520 3130720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1148 unithd 5520 3133440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1149 unithd 5520 3136160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1150 unithd 5520 3138880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1151 unithd 5520 3141600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1152 unithd 5520 3144320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1153 unithd 5520 3147040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1154 unithd 5520 3149760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1155 unithd 5520 3152480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1156 unithd 5520 3155200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1157 unithd 5520 3157920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1158 unithd 5520 3160640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1159 unithd 5520 3163360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1160 unithd 5520 3166080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1161 unithd 5520 3168800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1162 unithd 5520 3171520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1163 unithd 5520 3174240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1164 unithd 5520 3176960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1165 unithd 5520 3179680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1166 unithd 5520 3182400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1167 unithd 5520 3185120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1168 unithd 5520 3187840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1169 unithd 5520 3190560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1170 unithd 5520 3193280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1171 unithd 5520 3196000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1172 unithd 5520 3198720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1173 unithd 5520 3201440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1174 unithd 5520 3204160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1175 unithd 5520 3206880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1176 unithd 5520 3209600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1177 unithd 5520 3212320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1178 unithd 5520 3215040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1179 unithd 5520 3217760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1180 unithd 5520 3220480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1181 unithd 5520 3223200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1182 unithd 5520 3225920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1183 unithd 5520 3228640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1184 unithd 5520 3231360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1185 unithd 5520 3234080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1186 unithd 5520 3236800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1187 unithd 5520 3239520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1188 unithd 5520 3242240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1189 unithd 5520 3244960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1190 unithd 5520 3247680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1191 unithd 5520 3250400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1192 unithd 5520 3253120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1193 unithd 5520 3255840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1194 unithd 5520 3258560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1195 unithd 5520 3261280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1196 unithd 5520 3264000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1197 unithd 5520 3266720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1198 unithd 5520 3269440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1199 unithd 5520 3272160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1200 unithd 5520 3274880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1201 unithd 5520 3277600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1202 unithd 5520 3280320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1203 unithd 5520 3283040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1204 unithd 5520 3285760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1205 unithd 5520 3288480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1206 unithd 5520 3291200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1207 unithd 5520 3293920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1208 unithd 5520 3296640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1209 unithd 5520 3299360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1210 unithd 5520 3302080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1211 unithd 5520 3304800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1212 unithd 5520 3307520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1213 unithd 5520 3310240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1214 unithd 5520 3312960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1215 unithd 5520 3315680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1216 unithd 5520 3318400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1217 unithd 5520 3321120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1218 unithd 5520 3323840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1219 unithd 5520 3326560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1220 unithd 5520 3329280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1221 unithd 5520 3332000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1222 unithd 5520 3334720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1223 unithd 5520 3337440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1224 unithd 5520 3340160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1225 unithd 5520 3342880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1226 unithd 5520 3345600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1227 unithd 5520 3348320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1228 unithd 5520 3351040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1229 unithd 5520 3353760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1230 unithd 5520 3356480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1231 unithd 5520 3359200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1232 unithd 5520 3361920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1233 unithd 5520 3364640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1234 unithd 5520 3367360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1235 unithd 5520 3370080 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1236 unithd 5520 3372800 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1237 unithd 5520 3375520 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1238 unithd 5520 3378240 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1239 unithd 5520 3380960 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1240 unithd 5520 3383680 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1241 unithd 5520 3386400 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1242 unithd 5520 3389120 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1243 unithd 5520 3391840 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1244 unithd 5520 3394560 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1245 unithd 5520 3397280 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1246 unithd 5520 3400000 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1247 unithd 5520 3402720 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1248 unithd 5520 3405440 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1249 unithd 5520 3408160 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1250 unithd 5520 3410880 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1251 unithd 5520 3413600 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1252 unithd 5520 3416320 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1253 unithd 5520 3419040 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1254 unithd 5520 3421760 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1255 unithd 5520 3424480 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1256 unithd 5520 3427200 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1257 unithd 5520 3429920 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1258 unithd 5520 3432640 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1259 unithd 5520 3435360 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1260 unithd 5520 3438080 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1261 unithd 5520 3440800 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1262 unithd 5520 3443520 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1263 unithd 5520 3446240 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1264 unithd 5520 3448960 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1265 unithd 5520 3451680 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1266 unithd 5520 3454400 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1267 unithd 5520 3457120 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1268 unithd 5520 3459840 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1269 unithd 5520 3462560 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1270 unithd 5520 3465280 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1271 unithd 5520 3468000 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1272 unithd 5520 3470720 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1273 unithd 5520 3473440 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1274 unithd 5520 3476160 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1275 unithd 5520 3478880 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1276 unithd 5520 3481600 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1277 unithd 5520 3484320 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1278 unithd 5520 3487040 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1279 unithd 5520 3489760 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1280 unithd 5520 3492480 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1281 unithd 5520 3495200 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1282 unithd 5520 3497920 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1283 unithd 5520 3500640 FS DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1284 unithd 5520 3503360 N DO 6323 BY 1 STEP 460 0 ;
ROW ROW_1285 unithd 5520 3506080 FS DO 6323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
GCELLGRID X 0 DO 423 STEP 6900 ;
GCELLGRID Y 0 DO 511 STEP 6900 ;
VIAS 3 ;
- via4_3100x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 350 350 350 350 + ROWCOL 2 2 ;
- via4_1600x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 350 400 350 + ROWCOL 2 1 ;
- via4_1740x3100 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 470 350 470 350 + ROWCOL 2 1 ;
END VIAS
COMPONENTS 3 ;
- mprj user_proj + FIXED ( 300000 300000 ) N ;
- sram sky130_sram_2kbyte_1rw1r_32x512_8 + FIXED ( 300000 2100000 ) N ;
- sram1 sky130_sram_2kbyte_1rw1r_32x512_8 + FIXED ( 1100000 2100000 ) N ;
END COMPONENTS
PINS 645 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1426980 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3486700 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3225580 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2965140 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1692860 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2704020 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2443580 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2183140 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1922020 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1661580 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1140020 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 879580 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 618460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1958740 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2223940 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2489820 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2755700 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3286780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 32980 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2290580 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2556460 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2821660 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3087540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3353420 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 231540 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3421420 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3160300 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2899860 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2639420 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2378300 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2117860 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 430780 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1856740 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1596300 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1335860 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1074740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 814300 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 553180 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 358020 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 162180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 630020 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 829260 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1028500 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1227740 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1493620 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1759500 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024700 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 165580 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2423180 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2689060 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2954940 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3220140 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3486020 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 364820 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3290860 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3030420 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2769300 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2508860 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2247740 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1987300 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 564060 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1726860 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1465740 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1205300 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 944180 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 683740 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 423300 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 227460 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 32300 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 763300 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 962540 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1161780 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1361020 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1626220 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1892100 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2157980 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 98940 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2357220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2622420 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2888300 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3154180 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3419380 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 298180 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3356140 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3095700 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2834580 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2574140 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2313020 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2052580 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 497420 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1792140 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1531020 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1270580 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1009460 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 749020 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 487900 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 292740 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 96900 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 696660 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 895900 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1095140 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1294380 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1560260 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1825460 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2091340 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 629510 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2402810 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2420290 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2438230 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2455710 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2473650 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2491130 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2509070 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2527010 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2544490 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2562430 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 806610 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2579910 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2597850 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2615330 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2633270 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2650750 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2668690 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2686170 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2704110 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2722050 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2739530 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 824550 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2757470 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774950 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2792890 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2810370 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2828310 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845790 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863730 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881670 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 842030 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859970 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 877450 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 895390 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930810 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948750 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 646990 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1001650 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037070 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055010 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1072490 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090430 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1107910 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1125850 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1143790 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 664930 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1161270 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1179210 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1196690 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1214630 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1232110 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1250050 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1267530 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1285470 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1303410 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1320890 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 682410 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338830 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1356310 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1374250 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1391730 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1409670 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1427150 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1445090 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1463030 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1480510 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1498450 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 700350 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1515930 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1533870 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1551350 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1569290 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1586770 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1604710 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1622190 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1640130 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1658070 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1675550 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 717830 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1693490 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1710970 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1728910 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1746390 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1764330 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1781810 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1799750 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1817690 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1835170 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1853110 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 735770 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870590 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888530 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923950 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1976850 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1994790 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030210 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 753250 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048150 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2065630 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2083570 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101050 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2118990 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2136470 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2154410 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2172350 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2189830 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2207770 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 771190 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2225250 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2243190 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2260670 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2278610 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2296090 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2314030 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2331510 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2349450 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2367390 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2384870 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 789130 -1200 ) N ;
- la_data_out[0] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 635030 -1200 ) N ;
- la_data_out[100] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2408790 -1200 ) N ;
- la_data_out[101] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2426270 -1200 ) N ;
- la_data_out[102] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2444210 -1200 ) N ;
- la_data_out[103] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2461690 -1200 ) N ;
- la_data_out[104] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2479630 -1200 ) N ;
- la_data_out[105] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2497110 -1200 ) N ;
- la_data_out[106] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2515050 -1200 ) N ;
- la_data_out[107] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2532530 -1200 ) N ;
- la_data_out[108] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2550470 -1200 ) N ;
- la_data_out[109] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2567950 -1200 ) N ;
- la_data_out[10] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 812590 -1200 ) N ;
- la_data_out[110] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2585890 -1200 ) N ;
- la_data_out[111] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2603830 -1200 ) N ;
- la_data_out[112] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2621310 -1200 ) N ;
- la_data_out[113] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2639250 -1200 ) N ;
- la_data_out[114] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2656730 -1200 ) N ;
- la_data_out[115] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2674670 -1200 ) N ;
- la_data_out[116] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2692150 -1200 ) N ;
- la_data_out[117] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2710090 -1200 ) N ;
- la_data_out[118] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2727570 -1200 ) N ;
- la_data_out[119] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2745510 -1200 ) N ;
- la_data_out[11] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 830530 -1200 ) N ;
- la_data_out[120] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2763450 -1200 ) N ;
- la_data_out[121] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780930 -1200 ) N ;
- la_data_out[122] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798870 -1200 ) N ;
- la_data_out[123] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2816350 -1200 ) N ;
- la_data_out[124] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2834290 -1200 ) N ;
- la_data_out[125] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851770 -1200 ) N ;
- la_data_out[126] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869710 -1200 ) N ;
- la_data_out[127] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[12] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 848010 -1200 ) N ;
- la_data_out[13] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865950 -1200 ) N ;
- la_data_out[14] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 883430 -1200 ) N ;
- la_data_out[15] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 901370 -1200 ) N ;
- la_data_out[16] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_out[17] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936790 -1200 ) N ;
- la_data_out[18] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_out[19] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_out[1] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 652970 -1200 ) N ;
- la_data_out[20] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 989690 -1200 ) N ;
- la_data_out[21] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_out[22] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_out[23] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043050 -1200 ) N ;
- la_data_out[24] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1060990 -1200 ) N ;
- la_data_out[25] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1078470 -1200 ) N ;
- la_data_out[26] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096410 -1200 ) N ;
- la_data_out[27] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1113890 -1200 ) N ;
- la_data_out[28] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1131830 -1200 ) N ;
- la_data_out[29] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1149310 -1200 ) N ;
- la_data_out[2] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 670910 -1200 ) N ;
- la_data_out[30] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1167250 -1200 ) N ;
- la_data_out[31] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1185190 -1200 ) N ;
- la_data_out[32] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1202670 -1200 ) N ;
- la_data_out[33] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1220610 -1200 ) N ;
- la_data_out[34] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1238090 -1200 ) N ;
- la_data_out[35] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1256030 -1200 ) N ;
- la_data_out[36] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1273510 -1200 ) N ;
- la_data_out[37] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1291450 -1200 ) N ;
- la_data_out[38] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1308930 -1200 ) N ;
- la_data_out[39] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1326870 -1200 ) N ;
- la_data_out[3] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 688390 -1200 ) N ;
- la_data_out[40] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1344350 -1200 ) N ;
- la_data_out[41] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1362290 -1200 ) N ;
- la_data_out[42] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1380230 -1200 ) N ;
- la_data_out[43] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1397710 -1200 ) N ;
- la_data_out[44] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1415650 -1200 ) N ;
- la_data_out[45] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1433130 -1200 ) N ;
- la_data_out[46] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1451070 -1200 ) N ;
- la_data_out[47] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1468550 -1200 ) N ;
- la_data_out[48] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1486490 -1200 ) N ;
- la_data_out[49] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1503970 -1200 ) N ;
- la_data_out[4] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 706330 -1200 ) N ;
- la_data_out[50] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1521910 -1200 ) N ;
- la_data_out[51] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1539850 -1200 ) N ;
- la_data_out[52] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1557330 -1200 ) N ;
- la_data_out[53] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1575270 -1200 ) N ;
- la_data_out[54] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1592750 -1200 ) N ;
- la_data_out[55] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1610690 -1200 ) N ;
- la_data_out[56] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1628170 -1200 ) N ;
- la_data_out[57] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1646110 -1200 ) N ;
- la_data_out[58] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1663590 -1200 ) N ;
- la_data_out[59] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1681530 -1200 ) N ;
- la_data_out[5] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 723810 -1200 ) N ;
- la_data_out[60] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1699470 -1200 ) N ;
- la_data_out[61] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1716950 -1200 ) N ;
- la_data_out[62] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1734890 -1200 ) N ;
- la_data_out[63] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1752370 -1200 ) N ;
- la_data_out[64] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1770310 -1200 ) N ;
- la_data_out[65] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1787790 -1200 ) N ;
- la_data_out[66] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1805730 -1200 ) N ;
- la_data_out[67] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1823210 -1200 ) N ;
- la_data_out[68] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1841150 -1200 ) N ;
- la_data_out[69] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858630 -1200 ) N ;
- la_data_out[6] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 741750 -1200 ) N ;
- la_data_out[70] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876570 -1200 ) N ;
- la_data_out[71] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894510 -1200 ) N ;
- la_data_out[72] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_data_out[73] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929930 -1200 ) N ;
- la_data_out[74] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_data_out[75] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_data_out[76] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1982830 -1200 ) N ;
- la_data_out[77] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2000770 -1200 ) N ;
- la_data_out[78] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018250 -1200 ) N ;
- la_data_out[79] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036190 -1200 ) N ;
- la_data_out[7] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 759230 -1200 ) N ;
- la_data_out[80] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054130 -1200 ) N ;
- la_data_out[81] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2071610 -1200 ) N ;
- la_data_out[82] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2089550 -1200 ) N ;
- la_data_out[83] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107030 -1200 ) N ;
- la_data_out[84] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2124970 -1200 ) N ;
- la_data_out[85] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2142450 -1200 ) N ;
- la_data_out[86] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2160390 -1200 ) N ;
- la_data_out[87] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2177870 -1200 ) N ;
- la_data_out[88] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2195810 -1200 ) N ;
- la_data_out[89] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2213290 -1200 ) N ;
- la_data_out[8] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 777170 -1200 ) N ;
- la_data_out[90] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2231230 -1200 ) N ;
- la_data_out[91] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2249170 -1200 ) N ;
- la_data_out[92] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2266650 -1200 ) N ;
- la_data_out[93] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2284590 -1200 ) N ;
- la_data_out[94] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2302070 -1200 ) N ;
- la_data_out[95] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2320010 -1200 ) N ;
- la_data_out[96] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2337490 -1200 ) N ;
- la_data_out[97] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2355430 -1200 ) N ;
- la_data_out[98] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2372910 -1200 ) N ;
- la_data_out[99] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2390850 -1200 ) N ;
- la_data_out[9] + NET zero_ + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 794650 -1200 ) N ;
- la_oenb[0] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 641010 -1200 ) N ;
- la_oenb[100] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2414310 -1200 ) N ;
- la_oenb[101] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2432250 -1200 ) N ;
- la_oenb[102] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2449730 -1200 ) N ;
- la_oenb[103] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2467670 -1200 ) N ;
- la_oenb[104] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2485610 -1200 ) N ;
- la_oenb[105] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2503090 -1200 ) N ;
- la_oenb[106] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2521030 -1200 ) N ;
- la_oenb[107] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2538510 -1200 ) N ;
- la_oenb[108] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2556450 -1200 ) N ;
- la_oenb[109] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2573930 -1200 ) N ;
- la_oenb[10] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 818570 -1200 ) N ;
- la_oenb[110] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2591870 -1200 ) N ;
- la_oenb[111] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2609350 -1200 ) N ;
- la_oenb[112] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2627290 -1200 ) N ;
- la_oenb[113] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2645230 -1200 ) N ;
- la_oenb[114] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2662710 -1200 ) N ;
- la_oenb[115] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2680650 -1200 ) N ;
- la_oenb[116] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2698130 -1200 ) N ;
- la_oenb[117] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2716070 -1200 ) N ;
- la_oenb[118] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2733550 -1200 ) N ;
- la_oenb[119] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2751490 -1200 ) N ;
- la_oenb[11] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 836050 -1200 ) N ;
- la_oenb[120] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768970 -1200 ) N ;
- la_oenb[121] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2786910 -1200 ) N ;
- la_oenb[122] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2804390 -1200 ) N ;
- la_oenb[123] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2822330 -1200 ) N ;
- la_oenb[124] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2840270 -1200 ) N ;
- la_oenb[125] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857750 -1200 ) N ;
- la_oenb[126] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875690 -1200 ) N ;
- la_oenb[127] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oenb[12] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853990 -1200 ) N ;
- la_oenb[13] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871470 -1200 ) N ;
- la_oenb[14] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 889410 -1200 ) N ;
- la_oenb[15] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 907350 -1200 ) N ;
- la_oenb[16] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924830 -1200 ) N ;
- la_oenb[17] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942770 -1200 ) N ;
- la_oenb[18] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_oenb[19] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_oenb[1] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 658950 -1200 ) N ;
- la_oenb[20] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 995670 -1200 ) N ;
- la_oenb[21] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_oenb[22] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031090 -1200 ) N ;
- la_oenb[23] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049030 -1200 ) N ;
- la_oenb[24] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1066970 -1200 ) N ;
- la_oenb[25] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1084450 -1200 ) N ;
- la_oenb[26] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102390 -1200 ) N ;
- la_oenb[27] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1119870 -1200 ) N ;
- la_oenb[28] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1137810 -1200 ) N ;
- la_oenb[29] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1155290 -1200 ) N ;
- la_oenb[2] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 676430 -1200 ) N ;
- la_oenb[30] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1173230 -1200 ) N ;
- la_oenb[31] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1190710 -1200 ) N ;
- la_oenb[32] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1208650 -1200 ) N ;
- la_oenb[33] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1226130 -1200 ) N ;
- la_oenb[34] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1244070 -1200 ) N ;
- la_oenb[35] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1262010 -1200 ) N ;
- la_oenb[36] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1279490 -1200 ) N ;
- la_oenb[37] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1297430 -1200 ) N ;
- la_oenb[38] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1314910 -1200 ) N ;
- la_oenb[39] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1332850 -1200 ) N ;
- la_oenb[3] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 694370 -1200 ) N ;
- la_oenb[40] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1350330 -1200 ) N ;
- la_oenb[41] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1368270 -1200 ) N ;
- la_oenb[42] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1385750 -1200 ) N ;
- la_oenb[43] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1403690 -1200 ) N ;
- la_oenb[44] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1421630 -1200 ) N ;
- la_oenb[45] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1439110 -1200 ) N ;
- la_oenb[46] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1457050 -1200 ) N ;
- la_oenb[47] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1474530 -1200 ) N ;
- la_oenb[48] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1492470 -1200 ) N ;
- la_oenb[49] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1509950 -1200 ) N ;
- la_oenb[4] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 712310 -1200 ) N ;
- la_oenb[50] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1527890 -1200 ) N ;
- la_oenb[51] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1545370 -1200 ) N ;
- la_oenb[52] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1563310 -1200 ) N ;
- la_oenb[53] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581250 -1200 ) N ;
- la_oenb[54] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1598730 -1200 ) N ;
- la_oenb[55] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1616670 -1200 ) N ;
- la_oenb[56] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1634150 -1200 ) N ;
- la_oenb[57] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1652090 -1200 ) N ;
- la_oenb[58] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1669570 -1200 ) N ;
- la_oenb[59] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1687510 -1200 ) N ;
- la_oenb[5] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 729790 -1200 ) N ;
- la_oenb[60] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1704990 -1200 ) N ;
- la_oenb[61] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1722930 -1200 ) N ;
- la_oenb[62] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1740410 -1200 ) N ;
- la_oenb[63] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1758350 -1200 ) N ;
- la_oenb[64] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1776290 -1200 ) N ;
- la_oenb[65] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1793770 -1200 ) N ;
- la_oenb[66] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1811710 -1200 ) N ;
- la_oenb[67] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1829190 -1200 ) N ;
- la_oenb[68] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1847130 -1200 ) N ;
- la_oenb[69] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864610 -1200 ) N ;
- la_oenb[6] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 747730 -1200 ) N ;
- la_oenb[70] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882550 -1200 ) N ;
- la_oenb[71] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_oenb[72] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_oenb[73] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935910 -1200 ) N ;
- la_oenb[74] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_oenb[75] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_oenb[76] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1988810 -1200 ) N ;
- la_oenb[77] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_oenb[78] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024230 -1200 ) N ;
- la_oenb[79] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042170 -1200 ) N ;
- la_oenb[7] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 765210 -1200 ) N ;
- la_oenb[80] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2059650 -1200 ) N ;
- la_oenb[81] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2077590 -1200 ) N ;
- la_oenb[82] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095070 -1200 ) N ;
- la_oenb[83] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113010 -1200 ) N ;
- la_oenb[84] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2130950 -1200 ) N ;
- la_oenb[85] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2148430 -1200 ) N ;
- la_oenb[86] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2166370 -1200 ) N ;
- la_oenb[87] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2183850 -1200 ) N ;
- la_oenb[88] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2201790 -1200 ) N ;
- la_oenb[89] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2219270 -1200 ) N ;
- la_oenb[8] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 783150 -1200 ) N ;
- la_oenb[90] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2237210 -1200 ) N ;
- la_oenb[91] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2254690 -1200 ) N ;
- la_oenb[92] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2272630 -1200 ) N ;
- la_oenb[93] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2290570 -1200 ) N ;
- la_oenb[94] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2308050 -1200 ) N ;
- la_oenb[95] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2325990 -1200 ) N ;
- la_oenb[96] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2343470 -1200 ) N ;
- la_oenb[97] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2361410 -1200 ) N ;
- la_oenb[98] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2378890 -1200 ) N ;
- la_oenb[99] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2396830 -1200 ) N ;
- la_oenb[9] + NET zero_ + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 800630 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- user_irq[0] + NET user_irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- user_irq[1] + NET user_irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- user_irq[2] + NET user_irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -181550 -1769310 ) ( -178450 1769310 )
+ LAYER met4 ( -361550 -1769310 ) ( -358450 1769310 )
+ LAYER met4 ( -541550 -1769310 ) ( -538450 1769310 )
+ LAYER met4 ( -721550 -1769310 ) ( -718450 1769310 )
+ LAYER met4 ( -901550 -1769310 ) ( -898450 1769310 )
+ LAYER met4 ( -1081550 -1769310 ) ( -1078450 1769310 )
+ LAYER met4 ( -1261550 766700 ) ( -1258450 1769310 )
+ LAYER met4 ( -1441550 766700 ) ( -1438450 1769310 )
+ LAYER met4 ( -1621550 766700 ) ( -1618450 1769310 )
+ LAYER met4 ( -1801550 766700 ) ( -1798450 1769310 )
+ LAYER met4 ( -1981550 766700 ) ( -1978450 1769310 )
+ LAYER met4 ( -2161550 766700 ) ( -2158450 1769310 )
+ LAYER met4 ( -2341550 766700 ) ( -2338450 1769310 )
+ LAYER met4 ( -2521550 766700 ) ( -2518450 1769310 )
+ LAYER met4 ( -2701550 -1769310 ) ( -2698450 1769310 )
+ LAYER met4 ( -2881550 -1769310 ) ( -2878450 1769310 )
+ LAYER met4 ( 36030 -1764510 ) ( 39130 1764510 )
+ LAYER met4 ( -2900550 -1764510 ) ( -2897450 1764510 )
+ LAYER met4 ( -1261550 -1769310 ) ( -1258450 330160 )
+ LAYER met4 ( -1441550 -1769310 ) ( -1438450 330160 )
+ LAYER met4 ( -1621550 -1769310 ) ( -1618450 330160 )
+ LAYER met4 ( -1801550 -616055 ) ( -1798450 330160 )
+ LAYER met4 ( -1981550 -616055 ) ( -1978450 330160 )
+ LAYER met4 ( -2161550 -616055 ) ( -2158450 330160 )
+ LAYER met4 ( -2341550 -616055 ) ( -2338450 330160 )
+ LAYER met4 ( -2521550 -616055 ) ( -2518450 330160 )
+ LAYER met4 ( -1801550 -1769310 ) ( -1798450 -1469840 )
+ LAYER met4 ( -1981550 -1769310 ) ( -1978450 -1469840 )
+ LAYER met4 ( -2161550 -1769310 ) ( -2158450 -1469840 )
+ LAYER met4 ( -2341550 -1769310 ) ( -2338450 -1469840 )
+ LAYER met4 ( -2521550 -1769310 ) ( -2518450 -1469840 )
+ LAYER met5 ( -2900550 1761410 ) ( 39130 1764510 )
+ LAYER met5 ( -2905350 1674490 ) ( 43930 1677590 )
+ LAYER met5 ( -2905350 1494490 ) ( 43930 1497590 )
+ LAYER met5 ( -2905350 1314490 ) ( 43930 1317590 )
+ LAYER met5 ( -2905350 1134490 ) ( 43930 1137590 )
+ LAYER met5 ( -2905350 954490 ) ( 43930 957590 )
+ LAYER met5 ( -2905350 774490 ) ( 43930 777590 )
+ LAYER met5 ( -2905350 594490 ) ( 43930 597590 )
+ LAYER met5 ( -2905350 414490 ) ( 43930 417590 )
+ LAYER met5 ( -2905350 234490 ) ( 43930 237590 )
+ LAYER met5 ( -2905350 54490 ) ( 43930 57590 )
+ LAYER met5 ( -2905350 -125510 ) ( 43930 -122410 )
+ LAYER met5 ( -2905350 -305510 ) ( 43930 -302410 )
+ LAYER met5 ( -2905350 -485510 ) ( 43930 -482410 )
+ LAYER met5 ( -2905350 -665510 ) ( 43930 -662410 )
+ LAYER met5 ( -2905350 -845510 ) ( 43930 -842410 )
+ LAYER met5 ( -2905350 -1025510 ) ( 43930 -1022410 )
+ LAYER met5 ( -2905350 -1205510 ) ( 43930 -1202410 )
+ LAYER met5 ( -2905350 -1385510 ) ( 43930 -1382410 )
+ LAYER met5 ( -2905350 -1565510 ) ( 43930 -1562410 )
+ LAYER met5 ( -2905350 -1745510 ) ( 43930 -1742410 )
+ LAYER met5 ( -2900550 -1764510 ) ( 39130 -1761410 )
+ FIXED ( 2890520 1759840 ) N ;
- vccd2 + NET vccd2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -181550 -1778910 ) ( -178450 1778910 )
+ LAYER met4 ( -361550 -1778910 ) ( -358450 1778910 )
+ LAYER met4 ( -541550 -1778910 ) ( -538450 1778910 )
+ LAYER met4 ( -721550 -1778910 ) ( -718450 1778910 )
+ LAYER met4 ( -901550 -1778910 ) ( -898450 1778910 )
+ LAYER met4 ( -1081550 -1778910 ) ( -1078450 1778910 )
+ LAYER met4 ( -1261550 766700 ) ( -1258450 1778910 )
+ LAYER met4 ( -1441550 766700 ) ( -1438450 1778910 )
+ LAYER met4 ( -1621550 766700 ) ( -1618450 1778910 )
+ LAYER met4 ( -1801550 766700 ) ( -1798450 1778910 )
+ LAYER met4 ( -1981550 766700 ) ( -1978450 1778910 )
+ LAYER met4 ( -2161550 766700 ) ( -2158450 1778910 )
+ LAYER met4 ( -2341550 766700 ) ( -2338450 1778910 )
+ LAYER met4 ( -2521550 766700 ) ( -2518450 1778910 )
+ LAYER met4 ( -2701550 -1778910 ) ( -2698450 1778910 )
+ LAYER met4 ( -2881550 -1778910 ) ( -2878450 1778910 )
+ LAYER met4 ( 27030 -1774110 ) ( 30130 1774110 )
+ LAYER met4 ( -2928750 -1774110 ) ( -2925650 1774110 )
+ LAYER met4 ( -1261550 -1778910 ) ( -1258450 330160 )
+ LAYER met4 ( -1441550 -1778910 ) ( -1438450 330160 )
+ LAYER met4 ( -1621550 -1778910 ) ( -1618450 330160 )
+ LAYER met4 ( -1801550 -616055 ) ( -1798450 330160 )
+ LAYER met4 ( -1981550 -616055 ) ( -1978450 330160 )
+ LAYER met4 ( -2161550 -616055 ) ( -2158450 330160 )
+ LAYER met4 ( -2341550 -616055 ) ( -2338450 330160 )
+ LAYER met4 ( -2521550 -616055 ) ( -2518450 330160 )
+ LAYER met4 ( -1801550 -1778910 ) ( -1798450 -1469840 )
+ LAYER met4 ( -1981550 -1778910 ) ( -1978450 -1469840 )
+ LAYER met4 ( -2161550 -1778910 ) ( -2158450 -1469840 )
+ LAYER met4 ( -2341550 -1778910 ) ( -2338450 -1469840 )
+ LAYER met4 ( -2521550 -1778910 ) ( -2518450 -1469840 )
+ LAYER met5 ( -2928750 1771010 ) ( 30130 1774110 )
+ LAYER met5 ( -2933550 1693090 ) ( 34930 1696190 )
+ LAYER met5 ( -2933550 1513090 ) ( 34930 1516190 )
+ LAYER met5 ( -2933550 1333090 ) ( 34930 1336190 )
+ LAYER met5 ( -2933550 1153090 ) ( 34930 1156190 )
+ LAYER met5 ( -2933550 973090 ) ( 34930 976190 )
+ LAYER met5 ( -2933550 793090 ) ( 34930 796190 )
+ LAYER met5 ( -2933550 613090 ) ( 34930 616190 )
+ LAYER met5 ( -2933550 433090 ) ( 34930 436190 )
+ LAYER met5 ( -2933550 253090 ) ( 34930 256190 )
+ LAYER met5 ( -2933550 73090 ) ( 34930 76190 )
+ LAYER met5 ( -2933550 -106910 ) ( 34930 -103810 )
+ LAYER met5 ( -2933550 -286910 ) ( 34930 -283810 )
+ LAYER met5 ( -2933550 -466910 ) ( 34930 -463810 )
+ LAYER met5 ( -2933550 -646910 ) ( 34930 -643810 )
+ LAYER met5 ( -2933550 -826910 ) ( 34930 -823810 )
+ LAYER met5 ( -2933550 -1006910 ) ( 34930 -1003810 )
+ LAYER met5 ( -2933550 -1186910 ) ( 34930 -1183810 )
+ LAYER met5 ( -2933550 -1366910 ) ( 34930 -1363810 )
+ LAYER met5 ( -2933550 -1546910 ) ( 34930 -1543810 )
+ LAYER met5 ( -2933550 -1726910 ) ( 34930 -1723810 )
+ LAYER met5 ( -2928750 -1774110 ) ( 30130 -1771010 )
+ FIXED ( 2909120 1759840 ) N ;
- vdda1 + NET vdda1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -181550 -1788510 ) ( -178450 1788510 )
+ LAYER met4 ( -361550 -1788510 ) ( -358450 1788510 )
+ LAYER met4 ( -541550 -1788510 ) ( -538450 1788510 )
+ LAYER met4 ( -721550 -1788510 ) ( -718450 1788510 )
+ LAYER met4 ( -901550 -1788510 ) ( -898450 1788510 )
+ LAYER met4 ( -1081550 766700 ) ( -1078450 1788510 )
+ LAYER met4 ( -1261550 766700 ) ( -1258450 1788510 )
+ LAYER met4 ( -1441550 766700 ) ( -1438450 1788510 )
+ LAYER met4 ( -1621550 766700 ) ( -1618450 1788510 )
+ LAYER met4 ( -1801550 766700 ) ( -1798450 1788510 )
+ LAYER met4 ( -1981550 766700 ) ( -1978450 1788510 )
+ LAYER met4 ( -2161550 766700 ) ( -2158450 1788510 )
+ LAYER met4 ( -2341550 766700 ) ( -2338450 1788510 )
+ LAYER met4 ( -2521550 -1788510 ) ( -2518450 1788510 )
+ LAYER met4 ( -2701550 -1788510 ) ( -2698450 1788510 )
+ LAYER met4 ( 198030 -1783710 ) ( 201130 1783710 )
+ LAYER met4 ( -2776950 -1783710 ) ( -2773850 1783710 )
+ LAYER met4 ( -1081550 -1788510 ) ( -1078450 330160 )
+ LAYER met4 ( -1261550 -1788510 ) ( -1258450 330160 )
+ LAYER met4 ( -1441550 -1788510 ) ( -1438450 330160 )
+ LAYER met4 ( -1621550 -616055 ) ( -1618450 330160 )
+ LAYER met4 ( -1801550 -616055 ) ( -1798450 330160 )
+ LAYER met4 ( -1981550 -616055 ) ( -1978450 330160 )
+ LAYER met4 ( -2161550 -616055 ) ( -2158450 330160 )
+ LAYER met4 ( -2341550 -616055 ) ( -2338450 330160 )
+ LAYER met4 ( -1621550 -1788510 ) ( -1618450 -1469840 )
+ LAYER met4 ( -1801550 -1788510 ) ( -1798450 -1469840 )
+ LAYER met4 ( -1981550 -1788510 ) ( -1978450 -1469840 )
+ LAYER met4 ( -2161550 -1788510 ) ( -2158450 -1469840 )
+ LAYER met4 ( -2341550 -1788510 ) ( -2338450 -1469840 )
+ LAYER met5 ( -2776950 1780610 ) ( 201130 1783710 )
+ LAYER met5 ( -2781750 1711690 ) ( 205930 1714790 )
+ LAYER met5 ( -2781750 1531690 ) ( 205930 1534790 )
+ LAYER met5 ( -2781750 1351690 ) ( 205930 1354790 )
+ LAYER met5 ( -2781750 1171690 ) ( 205930 1174790 )
+ LAYER met5 ( -2781750 991690 ) ( 205930 994790 )
+ LAYER met5 ( -2781750 811690 ) ( 205930 814790 )
+ LAYER met5 ( -2781750 631690 ) ( 205930 634790 )
+ LAYER met5 ( -2781750 451690 ) ( 205930 454790 )
+ LAYER met5 ( -2781750 271690 ) ( 205930 274790 )
+ LAYER met5 ( -2781750 91690 ) ( 205930 94790 )
+ LAYER met5 ( -2781750 -88310 ) ( 205930 -85210 )
+ LAYER met5 ( -2781750 -268310 ) ( 205930 -265210 )
+ LAYER met5 ( -2781750 -448310 ) ( 205930 -445210 )
+ LAYER met5 ( -2781750 -628310 ) ( 205930 -625210 )
+ LAYER met5 ( -2781750 -808310 ) ( 205930 -805210 )
+ LAYER met5 ( -2781750 -988310 ) ( 205930 -985210 )
+ LAYER met5 ( -2781750 -1168310 ) ( 205930 -1165210 )
+ LAYER met5 ( -2781750 -1348310 ) ( 205930 -1345210 )
+ LAYER met5 ( -2781750 -1528310 ) ( 205930 -1525210 )
+ LAYER met5 ( -2781750 -1708310 ) ( 205930 -1705210 )
+ LAYER met5 ( -2776950 -1783710 ) ( 201130 -1780610 )
+ FIXED ( 2747720 1759840 ) N ;
- vdda2 + NET vdda2 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -181550 -1798110 ) ( -178450 1798110 )
+ LAYER met4 ( -361550 -1798110 ) ( -358450 1798110 )
+ LAYER met4 ( -541550 -1798110 ) ( -538450 1798110 )
+ LAYER met4 ( -721550 -1798110 ) ( -718450 1798110 )
+ LAYER met4 ( -901550 -1798110 ) ( -898450 1798110 )
+ LAYER met4 ( -1081550 766700 ) ( -1078450 1798110 )
+ LAYER met4 ( -1261550 766700 ) ( -1258450 1798110 )
+ LAYER met4 ( -1441550 766700 ) ( -1438450 1798110 )
+ LAYER met4 ( -1621550 766700 ) ( -1618450 1798110 )
+ LAYER met4 ( -1801550 766700 ) ( -1798450 1798110 )
+ LAYER met4 ( -1981550 766700 ) ( -1978450 1798110 )
+ LAYER met4 ( -2161550 766700 ) ( -2158450 1798110 )
+ LAYER met4 ( -2341550 766700 ) ( -2338450 1798110 )
+ LAYER met4 ( -2521550 -1798110 ) ( -2518450 1798110 )
+ LAYER met4 ( -2701550 -1798110 ) ( -2698450 1798110 )
+ LAYER met4 ( 189030 -1793310 ) ( 192130 1793310 )
+ LAYER met4 ( -2805150 -1793310 ) ( -2802050 1793310 )
+ LAYER met4 ( -1081550 -1798110 ) ( -1078450 330160 )
+ LAYER met4 ( -1261550 -1798110 ) ( -1258450 330160 )
+ LAYER met4 ( -1441550 -1798110 ) ( -1438450 330160 )
+ LAYER met4 ( -1621550 -1798110 ) ( -1618450 330160 )
+ LAYER met4 ( -1801550 -616055 ) ( -1798450 330160 )
+ LAYER met4 ( -1981550 -616055 ) ( -1978450 330160 )
+ LAYER met4 ( -2161550 -616055 ) ( -2158450 330160 )
+ LAYER met4 ( -2341550 -616055 ) ( -2338450 330160 )
+ LAYER met4 ( -1801550 -1798110 ) ( -1798450 -1469840 )
+ LAYER met4 ( -1981550 -1798110 ) ( -1978450 -1469840 )
+ LAYER met4 ( -2161550 -1798110 ) ( -2158450 -1469840 )
+ LAYER met4 ( -2341550 -1798110 ) ( -2338450 -1469840 )
+ LAYER met5 ( -2805150 1790210 ) ( 192130 1793310 )
+ LAYER met5 ( -2809950 1730290 ) ( 196930 1733390 )
+ LAYER met5 ( -2809950 1550290 ) ( 196930 1553390 )
+ LAYER met5 ( -2809950 1370290 ) ( 196930 1373390 )
+ LAYER met5 ( -2809950 1190290 ) ( 196930 1193390 )
+ LAYER met5 ( -2809950 1010290 ) ( 196930 1013390 )
+ LAYER met5 ( -2809950 830290 ) ( 196930 833390 )
+ LAYER met5 ( -2809950 650290 ) ( 196930 653390 )
+ LAYER met5 ( -2809950 470290 ) ( 196930 473390 )
+ LAYER met5 ( -2809950 290290 ) ( 196930 293390 )
+ LAYER met5 ( -2809950 110290 ) ( 196930 113390 )
+ LAYER met5 ( -2809950 -69710 ) ( 196930 -66610 )
+ LAYER met5 ( -2809950 -249710 ) ( 196930 -246610 )
+ LAYER met5 ( -2809950 -429710 ) ( 196930 -426610 )
+ LAYER met5 ( -2809950 -609710 ) ( 196930 -606610 )
+ LAYER met5 ( -2809950 -789710 ) ( 196930 -786610 )
+ LAYER met5 ( -2809950 -969710 ) ( 196930 -966610 )
+ LAYER met5 ( -2809950 -1149710 ) ( 196930 -1146610 )
+ LAYER met5 ( -2809950 -1329710 ) ( 196930 -1326610 )
+ LAYER met5 ( -2809950 -1509710 ) ( 196930 -1506610 )
+ LAYER met5 ( -2809950 -1689710 ) ( 196930 -1686610 )
+ LAYER met5 ( -2805150 -1793310 ) ( 192130 -1790210 )
+ FIXED ( 2766320 1759840 ) N ;
- vssa1 + NET vssa1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1788510 ) ( 1550 1788510 )
+ LAYER met4 ( -115930 -1788510 ) ( -112830 1788510 )
+ LAYER met4 ( -295930 -1788510 ) ( -292830 1788510 )
+ LAYER met4 ( -475930 -1788510 ) ( -472830 1788510 )
+ LAYER met4 ( -655930 -1788510 ) ( -652830 1788510 )
+ LAYER met4 ( -835930 -1788510 ) ( -832830 1788510 )
+ LAYER met4 ( -1015930 -1788510 ) ( -1012830 1788510 )
+ LAYER met4 ( -1195930 766700 ) ( -1192830 1788510 )
+ LAYER met4 ( -1375930 766700 ) ( -1372830 1788510 )
+ LAYER met4 ( -1555930 766700 ) ( -1552830 1788510 )
+ LAYER met4 ( -1735930 766700 ) ( -1732830 1788510 )
+ LAYER met4 ( -1915930 -616055 ) ( -1912830 1788510 )
+ LAYER met4 ( -2095930 766700 ) ( -2092830 1788510 )
+ LAYER met4 ( -2275930 766700 ) ( -2272830 1788510 )
+ LAYER met4 ( -2455930 766700 ) ( -2452830 1788510 )
+ LAYER met4 ( -2635930 766700 ) ( -2632830 1788510 )
+ LAYER met4 ( -2815930 -1788510 ) ( -2812830 1788510 )
+ LAYER met4 ( -2986130 -1788510 ) ( -2983030 1788510 )
+ LAYER met4 ( -1195930 -1788510 ) ( -1192830 330160 )
+ LAYER met4 ( -1375930 -1788510 ) ( -1372830 330160 )
+ LAYER met4 ( -1555930 -1788510 ) ( -1552830 330160 )
+ LAYER met4 ( -1735930 -1788510 ) ( -1732830 330160 )
+ LAYER met4 ( -2095930 -616055 ) ( -2092830 330160 )
+ LAYER met4 ( -2275930 -616055 ) ( -2272830 330160 )
+ LAYER met4 ( -2455930 -616055 ) ( -2452830 330160 )
+ LAYER met4 ( -2635930 -616055 ) ( -2632830 330160 )
+ LAYER met4 ( -1915930 -1788510 ) ( -1912830 -1469840 )
+ LAYER met4 ( -2095930 -1788510 ) ( -2092830 -1469840 )
+ LAYER met4 ( -2275930 -1788510 ) ( -2272830 -1469840 )
+ LAYER met4 ( -2455930 -1788510 ) ( -2452830 -1469840 )
+ LAYER met4 ( -2635930 -1788510 ) ( -2632830 -1469840 )
+ LAYER met5 ( -2986130 1785410 ) ( 1550 1788510 )
+ LAYER met5 ( -2986130 1621690 ) ( 1550 1624790 )
+ LAYER met5 ( -2986130 1441690 ) ( 1550 1444790 )
+ LAYER met5 ( -2986130 1261690 ) ( 1550 1264790 )
+ LAYER met5 ( -2986130 1081690 ) ( 1550 1084790 )
+ LAYER met5 ( -2986130 901690 ) ( 1550 904790 )
+ LAYER met5 ( -2986130 721690 ) ( 1550 724790 )
+ LAYER met5 ( -2986130 541690 ) ( 1550 544790 )
+ LAYER met5 ( -2986130 361690 ) ( 1550 364790 )
+ LAYER met5 ( -2986130 181690 ) ( 1550 184790 )
+ LAYER met5 ( -2986130 1690 ) ( 1550 4790 )
+ LAYER met5 ( -2986130 -178310 ) ( 1550 -175210 )
+ LAYER met5 ( -2986130 -358310 ) ( 1550 -355210 )
+ LAYER met5 ( -2986130 -538310 ) ( 1550 -535210 )
+ LAYER met5 ( -2986130 -718310 ) ( 1550 -715210 )
+ LAYER met5 ( -2986130 -898310 ) ( 1550 -895210 )
+ LAYER met5 ( -2986130 -1078310 ) ( 1550 -1075210 )
+ LAYER met5 ( -2986130 -1258310 ) ( 1550 -1255210 )
+ LAYER met5 ( -2986130 -1438310 ) ( 1550 -1435210 )
+ LAYER met5 ( -2986130 -1618310 ) ( 1550 -1615210 )
+ LAYER met5 ( -2986130 -1788510 ) ( 1550 -1785410 )
+ FIXED ( 2952100 1759840 ) N ;
- vssa2 + NET vssa2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1798110 ) ( 1550 1798110 )
+ LAYER met4 ( -106930 -1798110 ) ( -103830 1798110 )
+ LAYER met4 ( -286930 -1798110 ) ( -283830 1798110 )
+ LAYER met4 ( -466930 -1798110 ) ( -463830 1798110 )
+ LAYER met4 ( -646930 -1798110 ) ( -643830 1798110 )
+ LAYER met4 ( -826930 -1798110 ) ( -823830 1798110 )
+ LAYER met4 ( -1006930 -1798110 ) ( -1003830 1798110 )
+ LAYER met4 ( -1186930 766700 ) ( -1183830 1798110 )
+ LAYER met4 ( -1366930 766700 ) ( -1363830 1798110 )
+ LAYER met4 ( -1546930 766700 ) ( -1543830 1798110 )
+ LAYER met4 ( -1726930 766700 ) ( -1723830 1798110 )
+ LAYER met4 ( -1906930 -616055 ) ( -1903830 1798110 )
+ LAYER met4 ( -2086930 766700 ) ( -2083830 1798110 )
+ LAYER met4 ( -2266930 766700 ) ( -2263830 1798110 )
+ LAYER met4 ( -2446930 766700 ) ( -2443830 1798110 )
+ LAYER met4 ( -2626930 766700 ) ( -2623830 1798110 )
+ LAYER met4 ( -2806930 -1798110 ) ( -2803830 1798110 )
+ LAYER met4 ( -3005330 -1798110 ) ( -3002230 1798110 )
+ LAYER met4 ( -1186930 -1798110 ) ( -1183830 330160 )
+ LAYER met4 ( -1366930 -1798110 ) ( -1363830 330160 )
+ LAYER met4 ( -1546930 -1798110 ) ( -1543830 330160 )
+ LAYER met4 ( -1726930 -1798110 ) ( -1723830 330160 )
+ LAYER met4 ( -2086930 -616055 ) ( -2083830 330160 )
+ LAYER met4 ( -2266930 -616055 ) ( -2263830 330160 )
+ LAYER met4 ( -2446930 -616055 ) ( -2443830 330160 )
+ LAYER met4 ( -2626930 -616055 ) ( -2623830 330160 )
+ LAYER met4 ( -1906930 -1798110 ) ( -1903830 -1469840 )
+ LAYER met4 ( -2086930 -1798110 ) ( -2083830 -1469840 )
+ LAYER met4 ( -2266930 -1798110 ) ( -2263830 -1469840 )
+ LAYER met4 ( -2446930 -1798110 ) ( -2443830 -1469840 )
+ LAYER met4 ( -2626930 -1798110 ) ( -2623830 -1469840 )
+ LAYER met5 ( -3005330 1795010 ) ( 1550 1798110 )
+ LAYER met5 ( -3005330 1640290 ) ( 1550 1643390 )
+ LAYER met5 ( -3005330 1460290 ) ( 1550 1463390 )
+ LAYER met5 ( -3005330 1280290 ) ( 1550 1283390 )
+ LAYER met5 ( -3005330 1100290 ) ( 1550 1103390 )
+ LAYER met5 ( -3005330 920290 ) ( 1550 923390 )
+ LAYER met5 ( -3005330 740290 ) ( 1550 743390 )
+ LAYER met5 ( -3005330 560290 ) ( 1550 563390 )
+ LAYER met5 ( -3005330 380290 ) ( 1550 383390 )
+ LAYER met5 ( -3005330 200290 ) ( 1550 203390 )
+ LAYER met5 ( -3005330 20290 ) ( 1550 23390 )
+ LAYER met5 ( -3005330 -159710 ) ( 1550 -156610 )
+ LAYER met5 ( -3005330 -339710 ) ( 1550 -336610 )
+ LAYER met5 ( -3005330 -519710 ) ( 1550 -516610 )
+ LAYER met5 ( -3005330 -699710 ) ( 1550 -696610 )
+ LAYER met5 ( -3005330 -879710 ) ( 1550 -876610 )
+ LAYER met5 ( -3005330 -1059710 ) ( 1550 -1056610 )
+ LAYER met5 ( -3005330 -1239710 ) ( 1550 -1236610 )
+ LAYER met5 ( -3005330 -1419710 ) ( 1550 -1416610 )
+ LAYER met5 ( -3005330 -1599710 ) ( 1550 -1596610 )
+ LAYER met5 ( -3005330 -1798110 ) ( 1550 -1795010 )
+ FIXED ( 2961700 1759840 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1769310 ) ( 1550 1769310 )
+ LAYER met4 ( -133930 -1769310 ) ( -130830 1769310 )
+ LAYER met4 ( -313930 -1769310 ) ( -310830 1769310 )
+ LAYER met4 ( -493930 -1769310 ) ( -490830 1769310 )
+ LAYER met4 ( -673930 -1769310 ) ( -670830 1769310 )
+ LAYER met4 ( -853930 -1769310 ) ( -850830 1769310 )
+ LAYER met4 ( -1033930 -1769310 ) ( -1030830 1769310 )
+ LAYER met4 ( -1213930 766700 ) ( -1210830 1769310 )
+ LAYER met4 ( -1393930 766700 ) ( -1390830 1769310 )
+ LAYER met4 ( -1573930 766700 ) ( -1570830 1769310 )
+ LAYER met4 ( -1753930 766700 ) ( -1750830 1769310 )
+ LAYER met4 ( -1933930 -616055 ) ( -1930830 1769310 )
+ LAYER met4 ( -2113930 766700 ) ( -2110830 1769310 )
+ LAYER met4 ( -2293930 766700 ) ( -2290830 1769310 )
+ LAYER met4 ( -2473930 766700 ) ( -2470830 1769310 )
+ LAYER met4 ( -2653930 -1769310 ) ( -2650830 1769310 )
+ LAYER met4 ( -2833930 -1769310 ) ( -2830830 1769310 )
+ LAYER met4 ( -2947730 -1769310 ) ( -2944630 1769310 )
+ LAYER met4 ( -1213930 -1769310 ) ( -1210830 330160 )
+ LAYER met4 ( -1393930 -1769310 ) ( -1390830 330160 )
+ LAYER met4 ( -1573930 -1769310 ) ( -1570830 330160 )
+ LAYER met4 ( -1753930 -1769310 ) ( -1750830 330160 )
+ LAYER met4 ( -2113930 -616055 ) ( -2110830 330160 )
+ LAYER met4 ( -2293930 -616055 ) ( -2290830 330160 )
+ LAYER met4 ( -2473930 -616055 ) ( -2470830 330160 )
+ LAYER met4 ( -1933930 -1769310 ) ( -1930830 -1469840 )
+ LAYER met4 ( -2113930 -1769310 ) ( -2110830 -1469840 )
+ LAYER met4 ( -2293930 -1769310 ) ( -2290830 -1469840 )
+ LAYER met4 ( -2473930 -1769310 ) ( -2470830 -1469840 )
+ LAYER met5 ( -2947730 1766210 ) ( 1550 1769310 )
+ LAYER met5 ( -2947730 1584490 ) ( 1550 1587590 )
+ LAYER met5 ( -2947730 1404490 ) ( 1550 1407590 )
+ LAYER met5 ( -2947730 1224490 ) ( 1550 1227590 )
+ LAYER met5 ( -2947730 1044490 ) ( 1550 1047590 )
+ LAYER met5 ( -2947730 864490 ) ( 1550 867590 )
+ LAYER met5 ( -2947730 684490 ) ( 1550 687590 )
+ LAYER met5 ( -2947730 504490 ) ( 1550 507590 )
+ LAYER met5 ( -2947730 324490 ) ( 1550 327590 )
+ LAYER met5 ( -2947730 144490 ) ( 1550 147590 )
+ LAYER met5 ( -2947730 -35510 ) ( 1550 -32410 )
+ LAYER met5 ( -2947730 -215510 ) ( 1550 -212410 )
+ LAYER met5 ( -2947730 -395510 ) ( 1550 -392410 )
+ LAYER met5 ( -2947730 -575510 ) ( 1550 -572410 )
+ LAYER met5 ( -2947730 -755510 ) ( 1550 -752410 )
+ LAYER met5 ( -2947730 -935510 ) ( 1550 -932410 )
+ LAYER met5 ( -2947730 -1115510 ) ( 1550 -1112410 )
+ LAYER met5 ( -2947730 -1295510 ) ( 1550 -1292410 )
+ LAYER met5 ( -2947730 -1475510 ) ( 1550 -1472410 )
+ LAYER met5 ( -2947730 -1655510 ) ( 1550 -1652410 )
+ LAYER met5 ( -2947730 -1769310 ) ( 1550 -1766210 )
+ FIXED ( 2932900 1759840 ) N ;
- vssd2 + NET vssd2 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -1550 -1778910 ) ( 1550 1778910 )
+ LAYER met4 ( -124930 -1778910 ) ( -121830 1778910 )
+ LAYER met4 ( -304930 -1778910 ) ( -301830 1778910 )
+ LAYER met4 ( -484930 -1778910 ) ( -481830 1778910 )
+ LAYER met4 ( -664930 -1778910 ) ( -661830 1778910 )
+ LAYER met4 ( -844930 -1778910 ) ( -841830 1778910 )
+ LAYER met4 ( -1024930 -1778910 ) ( -1021830 1778910 )
+ LAYER met4 ( -1204930 766700 ) ( -1201830 1778910 )
+ LAYER met4 ( -1384930 766700 ) ( -1381830 1778910 )
+ LAYER met4 ( -1564930 766700 ) ( -1561830 1778910 )
+ LAYER met4 ( -1744930 766700 ) ( -1741830 1778910 )
+ LAYER met4 ( -1924930 -616055 ) ( -1921830 1778910 )
+ LAYER met4 ( -2104930 766700 ) ( -2101830 1778910 )
+ LAYER met4 ( -2284930 766700 ) ( -2281830 1778910 )
+ LAYER met4 ( -2464930 766700 ) ( -2461830 1778910 )
+ LAYER met4 ( -2644930 766700 ) ( -2641830 1778910 )
+ LAYER met4 ( -2824930 -1778910 ) ( -2821830 1778910 )
+ LAYER met4 ( -2966930 -1778910 ) ( -2963830 1778910 )
+ LAYER met4 ( -1204930 -1778910 ) ( -1201830 330160 )
+ LAYER met4 ( -1384930 -1778910 ) ( -1381830 330160 )
+ LAYER met4 ( -1564930 -1778910 ) ( -1561830 330160 )
+ LAYER met4 ( -1744930 -1778910 ) ( -1741830 330160 )
+ LAYER met4 ( -2104930 -616055 ) ( -2101830 330160 )
+ LAYER met4 ( -2284930 -616055 ) ( -2281830 330160 )
+ LAYER met4 ( -2464930 -616055 ) ( -2461830 330160 )
+ LAYER met4 ( -2644930 -616055 ) ( -2641830 330160 )
+ LAYER met4 ( -1924930 -1778910 ) ( -1921830 -1469840 )
+ LAYER met4 ( -2104930 -1778910 ) ( -2101830 -1469840 )
+ LAYER met4 ( -2284930 -1778910 ) ( -2281830 -1469840 )
+ LAYER met4 ( -2464930 -1778910 ) ( -2461830 -1469840 )
+ LAYER met4 ( -2644930 -1778910 ) ( -2641830 -1469840 )
+ LAYER met5 ( -2966930 1775810 ) ( 1550 1778910 )
+ LAYER met5 ( -2966930 1603090 ) ( 1550 1606190 )
+ LAYER met5 ( -2966930 1423090 ) ( 1550 1426190 )
+ LAYER met5 ( -2966930 1243090 ) ( 1550 1246190 )
+ LAYER met5 ( -2966930 1063090 ) ( 1550 1066190 )
+ LAYER met5 ( -2966930 883090 ) ( 1550 886190 )
+ LAYER met5 ( -2966930 703090 ) ( 1550 706190 )
+ LAYER met5 ( -2966930 523090 ) ( 1550 526190 )
+ LAYER met5 ( -2966930 343090 ) ( 1550 346190 )
+ LAYER met5 ( -2966930 163090 ) ( 1550 166190 )
+ LAYER met5 ( -2966930 -16910 ) ( 1550 -13810 )
+ LAYER met5 ( -2966930 -196910 ) ( 1550 -193810 )
+ LAYER met5 ( -2966930 -376910 ) ( 1550 -373810 )
+ LAYER met5 ( -2966930 -556910 ) ( 1550 -553810 )
+ LAYER met5 ( -2966930 -736910 ) ( 1550 -733810 )
+ LAYER met5 ( -2966930 -916910 ) ( 1550 -913810 )
+ LAYER met5 ( -2966930 -1096910 ) ( 1550 -1093810 )
+ LAYER met5 ( -2966930 -1276910 ) ( 1550 -1273810 )
+ LAYER met5 ( -2966930 -1456910 ) ( 1550 -1453810 )
+ LAYER met5 ( -2966930 -1636910 ) ( 1550 -1633810 )
+ LAYER met5 ( -2966930 -1778910 ) ( 1550 -1775810 )
+ FIXED ( 2942500 1759840 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 239430 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 256910 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 274850 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 292330 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 310270 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 327750 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 345690 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 363170 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 381110 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 398590 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 61870 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 416530 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 434470 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 451950 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 469890 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 487370 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 505310 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 522790 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 540730 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 558210 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 576150 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 85330 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 594090 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 611570 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109250 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 132710 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 150650 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 168130 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186070 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 203550 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 221490 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 43930 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 244950 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 262890 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 280370 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 298310 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 316250 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 333730 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 351670 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 369150 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 387090 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 404570 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 67850 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 422510 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 439990 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 457930 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 475870 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 493350 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 511290 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 528770 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 546710 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 564190 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 582130 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91310 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 599610 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 617550 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115230 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 138690 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 156630 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 174110 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192050 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 209530 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 227470 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 49910 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 250930 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 268870 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 286350 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 304290 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 321770 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 339710 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 357650 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 375130 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 393070 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 410550 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 73830 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 428490 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 463910 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 481390 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 499330 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 516810 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 534750 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 552690 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 570170 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 588110 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97290 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 605590 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 623530 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121210 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 144670 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 162150 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 180090 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198030 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 215510 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 233450 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 55890 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 79810 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103270 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 126730 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
END PINS
BLOCKAGES 9 ;
- LAYER met1 RECT ( 300000 2100000 ) ( 983100 2516540 ) ;
- LAYER met2 RECT ( 300000 2100000 ) ( 983100 2516540 ) ;
- LAYER met3 RECT ( 300000 2100000 ) ( 983100 2516540 ) ;
- LAYER met4 RECT ( 300000 2100000 ) ( 983100 2516540 ) ;
- LAYER met1 RECT ( 1100000 2100000 ) ( 1783100 2516540 ) ;
- LAYER met2 RECT ( 1100000 2100000 ) ( 1783100 2516540 ) ;
- LAYER met3 RECT ( 1100000 2100000 ) ( 1783100 2516540 ) ;
- LAYER met4 RECT ( 1100000 2100000 ) ( 1783100 2516540 ) ;
- LAYER met5 RECT ( 0 0 ) ( 2920000 3520000 ) ;
END BLOCKAGES
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 1777470 2355880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1105630 2355880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1777470 2175880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1105630 2175880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 977470 2355880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 305630 2355880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 977470 2175880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 305630 2175880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1089840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936240 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 782640 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629040 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475440 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 321840 1095880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1089840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936240 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 782640 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629040 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475440 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 321840 915880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1089840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936240 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 782640 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629040 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475440 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 321840 735880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1089840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936240 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 782640 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629040 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475440 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 321840 555880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1089840 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 936240 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 782640 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 629040 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 475440 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 321840 375880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3435880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3255880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 3075880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2895880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2715880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2535880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2355880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 2175880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1995880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1815880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1635880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1455880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1275880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 1095880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 915880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 735880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 555880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 375880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 195880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 15880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2890520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2710520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2530520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2350520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2170520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1990520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1810520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1630520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1450520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1270520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1090520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 910520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 730520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 550520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 370520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 190520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 10520 -3120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -10030 3522800 ) ( 2929650 3522800 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3435880 ) ( 2934450 3435880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3255880 ) ( 2934450 3255880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3075880 ) ( 2934450 3075880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2895880 ) ( 2934450 2895880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2715880 ) ( 2934450 2715880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2535880 ) ( 2934450 2535880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2355880 ) ( 2934450 2355880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2175880 ) ( 2934450 2175880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1995880 ) ( 2934450 1995880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1815880 ) ( 2934450 1815880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1635880 ) ( 2934450 1635880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1455880 ) ( 2934450 1455880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1275880 ) ( 2934450 1275880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1095880 ) ( 2934450 1095880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 915880 ) ( 2934450 915880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 735880 ) ( 2934450 735880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 555880 ) ( 2934450 555880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 375880 ) ( 2934450 375880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 195880 ) ( 2934450 195880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 15880 ) ( 2934450 15880 )
NEW met5 3100 + SHAPE STRIPE ( -10030 -3120 ) ( 2929650 -3120 )
NEW met4 3100 + SHAPE STRIPE ( 2890520 -9470 ) ( 2890520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2710520 -9470 ) ( 2710520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2530520 -9470 ) ( 2530520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2350520 -9470 ) ( 2350520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2170520 -9470 ) ( 2170520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1990520 -9470 ) ( 1990520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1810520 -9470 ) ( 1810520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 2526540 ) ( 1630520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 2526540 ) ( 1450520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 2526540 ) ( 1270520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 2526540 ) ( 1090520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 910520 2526540 ) ( 910520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 730520 2526540 ) ( 730520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 550520 2526540 ) ( 550520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 370520 2526540 ) ( 370520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 190520 -9470 ) ( 190520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 10520 -9470 ) ( 10520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2928100 -4670 ) ( 2928100 3524350 )
NEW met4 3100 + SHAPE STRIPE ( -8480 -4670 ) ( -8480 3524350 )
NEW met4 3100 + SHAPE STRIPE ( 1630520 -9470 ) ( 1630520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1450520 -9470 ) ( 1450520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1270520 -9470 ) ( 1270520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 1143785 ) ( 1090520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 910520 1143785 ) ( 910520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 730520 1143785 ) ( 730520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 550520 1143785 ) ( 550520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 1143785 ) ( 370520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1090520 -9470 ) ( 1090520 290000 )
NEW met4 3100 + SHAPE STRIPE ( 910520 -9470 ) ( 910520 290000 )
NEW met4 3100 + SHAPE STRIPE ( 730520 -9470 ) ( 730520 290000 )
NEW met4 3100 + SHAPE STRIPE ( 550520 -9470 ) ( 550520 290000 )
NEW met4 3100 + SHAPE STRIPE ( 370520 -9470 ) ( 370520 290000 ) ;
- vccd2 ( PIN vccd2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2937700 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3532400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3454480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3274480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 3094480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2914480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2734480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2554480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2374480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2194480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 2014480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1834480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1654480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1474480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1294480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 1114480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 934480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 754480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 574480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 394480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 214480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 34480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2937700 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2909120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2729120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2549120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2369120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2189120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2009120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1829120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1649120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1469120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1289120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1109120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 929120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 749120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 569120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 389120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 209120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 29120 -12720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -18080 -12720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -19630 3532400 ) ( 2939250 3532400 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3454480 ) ( 2944050 3454480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3274480 ) ( 2944050 3274480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3094480 ) ( 2944050 3094480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2914480 ) ( 2944050 2914480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2734480 ) ( 2944050 2734480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2554480 ) ( 2944050 2554480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2374480 ) ( 2944050 2374480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2194480 ) ( 2944050 2194480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2014480 ) ( 2944050 2014480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1834480 ) ( 2944050 1834480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1654480 ) ( 2944050 1654480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1474480 ) ( 2944050 1474480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1294480 ) ( 2944050 1294480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1114480 ) ( 2944050 1114480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 934480 ) ( 2944050 934480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 754480 ) ( 2944050 754480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 574480 ) ( 2944050 574480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 394480 ) ( 2944050 394480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 214480 ) ( 2944050 214480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 34480 ) ( 2944050 34480 )
NEW met5 3100 + SHAPE STRIPE ( -19630 -12720 ) ( 2939250 -12720 )
NEW met4 3100 + SHAPE STRIPE ( 2909120 -19070 ) ( 2909120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2729120 -19070 ) ( 2729120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2549120 -19070 ) ( 2549120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2369120 -19070 ) ( 2369120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2189120 -19070 ) ( 2189120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2009120 -19070 ) ( 2009120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1829120 -19070 ) ( 1829120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 2526540 ) ( 1649120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 2526540 ) ( 1469120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 2526540 ) ( 1289120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 2526540 ) ( 1109120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 929120 2526540 ) ( 929120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 749120 2526540 ) ( 749120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 569120 2526540 ) ( 569120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 389120 2526540 ) ( 389120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 209120 -19070 ) ( 209120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 29120 -19070 ) ( 29120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2937700 -14270 ) ( 2937700 3533950 )
NEW met4 3100 + SHAPE STRIPE ( -18080 -14270 ) ( -18080 3533950 )
NEW met4 3100 + SHAPE STRIPE ( 1649120 -19070 ) ( 1649120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1469120 -19070 ) ( 1469120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1289120 -19070 ) ( 1289120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 1143785 ) ( 1109120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 929120 1143785 ) ( 929120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 749120 1143785 ) ( 749120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 569120 1143785 ) ( 569120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 1143785 ) ( 389120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1109120 -19070 ) ( 1109120 290000 )
NEW met4 3100 + SHAPE STRIPE ( 929120 -19070 ) ( 929120 290000 )
NEW met4 3100 + SHAPE STRIPE ( 749120 -19070 ) ( 749120 290000 )
NEW met4 3100 + SHAPE STRIPE ( 569120 -19070 ) ( 569120 290000 )
NEW met4 3100 + SHAPE STRIPE ( 389120 -19070 ) ( 389120 290000 ) ;
- vdda1 ( PIN vdda1 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2947300 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3542000 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3473080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3293080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 3113080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2933080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2753080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2573080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2393080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2213080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 2033080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1853080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1673080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1493080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1313080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 1133080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 953080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 773080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 593080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 413080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 233080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 53080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2947300 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2747720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2567720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2387720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2207720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2027720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1847720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1667720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1487720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1307720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1127720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 947720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 767720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 587720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 407720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 227720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 47720 -22320 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -27680 -22320 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -29230 3542000 ) ( 2948850 3542000 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3473080 ) ( 2953650 3473080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3293080 ) ( 2953650 3293080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3113080 ) ( 2953650 3113080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2933080 ) ( 2953650 2933080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2753080 ) ( 2953650 2753080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2573080 ) ( 2953650 2573080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2393080 ) ( 2953650 2393080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2213080 ) ( 2953650 2213080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2033080 ) ( 2953650 2033080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1853080 ) ( 2953650 1853080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1673080 ) ( 2953650 1673080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1493080 ) ( 2953650 1493080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1313080 ) ( 2953650 1313080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1133080 ) ( 2953650 1133080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 953080 ) ( 2953650 953080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 773080 ) ( 2953650 773080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 593080 ) ( 2953650 593080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 413080 ) ( 2953650 413080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 233080 ) ( 2953650 233080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 53080 ) ( 2953650 53080 )
NEW met5 3100 + SHAPE STRIPE ( -29230 -22320 ) ( 2948850 -22320 )
NEW met4 3100 + SHAPE STRIPE ( 2747720 -28670 ) ( 2747720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2567720 -28670 ) ( 2567720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2387720 -28670 ) ( 2387720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2207720 -28670 ) ( 2207720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2027720 -28670 ) ( 2027720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1847720 -28670 ) ( 1847720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 2526540 ) ( 1667720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 2526540 ) ( 1487720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 2526540 ) ( 1307720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 2526540 ) ( 1127720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 947720 2526540 ) ( 947720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 767720 2526540 ) ( 767720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 587720 2526540 ) ( 587720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 407720 2526540 ) ( 407720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 227720 -28670 ) ( 227720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 47720 -28670 ) ( 47720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2947300 -23870 ) ( 2947300 3543550 )
NEW met4 3100 + SHAPE STRIPE ( -27680 -23870 ) ( -27680 3543550 )
NEW met4 3100 + SHAPE STRIPE ( 1667720 -28670 ) ( 1667720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1487720 -28670 ) ( 1487720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1307720 -28670 ) ( 1307720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 1143785 ) ( 1127720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 947720 1143785 ) ( 947720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 767720 1143785 ) ( 767720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 587720 1143785 ) ( 587720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 1143785 ) ( 407720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1127720 -28670 ) ( 1127720 290000 )
NEW met4 3100 + SHAPE STRIPE ( 947720 -28670 ) ( 947720 290000 )
NEW met4 3100 + SHAPE STRIPE ( 767720 -28670 ) ( 767720 290000 )
NEW met4 3100 + SHAPE STRIPE ( 587720 -28670 ) ( 587720 290000 )
NEW met4 3100 + SHAPE STRIPE ( 407720 -28670 ) ( 407720 290000 ) ;
- vdda2 ( PIN vdda2 ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 2956900 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3551600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3491680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3311680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 3131680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2951680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2771680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2591680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2411680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2231680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 2051680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1871680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1691680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1511680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1331680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 1151680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 971680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 791680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 611680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 431680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 251680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 71680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2956900 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2766320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2586320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2406320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2226320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2046320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1866320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1686320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1506320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1326320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1146320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 966320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 786320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 606320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 426320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 246320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 66320 -31920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -37280 -31920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -38830 3551600 ) ( 2958450 3551600 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3491680 ) ( 2963250 3491680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3311680 ) ( 2963250 3311680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3131680 ) ( 2963250 3131680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2951680 ) ( 2963250 2951680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2771680 ) ( 2963250 2771680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2591680 ) ( 2963250 2591680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2411680 ) ( 2963250 2411680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2231680 ) ( 2963250 2231680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2051680 ) ( 2963250 2051680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1871680 ) ( 2963250 1871680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1691680 ) ( 2963250 1691680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1511680 ) ( 2963250 1511680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1331680 ) ( 2963250 1331680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1151680 ) ( 2963250 1151680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 971680 ) ( 2963250 971680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 791680 ) ( 2963250 791680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 611680 ) ( 2963250 611680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 431680 ) ( 2963250 431680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 251680 ) ( 2963250 251680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 71680 ) ( 2963250 71680 )
NEW met5 3100 + SHAPE STRIPE ( -38830 -31920 ) ( 2958450 -31920 )
NEW met4 3100 + SHAPE STRIPE ( 2766320 -38270 ) ( 2766320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2586320 -38270 ) ( 2586320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2406320 -38270 ) ( 2406320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2226320 -38270 ) ( 2226320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2046320 -38270 ) ( 2046320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1866320 -38270 ) ( 1866320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 2526540 ) ( 1686320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 2526540 ) ( 1506320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 2526540 ) ( 1326320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 2526540 ) ( 1146320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 966320 2526540 ) ( 966320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 786320 2526540 ) ( 786320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 606320 2526540 ) ( 606320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 426320 2526540 ) ( 426320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 246320 -38270 ) ( 246320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 66320 -38270 ) ( 66320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2956900 -33470 ) ( 2956900 3553150 )
NEW met4 3100 + SHAPE STRIPE ( -37280 -33470 ) ( -37280 3553150 )
NEW met4 3100 + SHAPE STRIPE ( 1686320 -38270 ) ( 1686320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1506320 -38270 ) ( 1506320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1326320 -38270 ) ( 1326320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1146320 -38270 ) ( 1146320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 966320 1143785 ) ( 966320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 786320 1143785 ) ( 786320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 606320 1143785 ) ( 606320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 1143785 ) ( 426320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 966320 -38270 ) ( 966320 290000 )
NEW met4 3100 + SHAPE STRIPE ( 786320 -38270 ) ( 786320 290000 )
NEW met4 3100 + SHAPE STRIPE ( 606320 -38270 ) ( 606320 290000 )
NEW met4 3100 + SHAPE STRIPE ( 426320 -38270 ) ( 426320 290000 ) ;
- vssa1 ( PIN vssa1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2952100 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3546800 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3383080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3203080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 3023080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2843080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2663080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2483080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2303080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 2123080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1943080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1763080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1583080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1403080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1223080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 1043080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 863080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 683080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 503080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 323080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 143080 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2952100 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2837720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2657720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2477720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2297720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2117720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1937720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1757720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1577720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1397720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1217720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1037720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 857720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 677720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 497720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 317720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 137720 -27120 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -32480 -27120 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -34030 3546800 ) ( 2953650 3546800 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3383080 ) ( 2953650 3383080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3203080 ) ( 2953650 3203080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 3023080 ) ( 2953650 3023080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2843080 ) ( 2953650 2843080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2663080 ) ( 2953650 2663080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2483080 ) ( 2953650 2483080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2303080 ) ( 2953650 2303080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 2123080 ) ( 2953650 2123080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1943080 ) ( 2953650 1943080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1763080 ) ( 2953650 1763080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1583080 ) ( 2953650 1583080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1403080 ) ( 2953650 1403080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1223080 ) ( 2953650 1223080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 1043080 ) ( 2953650 1043080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 863080 ) ( 2953650 863080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 683080 ) ( 2953650 683080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 503080 ) ( 2953650 503080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 323080 ) ( 2953650 323080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 143080 ) ( 2953650 143080 )
NEW met5 3100 + SHAPE STRIPE ( -34030 -27120 ) ( 2953650 -27120 )
NEW met4 3100 + SHAPE STRIPE ( 2952100 -28670 ) ( 2952100 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2837720 -28670 ) ( 2837720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2657720 -28670 ) ( 2657720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2477720 -28670 ) ( 2477720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2297720 -28670 ) ( 2297720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 2117720 -28670 ) ( 2117720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1937720 -28670 ) ( 1937720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 2526540 ) ( 1757720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 2526540 ) ( 1577720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 2526540 ) ( 1397720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 2526540 ) ( 1217720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 1143785 ) ( 1037720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 857720 2526540 ) ( 857720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 677720 2526540 ) ( 677720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 497720 2526540 ) ( 497720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 317720 2526540 ) ( 317720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 137720 -28670 ) ( 137720 3548350 )
NEW met4 3100 + SHAPE STRIPE ( -32480 -28670 ) ( -32480 3548350 )
NEW met4 3100 + SHAPE STRIPE ( 1757720 -28670 ) ( 1757720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1577720 -28670 ) ( 1577720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1397720 -28670 ) ( 1397720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1217720 -28670 ) ( 1217720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 857720 1143785 ) ( 857720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 677720 1143785 ) ( 677720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 497720 1143785 ) ( 497720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 317720 1143785 ) ( 317720 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1037720 -28670 ) ( 1037720 290000 )
NEW met4 3100 + SHAPE STRIPE ( 857720 -28670 ) ( 857720 290000 )
NEW met4 3100 + SHAPE STRIPE ( 677720 -28670 ) ( 677720 290000 )
NEW met4 3100 + SHAPE STRIPE ( 497720 -28670 ) ( 497720 290000 )
NEW met4 3100 + SHAPE STRIPE ( 317720 -28670 ) ( 317720 290000 ) ;
- vssa2 ( PIN vssa2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2961700 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3556400 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3401680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3221680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 3041680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2861680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2681680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2501680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2321680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 2141680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1961680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1781680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1601680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1421680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1241680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 1061680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 881680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 701680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 521680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 341680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 161680 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2961700 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2856320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2676320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2496320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2316320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2136320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1956320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1776320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1596320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1416320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1236320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1056320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 876320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 696320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 516320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 336320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 156320 -36720 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -42080 -36720 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -43630 3556400 ) ( 2963250 3556400 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3401680 ) ( 2963250 3401680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3221680 ) ( 2963250 3221680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 3041680 ) ( 2963250 3041680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2861680 ) ( 2963250 2861680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2681680 ) ( 2963250 2681680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2501680 ) ( 2963250 2501680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2321680 ) ( 2963250 2321680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 2141680 ) ( 2963250 2141680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1961680 ) ( 2963250 1961680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1781680 ) ( 2963250 1781680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1601680 ) ( 2963250 1601680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1421680 ) ( 2963250 1421680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1241680 ) ( 2963250 1241680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 1061680 ) ( 2963250 1061680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 881680 ) ( 2963250 881680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 701680 ) ( 2963250 701680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 521680 ) ( 2963250 521680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 341680 ) ( 2963250 341680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 161680 ) ( 2963250 161680 )
NEW met5 3100 + SHAPE STRIPE ( -43630 -36720 ) ( 2963250 -36720 )
NEW met4 3100 + SHAPE STRIPE ( 2961700 -38270 ) ( 2961700 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2856320 -38270 ) ( 2856320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2676320 -38270 ) ( 2676320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2496320 -38270 ) ( 2496320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2316320 -38270 ) ( 2316320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 2136320 -38270 ) ( 2136320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1956320 -38270 ) ( 1956320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 2526540 ) ( 1776320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 2526540 ) ( 1596320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 2526540 ) ( 1416320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 2526540 ) ( 1236320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 1143785 ) ( 1056320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 876320 2526540 ) ( 876320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 696320 2526540 ) ( 696320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 516320 2526540 ) ( 516320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 336320 2526540 ) ( 336320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 156320 -38270 ) ( 156320 3557950 )
NEW met4 3100 + SHAPE STRIPE ( -42080 -38270 ) ( -42080 3557950 )
NEW met4 3100 + SHAPE STRIPE ( 1776320 -38270 ) ( 1776320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1596320 -38270 ) ( 1596320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1416320 -38270 ) ( 1416320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1236320 -38270 ) ( 1236320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 876320 1143785 ) ( 876320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 696320 1143785 ) ( 696320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 516320 1143785 ) ( 516320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 336320 1143785 ) ( 336320 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1056320 -38270 ) ( 1056320 290000 )
NEW met4 3100 + SHAPE STRIPE ( 876320 -38270 ) ( 876320 290000 )
NEW met4 3100 + SHAPE STRIPE ( 696320 -38270 ) ( 696320 290000 )
NEW met4 3100 + SHAPE STRIPE ( 516320 -38270 ) ( 516320 290000 )
NEW met4 3100 + SHAPE STRIPE ( 336320 -38270 ) ( 336320 290000 ) ;
- vssd1 ( PIN vssd1 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 1780870 2445880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1102230 2445880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1780870 2265880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1102230 2265880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 980870 2445880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 302230 2445880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 980870 2265880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 302230 2265880 ) via4_1740x3100
NEW met4 0 + SHAPE STRIPE ( 1013040 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859440 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 705840 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552240 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 398640 1005880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013040 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859440 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 705840 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552240 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 398640 825880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013040 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859440 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 705840 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552240 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 398640 645880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 1013040 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 859440 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 705840 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 552240 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 398640 465880 ) via4_1600x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3527600 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3345880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 3165880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2985880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2805880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2625880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2445880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2265880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 2085880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1905880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1725880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1545880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1365880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1185880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 1005880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 825880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 645880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 465880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 285880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 105880 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2932900 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2800520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2620520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2440520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2260520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2080520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1900520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1720520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1540520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1360520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1180520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1000520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 820520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 640520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 460520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 280520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 100520 -7920 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -13280 -7920 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -14830 3527600 ) ( 2934450 3527600 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3345880 ) ( 2934450 3345880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 3165880 ) ( 2934450 3165880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2985880 ) ( 2934450 2985880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2805880 ) ( 2934450 2805880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2625880 ) ( 2934450 2625880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2445880 ) ( 2934450 2445880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2265880 ) ( 2934450 2265880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 2085880 ) ( 2934450 2085880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1905880 ) ( 2934450 1905880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1725880 ) ( 2934450 1725880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1545880 ) ( 2934450 1545880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1365880 ) ( 2934450 1365880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1185880 ) ( 2934450 1185880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 1005880 ) ( 2934450 1005880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 825880 ) ( 2934450 825880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 645880 ) ( 2934450 645880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 465880 ) ( 2934450 465880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 285880 ) ( 2934450 285880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 105880 ) ( 2934450 105880 )
NEW met5 3100 + SHAPE STRIPE ( -14830 -7920 ) ( 2934450 -7920 )
NEW met4 3100 + SHAPE STRIPE ( 2932900 -9470 ) ( 2932900 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2800520 -9470 ) ( 2800520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2620520 -9470 ) ( 2620520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2440520 -9470 ) ( 2440520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2260520 -9470 ) ( 2260520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 2080520 -9470 ) ( 2080520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1900520 -9470 ) ( 1900520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 2526540 ) ( 1720520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 2526540 ) ( 1540520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 2526540 ) ( 1360520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 2526540 ) ( 1180520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 1143785 ) ( 1000520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 820520 2526540 ) ( 820520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 640520 2526540 ) ( 640520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 460520 2526540 ) ( 460520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 280520 -9470 ) ( 280520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 100520 -9470 ) ( 100520 3529150 )
NEW met4 3100 + SHAPE STRIPE ( -13280 -9470 ) ( -13280 3529150 )
NEW met4 3100 + SHAPE STRIPE ( 1720520 -9470 ) ( 1720520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1540520 -9470 ) ( 1540520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1360520 -9470 ) ( 1360520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1180520 -9470 ) ( 1180520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 820520 1143785 ) ( 820520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 640520 1143785 ) ( 640520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 460520 1143785 ) ( 460520 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1000520 -9470 ) ( 1000520 290000 )
NEW met4 3100 + SHAPE STRIPE ( 820520 -9470 ) ( 820520 290000 )
NEW met4 3100 + SHAPE STRIPE ( 640520 -9470 ) ( 640520 290000 )
NEW met4 3100 + SHAPE STRIPE ( 460520 -9470 ) ( 460520 290000 ) ;
- vssd2 ( PIN vssd2 ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 2942500 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3537200 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3364480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3184480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 3004480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2824480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2644480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2464480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2284480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 2104480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1924480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1744480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1564480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1384480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1204480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 1024480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 844480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 664480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 484480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 304480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 124480 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2942500 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2819120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2639120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2459120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2279120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 2099120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1919120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1739120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1559120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1379120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1199120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 1019120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 839120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 659120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 479120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 299120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( 119120 -17520 ) via4_3100x3100
NEW met4 0 + SHAPE STRIPE ( -22880 -17520 ) via4_3100x3100
NEW met5 3100 + SHAPE STRIPE ( -24430 3537200 ) ( 2944050 3537200 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3364480 ) ( 2944050 3364480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3184480 ) ( 2944050 3184480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 3004480 ) ( 2944050 3004480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2824480 ) ( 2944050 2824480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2644480 ) ( 2944050 2644480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2464480 ) ( 2944050 2464480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2284480 ) ( 2944050 2284480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 2104480 ) ( 2944050 2104480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1924480 ) ( 2944050 1924480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1744480 ) ( 2944050 1744480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1564480 ) ( 2944050 1564480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1384480 ) ( 2944050 1384480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1204480 ) ( 2944050 1204480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 1024480 ) ( 2944050 1024480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 844480 ) ( 2944050 844480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 664480 ) ( 2944050 664480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 484480 ) ( 2944050 484480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 304480 ) ( 2944050 304480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 124480 ) ( 2944050 124480 )
NEW met5 3100 + SHAPE STRIPE ( -24430 -17520 ) ( 2944050 -17520 )
NEW met4 3100 + SHAPE STRIPE ( 2942500 -19070 ) ( 2942500 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2819120 -19070 ) ( 2819120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2639120 -19070 ) ( 2639120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2459120 -19070 ) ( 2459120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2279120 -19070 ) ( 2279120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 2099120 -19070 ) ( 2099120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1919120 -19070 ) ( 1919120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 2526540 ) ( 1739120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 2526540 ) ( 1559120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 2526540 ) ( 1379120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 2526540 ) ( 1199120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 1143785 ) ( 1019120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 839120 2526540 ) ( 839120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 659120 2526540 ) ( 659120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 479120 2526540 ) ( 479120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 299120 2526540 ) ( 299120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 119120 -19070 ) ( 119120 3538750 )
NEW met4 3100 + SHAPE STRIPE ( -22880 -19070 ) ( -22880 3538750 )
NEW met4 3100 + SHAPE STRIPE ( 1739120 -19070 ) ( 1739120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1559120 -19070 ) ( 1559120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1379120 -19070 ) ( 1379120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1199120 -19070 ) ( 1199120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 839120 1143785 ) ( 839120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 659120 1143785 ) ( 659120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 479120 1143785 ) ( 479120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 299120 1143785 ) ( 299120 2090000 )
NEW met4 3100 + SHAPE STRIPE ( 1019120 -19070 ) ( 1019120 290000 )
NEW met4 3100 + SHAPE STRIPE ( 839120 -19070 ) ( 839120 290000 )
NEW met4 3100 + SHAPE STRIPE ( 659120 -19070 ) ( 659120 290000 )
NEW met4 3100 + SHAPE STRIPE ( 479120 -19070 ) ( 479120 290000 )
NEW met4 3100 + SHAPE STRIPE ( 299120 -19070 ) ( 299120 290000 ) ;
END SPECIALNETS
NETS 624 ;
- analog_io[0] ( PIN analog_io[0] ) + USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] ) + USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] ) + USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] ) + USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] ) + USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] ) + USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] ) + USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] ) + USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] ) + USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] ) + USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] ) + USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] ) + USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] ) + USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] ) + USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] ) + USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] ) + USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] ) + USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] ) + USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] ) + USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] ) + USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] ) + USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] ) + USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] ) + USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] ) + USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] ) + USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] ) + USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] ) + USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] ) + USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] ) + USE SIGNAL ;
- i_dout0\[0\] ( sram dout0[0] ) ( mprj i_dout0[0] ) + USE SIGNAL
+ ROUTED met3 ( 281290 307020 ) ( 300380 * 0 )
NEW met2 ( 281290 307020 ) ( * 1126590 )
NEW li1 ( 442750 1126590 ) ( * 1131350 )
NEW met1 ( 281290 1126590 ) ( 442750 * )
NEW met4 ( 441630 2099500 ) ( * 2100530 0 )
NEW met4 ( 441630 2099500 ) ( 442060 * )
NEW met4 ( 442060 2083860 ) ( * 2099500 )
NEW met3 ( 442060 2083860 ) ( 442750 * )
NEW met2 ( 442750 1131350 ) ( * 2083860 )
NEW met2 ( 281290 307020 ) M2M3_PR_M
NEW met1 ( 281290 1126590 ) M1M2_PR
NEW li1 ( 442750 1126590 ) L1M1_PR_MR
NEW li1 ( 442750 1131350 ) L1M1_PR_MR
NEW met1 ( 442750 1131350 ) M1M2_PR
NEW met3 ( 442060 2083860 ) M3M4_PR
NEW met2 ( 442750 2083860 ) M2M3_PR_M
NEW met1 ( 442750 1131350 ) RECT ( -355 -70 0 70 ) ;
- i_dout0\[10\] ( sram dout0[10] ) ( mprj i_dout0[10] ) + USE SIGNAL
+ ROUTED met2 ( 969910 286620 ) ( * 300220 0 )
NEW met4 ( 568110 2099500 ) ( * 2100530 0 )
NEW met4 ( 568110 2099500 ) ( 569020 * )
NEW met4 ( 569020 2096100 ) ( * 2099500 )
NEW met4 ( 569020 2096100 ) ( 571780 * )
NEW met4 ( 571780 2087260 ) ( * 2096100 )
NEW met3 ( 969910 286620 ) ( 1021660 * )
NEW met3 ( 571780 2087260 ) ( 1021660 * )
NEW met4 ( 1021660 286620 ) ( * 2087260 )
NEW met2 ( 969910 286620 ) M2M3_PR_M
NEW met3 ( 571780 2087260 ) M3M4_PR
NEW met3 ( 1021660 286620 ) M3M4_PR
NEW met3 ( 1021660 2087260 ) M3M4_PR ;
- i_dout0\[11\] ( sram dout0[11] ) ( mprj i_dout0[11] ) + USE SIGNAL
+ ROUTED met4 ( 580350 2099500 ) ( * 2100530 0 )
NEW met4 ( 580060 2099500 ) ( 580350 * )
NEW met4 ( 580060 2083860 ) ( * 2099500 )
NEW met3 ( 580060 2083860 ) ( 585810 * )
NEW met2 ( 585810 1178950 ) ( * 2083860 )
NEW met1 ( 585810 1178950 ) ( 1128610 * )
NEW met3 ( 1122860 749700 0 ) ( 1128610 * )
NEW met2 ( 1128610 749700 ) ( * 1178950 )
NEW met1 ( 585810 1178950 ) M1M2_PR
NEW met3 ( 580060 2083860 ) M3M4_PR
NEW met2 ( 585810 2083860 ) M2M3_PR_M
NEW met1 ( 1128610 1178950 ) M1M2_PR
NEW met2 ( 1128610 749700 ) M2M3_PR_M ;
- i_dout0\[12\] ( sram dout0[12] ) ( mprj i_dout0[12] ) + USE SIGNAL
+ ROUTED met4 ( 592590 2099500 ) ( * 2100530 0 )
NEW met4 ( 592590 2099500 ) ( 592940 * )
NEW met4 ( 592940 2083860 ) ( * 2099500 )
NEW met3 ( 592940 2083860 ) ( 593170 * )
NEW met2 ( 593170 1161610 ) ( * 2083860 )
NEW met2 ( 976810 1133220 ) ( 979110 * 0 )
NEW met2 ( 976810 1133220 ) ( * 1161610 )
NEW met1 ( 593170 1161610 ) ( 976810 * )
NEW met1 ( 593170 1161610 ) M1M2_PR
NEW met1 ( 976810 1161610 ) M1M2_PR
NEW met3 ( 592940 2083860 ) M3M4_PR
NEW met2 ( 593170 2083860 ) M2M3_PR_M
NEW met3 ( 592940 2083860 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[13\] ( sram dout0[13] ) ( mprj i_dout0[13] ) + USE SIGNAL
+ ROUTED met4 ( 604830 2099500 ) ( * 2100530 0 )
NEW met4 ( 604830 2099500 ) ( 604900 * )
NEW met4 ( 604900 2090660 ) ( * 2099500 )
NEW met3 ( 604900 2090660 ) ( 606970 * )
NEW met2 ( 606970 1179290 ) ( * 2090660 )
NEW met3 ( 1122860 803420 0 ) ( 1129070 * )
NEW met1 ( 606970 1179290 ) ( 1129070 * )
NEW met2 ( 1129070 803420 ) ( * 1179290 )
NEW met1 ( 606970 1179290 ) M1M2_PR
NEW met3 ( 604900 2090660 ) M3M4_PR
NEW met2 ( 606970 2090660 ) M2M3_PR_M
NEW met2 ( 1129070 803420 ) M2M3_PR_M
NEW met1 ( 1129070 1179290 ) M1M2_PR ;
- i_dout0\[14\] ( sram dout0[14] ) ( mprj i_dout0[14] ) + USE SIGNAL
+ ROUTED met3 ( 280830 730660 ) ( 300380 * 0 )
NEW met2 ( 280830 730660 ) ( * 1124890 )
NEW li1 ( 614790 1124890 ) ( * 1131350 )
NEW met1 ( 280830 1124890 ) ( 614790 * )
NEW met2 ( 614330 1242000 ) ( 614790 * )
NEW met2 ( 614790 1131350 ) ( * 1242000 )
NEW met4 ( 617750 2099500 ) ( * 2100530 0 )
NEW met4 ( 617750 2099500 ) ( 617780 * )
NEW met4 ( 617780 2083860 ) ( * 2099500 )
NEW met3 ( 614330 2083860 ) ( 617780 * )
NEW met2 ( 614330 1242000 ) ( * 2083860 )
NEW met2 ( 280830 730660 ) M2M3_PR_M
NEW met1 ( 280830 1124890 ) M1M2_PR
NEW li1 ( 614790 1124890 ) L1M1_PR_MR
NEW li1 ( 614790 1131350 ) L1M1_PR_MR
NEW met1 ( 614790 1131350 ) M1M2_PR
NEW met3 ( 617780 2083860 ) M3M4_PR
NEW met2 ( 614330 2083860 ) M2M3_PR_M
NEW met1 ( 614790 1131350 ) RECT ( -355 -70 0 70 ) ;
- i_dout0\[15\] ( sram dout0[15] ) ( mprj i_dout0[15] ) + USE SIGNAL
+ ROUTED met2 ( 996590 1133220 ) ( 998430 * 0 )
NEW met2 ( 996590 1133220 ) ( * 1151750 )
NEW met1 ( 852150 1151750 ) ( 996590 * )
NEW met4 ( 629990 2099500 ) ( * 2100530 0 )
NEW met4 ( 629740 2099500 ) ( 629990 * )
NEW met4 ( 629740 2090660 ) ( * 2099500 )
NEW met3 ( 629740 2090660 ) ( 629970 * )
NEW met2 ( 629970 2082670 ) ( * 2090660 )
NEW met1 ( 629970 2082670 ) ( 852150 * )
NEW met2 ( 852150 1151750 ) ( * 2082670 )
NEW met1 ( 996590 1151750 ) M1M2_PR
NEW met1 ( 852150 1151750 ) M1M2_PR
NEW met3 ( 629740 2090660 ) M3M4_PR
NEW met2 ( 629970 2090660 ) M2M3_PR_M
NEW met1 ( 629970 2082670 ) M1M2_PR
NEW met1 ( 852150 2082670 ) M1M2_PR
NEW met3 ( 629740 2090660 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[16\] ( sram dout0[16] ) ( mprj i_dout0[16] ) + USE SIGNAL
+ ROUTED met1 ( 686550 1144610 ) ( * 1145290 )
NEW met1 ( 648370 1145290 ) ( 686550 * )
NEW met4 ( 641550 2099500 ) ( * 2100530 0 )
NEW met4 ( 641550 2099500 ) ( 641700 * )
NEW met4 ( 641700 2090660 ) ( * 2099500 )
NEW met3 ( 641700 2090660 ) ( 648370 * )
NEW met2 ( 648370 1145290 ) ( * 2090660 )
NEW met2 ( 1130450 1110950 ) ( * 1144610 )
NEW met1 ( 1129530 1110950 ) ( 1130450 * )
NEW met1 ( 686550 1144610 ) ( 1130450 * )
NEW met2 ( 1129530 857140 ) ( * 1110950 )
NEW met3 ( 1122860 857140 0 ) ( 1129530 * )
NEW met2 ( 1129530 857140 ) M2M3_PR_M
NEW met1 ( 648370 1145290 ) M1M2_PR
NEW met3 ( 641700 2090660 ) M3M4_PR
NEW met2 ( 648370 2090660 ) M2M3_PR_M
NEW met1 ( 1130450 1144610 ) M1M2_PR
NEW met1 ( 1130450 1110950 ) M1M2_PR
NEW met1 ( 1129530 1110950 ) M1M2_PR ;
- i_dout0\[17\] ( sram dout0[17] ) ( mprj i_dout0[17] ) + USE SIGNAL
+ ROUTED met2 ( 1012230 283050 ) ( * 300220 0 )
NEW met1 ( 1012230 283050 ) ( 1117570 * )
NEW met4 ( 655150 2099500 ) ( * 2100530 0 )
NEW met4 ( 655150 2099500 ) ( 655500 * )
NEW met4 ( 655500 2090660 ) ( * 2099500 )
NEW met3 ( 655270 2090660 ) ( 655500 * )
NEW met2 ( 655270 2088790 ) ( * 2090660 )
NEW met1 ( 655270 2088790 ) ( 1117570 * )
NEW met2 ( 1117570 283050 ) ( * 2088790 )
NEW met1 ( 1012230 283050 ) M1M2_PR
NEW met1 ( 1117570 283050 ) M1M2_PR
NEW met3 ( 655500 2090660 ) M3M4_PR
NEW met2 ( 655270 2090660 ) M2M3_PR_M
NEW met1 ( 655270 2088790 ) M1M2_PR
NEW met1 ( 1117570 2088790 ) M1M2_PR
NEW met3 ( 655500 2090660 ) RECT ( 0 -150 390 150 ) ;
- i_dout0\[18\] ( sram dout0[18] ) ( mprj i_dout0[18] ) + USE SIGNAL
+ ROUTED met4 ( 667390 2099500 ) ( * 2100530 0 )
NEW met4 ( 667390 2099500 ) ( 667460 * )
NEW met4 ( 667460 2083860 ) ( * 2099500 )
NEW met3 ( 667460 2083860 ) ( 669070 * )
NEW met2 ( 669070 1165350 ) ( * 2083860 )
NEW met2 ( 1007170 1147670 ) ( * 1165350 )
NEW met1 ( 1007170 1147670 ) ( 1013150 * )
NEW met1 ( 669070 1165350 ) ( 1007170 * )
NEW met2 ( 1013150 1133220 0 ) ( * 1147670 )
NEW met1 ( 669070 1165350 ) M1M2_PR
NEW met3 ( 667460 2083860 ) M3M4_PR
NEW met2 ( 669070 2083860 ) M2M3_PR_M
NEW met1 ( 1007170 1165350 ) M1M2_PR
NEW met1 ( 1007170 1147670 ) M1M2_PR
NEW met1 ( 1013150 1147670 ) M1M2_PR ;
- i_dout0\[19\] ( sram dout0[19] ) ( mprj i_dout0[19] ) + USE SIGNAL
+ ROUTED met4 ( 679630 2099500 ) ( * 2100530 0 )
NEW met4 ( 679420 2099500 ) ( 679630 * )
NEW met4 ( 679420 2090660 ) ( * 2099500 )
NEW met3 ( 679420 2090660 ) ( 680570 * )
NEW met2 ( 680570 2083350 ) ( * 2090660 )
NEW met2 ( 796950 1150730 ) ( * 2083350 )
NEW met1 ( 796950 1150730 ) ( 1022810 * )
NEW met1 ( 680570 2083350 ) ( 796950 * )
NEW met2 ( 1022810 1133220 0 ) ( * 1150730 )
NEW met1 ( 796950 1150730 ) M1M2_PR
NEW met3 ( 679420 2090660 ) M3M4_PR
NEW met2 ( 680570 2090660 ) M2M3_PR_M
NEW met1 ( 680570 2083350 ) M1M2_PR
NEW met1 ( 796950 2083350 ) M1M2_PR
NEW met1 ( 1022810 1150730 ) M1M2_PR ;
- i_dout0\[1\] ( sram dout0[1] ) ( mprj i_dout0[1] ) + USE SIGNAL
+ ROUTED met2 ( 803850 1150390 ) ( * 2081650 )
NEW met2 ( 871930 1133220 0 ) ( * 1150390 )
NEW met1 ( 803850 1150390 ) ( 871930 * )
NEW met4 ( 453870 2099500 ) ( * 2100530 0 )
NEW met4 ( 453870 2099500 ) ( 454020 * )
NEW met4 ( 454020 2090660 ) ( * 2099500 )
NEW met3 ( 454020 2090660 ) ( 454250 * )
NEW met2 ( 454250 2081650 ) ( * 2090660 )
NEW met1 ( 454250 2081650 ) ( 803850 * )
NEW met1 ( 803850 1150390 ) M1M2_PR
NEW met1 ( 871930 1150390 ) M1M2_PR
NEW met1 ( 803850 2081650 ) M1M2_PR
NEW met3 ( 454020 2090660 ) M3M4_PR
NEW met2 ( 454250 2090660 ) M2M3_PR_M
NEW met1 ( 454250 2081650 ) M1M2_PR
NEW met3 ( 454020 2090660 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[20\] ( sram dout0[20] ) ( mprj i_dout0[20] ) + USE SIGNAL
+ ROUTED met1 ( 865950 1149710 ) ( 887110 * )
NEW li1 ( 887110 1149710 ) ( * 1151410 )
NEW met4 ( 692550 2099500 ) ( * 2100530 0 )
NEW met4 ( 692300 2099500 ) ( 692550 * )
NEW met4 ( 692300 2090660 ) ( * 2099500 )
NEW met3 ( 692300 2090660 ) ( 692530 * )
NEW met2 ( 692530 2083010 ) ( * 2090660 )
NEW met2 ( 865950 1149710 ) ( * 2083010 )
NEW met1 ( 887110 1151410 ) ( 1030630 * )
NEW met1 ( 692530 2083010 ) ( 865950 * )
NEW met2 ( 1030630 1133220 ) ( 1032470 * 0 )
NEW met2 ( 1030630 1133220 ) ( * 1151410 )
NEW met1 ( 865950 1149710 ) M1M2_PR
NEW li1 ( 887110 1149710 ) L1M1_PR_MR
NEW li1 ( 887110 1151410 ) L1M1_PR_MR
NEW met3 ( 692300 2090660 ) M3M4_PR
NEW met2 ( 692530 2090660 ) M2M3_PR_M
NEW met1 ( 692530 2083010 ) M1M2_PR
NEW met1 ( 865950 2083010 ) M1M2_PR
NEW met1 ( 1030630 1151410 ) M1M2_PR
NEW met3 ( 692300 2090660 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[21\] ( sram dout0[21] ) ( mprj i_dout0[21] ) + USE SIGNAL
+ ROUTED met3 ( 280370 899980 ) ( 300380 * 0 )
NEW met1 ( 278070 1121150 ) ( 280370 * )
NEW met2 ( 278070 1121150 ) ( * 1150390 )
NEW met2 ( 280370 899980 ) ( * 1121150 )
NEW met4 ( 704790 2099500 ) ( * 2100530 0 )
NEW met4 ( 704260 2099500 ) ( 704790 * )
NEW met4 ( 704260 2083860 ) ( * 2099500 )
NEW met3 ( 704030 2083860 ) ( 704260 * )
NEW met2 ( 704030 1150390 ) ( * 2083860 )
NEW met1 ( 278070 1150390 ) ( 704030 * )
NEW met2 ( 280370 899980 ) M2M3_PR_M
NEW met1 ( 278070 1150390 ) M1M2_PR
NEW met1 ( 704030 1150390 ) M1M2_PR
NEW met1 ( 278070 1121150 ) M1M2_PR
NEW met1 ( 280370 1121150 ) M1M2_PR
NEW met3 ( 704260 2083860 ) M3M4_PR
NEW met2 ( 704030 2083860 ) M2M3_PR_M
NEW met3 ( 704260 2083860 ) RECT ( 0 -150 390 150 ) ;
- i_dout0\[22\] ( sram dout0[22] ) ( mprj i_dout0[22] ) + USE SIGNAL
+ ROUTED met3 ( 279910 914260 ) ( 300380 * 0 )
NEW met2 ( 279910 914260 ) ( * 1131010 )
NEW met1 ( 279910 1131010 ) ( 717830 * )
NEW met4 ( 717710 2099500 ) ( * 2100530 0 )
NEW met4 ( 717710 2099500 ) ( 718060 * )
NEW met4 ( 718060 2083860 ) ( * 2099500 )
NEW met3 ( 717830 2083860 ) ( 718060 * )
NEW met2 ( 717830 1131010 ) ( * 2083860 )
NEW met2 ( 279910 914260 ) M2M3_PR_M
NEW met1 ( 279910 1131010 ) M1M2_PR
NEW met1 ( 717830 1131010 ) M1M2_PR
NEW met3 ( 718060 2083860 ) M3M4_PR
NEW met2 ( 717830 2083860 ) M2M3_PR_M
NEW met3 ( 718060 2083860 ) RECT ( 0 -150 390 150 ) ;
- i_dout0\[23\] ( sram dout0[23] ) ( mprj i_dout0[23] ) + USE SIGNAL
+ ROUTED met2 ( 1049950 288490 ) ( * 300220 0 )
NEW met1 ( 1049950 288490 ) ( 1116190 * )
NEW met4 ( 729950 2099500 ) ( * 2100530 0 )
NEW met4 ( 729950 2099500 ) ( 730020 * )
NEW met4 ( 730020 2090660 ) ( * 2099500 )
NEW met3 ( 730020 2090660 ) ( 730710 * )
NEW met2 ( 730710 2081990 ) ( * 2090660 )
NEW met1 ( 730710 2081990 ) ( 1116190 * )
NEW met2 ( 1116190 288490 ) ( * 2081990 )
NEW met1 ( 1049950 288490 ) M1M2_PR
NEW met1 ( 1116190 288490 ) M1M2_PR
NEW met3 ( 730020 2090660 ) M3M4_PR
NEW met2 ( 730710 2090660 ) M2M3_PR_M
NEW met1 ( 730710 2081990 ) M1M2_PR
NEW met1 ( 1116190 2081990 ) M1M2_PR ;
- i_dout0\[24\] ( sram dout0[24] ) ( mprj i_dout0[24] ) + USE SIGNAL
+ ROUTED met3 ( 279450 956420 ) ( 300380 * 0 )
NEW met1 ( 279450 1131350 ) ( 307510 * )
NEW li1 ( 307510 1130670 ) ( * 1131350 )
NEW met2 ( 279450 956420 ) ( * 1131350 )
NEW met1 ( 307510 1130670 ) ( 738990 * )
NEW met4 ( 742870 2099500 ) ( * 2100530 0 )
NEW met4 ( 742870 2099500 ) ( 742900 * )
NEW met4 ( 742900 2083860 ) ( * 2099500 )
NEW met3 ( 738990 2083860 ) ( 742900 * )
NEW met2 ( 738990 1130670 ) ( * 2083860 )
NEW met2 ( 279450 956420 ) M2M3_PR_M
NEW met1 ( 279450 1131350 ) M1M2_PR
NEW li1 ( 307510 1131350 ) L1M1_PR_MR
NEW li1 ( 307510 1130670 ) L1M1_PR_MR
NEW met1 ( 738990 1130670 ) M1M2_PR
NEW met3 ( 742900 2083860 ) M3M4_PR
NEW met2 ( 738990 2083860 ) M2M3_PR_M ;
- i_dout0\[25\] ( sram dout0[25] ) ( mprj i_dout0[25] ) + USE SIGNAL
+ ROUTED met4 ( 755110 2099500 ) ( * 2100530 0 )
NEW met4 ( 754860 2099500 ) ( 755110 * )
NEW met4 ( 754860 2083860 ) ( * 2099500 )
NEW met3 ( 754860 2083860 ) ( 758770 * )
NEW met2 ( 758770 1130670 ) ( * 2083860 )
NEW met3 ( 1122860 1005380 0 ) ( 1124930 * )
NEW met1 ( 758770 1130670 ) ( 1124930 * )
NEW met2 ( 1124930 1005380 ) ( * 1130670 )
NEW met1 ( 758770 1130670 ) M1M2_PR
NEW met3 ( 754860 2083860 ) M3M4_PR
NEW met2 ( 758770 2083860 ) M2M3_PR_M
NEW met2 ( 1124930 1005380 ) M2M3_PR_M
NEW met1 ( 1124930 1130670 ) M1M2_PR ;
- i_dout0\[26\] ( sram dout0[26] ) ( mprj i_dout0[26] ) + USE SIGNAL
+ ROUTED met2 ( 1068810 285430 ) ( * 300220 0 )
NEW met4 ( 767350 2099500 ) ( * 2100530 0 )
NEW met4 ( 767350 2099500 ) ( 767740 * )
NEW met4 ( 767740 2090660 ) ( * 2099500 )
NEW met3 ( 767740 2090660 ) ( 772570 * )
NEW met2 ( 772570 1976590 ) ( * 2090660 )
NEW met1 ( 1068810 285430 ) ( 1125390 * )
NEW met1 ( 772570 1976590 ) ( 1125390 * )
NEW met2 ( 1125390 285430 ) ( * 1976590 )
NEW met1 ( 1068810 285430 ) M1M2_PR
NEW met1 ( 772570 1976590 ) M1M2_PR
NEW met3 ( 767740 2090660 ) M3M4_PR
NEW met2 ( 772570 2090660 ) M2M3_PR_M
NEW met1 ( 1125390 285430 ) M1M2_PR
NEW met1 ( 1125390 1976590 ) M1M2_PR ;
- i_dout0\[27\] ( sram dout0[27] ) ( mprj i_dout0[27] ) + USE SIGNAL
+ ROUTED met3 ( 282670 1012860 ) ( 300380 * 0 )
NEW met1 ( 282670 1130670 ) ( 307050 * )
NEW met1 ( 307050 1130330 ) ( * 1130670 )
NEW met2 ( 282670 1012860 ) ( * 1130670 )
NEW met4 ( 779590 2099500 ) ( * 2100530 0 )
NEW met4 ( 779590 2099500 ) ( 779700 * )
NEW met4 ( 779700 2083860 ) ( * 2099500 )
NEW met3 ( 779700 2083860 ) ( 780390 * )
NEW met2 ( 780390 1130330 ) ( * 2083860 )
NEW met1 ( 307050 1130330 ) ( 780390 * )
NEW met2 ( 282670 1012860 ) M2M3_PR_M
NEW met1 ( 282670 1130670 ) M1M2_PR
NEW met1 ( 780390 1130330 ) M1M2_PR
NEW met3 ( 779700 2083860 ) M3M4_PR
NEW met2 ( 780390 2083860 ) M2M3_PR_M ;
- i_dout0\[28\] ( sram dout0[28] ) ( mprj i_dout0[28] ) + USE SIGNAL
+ ROUTED met2 ( 1087670 285770 ) ( * 300220 0 )
NEW met4 ( 792510 2099500 ) ( * 2100530 0 )
NEW met4 ( 792510 2099500 ) ( 792580 * )
NEW met4 ( 792580 2083860 ) ( * 2099500 )
NEW met3 ( 792580 2083860 ) ( 793270 * )
NEW met2 ( 793270 1143590 ) ( * 2083860 )
NEW met1 ( 1087670 285770 ) ( 1126310 * )
NEW met2 ( 1128150 1112310 ) ( * 1143590 )
NEW met1 ( 1126310 1112310 ) ( 1128150 * )
NEW met1 ( 793270 1143590 ) ( 1128150 * )
NEW met2 ( 1126310 285770 ) ( * 1112310 )
NEW met1 ( 1087670 285770 ) M1M2_PR
NEW met1 ( 793270 1143590 ) M1M2_PR
NEW met3 ( 792580 2083860 ) M3M4_PR
NEW met2 ( 793270 2083860 ) M2M3_PR_M
NEW met1 ( 1126310 285770 ) M1M2_PR
NEW met1 ( 1128150 1143590 ) M1M2_PR
NEW met1 ( 1128150 1112310 ) M1M2_PR
NEW met1 ( 1126310 1112310 ) M1M2_PR ;
- i_dout0\[29\] ( sram dout0[29] ) ( mprj i_dout0[29] ) + USE SIGNAL
+ ROUTED met2 ( 1092270 288830 ) ( * 300220 0 )
NEW met4 ( 804750 2099500 ) ( * 2100530 0 )
NEW met4 ( 804540 2099500 ) ( 804750 * )
NEW met4 ( 804540 2083860 ) ( * 2099500 )
NEW met3 ( 804540 2083860 ) ( 807070 * )
NEW met2 ( 807070 1130330 ) ( * 2083860 )
NEW met1 ( 1092270 288830 ) ( 1127230 * )
NEW met1 ( 807070 1130330 ) ( 1127230 * )
NEW met2 ( 1127230 288830 ) ( * 1130330 )
NEW met1 ( 1092270 288830 ) M1M2_PR
NEW met1 ( 807070 1130330 ) M1M2_PR
NEW met3 ( 804540 2083860 ) M3M4_PR
NEW met2 ( 807070 2083860 ) M2M3_PR_M
NEW met1 ( 1127230 288830 ) M1M2_PR
NEW met1 ( 1127230 1130330 ) M1M2_PR ;
- i_dout0\[2\] ( sram dout0[2] ) ( mprj i_dout0[2] ) + USE SIGNAL
+ ROUTED met3 ( 1122860 413780 0 ) ( 1127690 * )
NEW met4 ( 468150 2099500 ) ( * 2100530 0 )
NEW met4 ( 468150 2099500 ) ( 468740 * )
NEW met4 ( 468740 2083860 ) ( * 2099500 )
NEW met3 ( 468050 2083860 ) ( 468740 * )
NEW met2 ( 468050 1136110 ) ( * 2083860 )
NEW met1 ( 468050 1136110 ) ( 1127690 * )
NEW met2 ( 1127690 413780 ) ( * 1136110 )
NEW met2 ( 1127690 413780 ) M2M3_PR_M
NEW met1 ( 468050 1136110 ) M1M2_PR
NEW met3 ( 468740 2083860 ) M3M4_PR
NEW met2 ( 468050 2083860 ) M2M3_PR_M
NEW met1 ( 1127690 1136110 ) M1M2_PR ;
- i_dout0\[30\] ( sram dout0[30] ) ( mprj i_dout0[30] ) + USE SIGNAL
+ ROUTED met4 ( 816990 2099500 ) ( * 2100530 0 )
NEW met4 ( 816990 2099500 ) ( 817420 * )
NEW met4 ( 817420 2083860 ) ( * 2099500 )
NEW met3 ( 817420 2083860 ) ( 820870 * )
NEW met2 ( 820870 1143930 ) ( * 2083860 )
NEW met2 ( 1126310 1112820 ) ( * 1143930 )
NEW met3 ( 1122860 1112820 0 ) ( 1126310 * )
NEW met1 ( 820870 1143930 ) ( 1126310 * )
NEW met1 ( 820870 1143930 ) M1M2_PR
NEW met3 ( 817420 2083860 ) M3M4_PR
NEW met2 ( 820870 2083860 ) M2M3_PR_M
NEW met1 ( 1126310 1143930 ) M1M2_PR
NEW met2 ( 1126310 1112820 ) M2M3_PR_M ;
- i_dout0\[31\] ( sram dout0[31] ) ( mprj i_dout0[31] ) + USE SIGNAL
+ ROUTED met3 ( 299230 1114860 ) ( 300380 * )
NEW met3 ( 300380 1112140 0 ) ( * 1114860 )
NEW met2 ( 299230 1114860 ) ( * 1148690 )
NEW met1 ( 299230 1148690 ) ( 828230 * )
NEW met4 ( 829910 2099500 ) ( * 2100530 0 )
NEW met4 ( 829380 2099500 ) ( 829910 * )
NEW met4 ( 829380 2083860 ) ( * 2099500 )
NEW met3 ( 828230 2083860 ) ( 829380 * )
NEW met2 ( 828230 1148690 ) ( * 2083860 )
NEW met1 ( 299230 1148690 ) M1M2_PR
NEW met2 ( 299230 1114860 ) M2M3_PR_M
NEW met1 ( 828230 1148690 ) M1M2_PR
NEW met3 ( 829380 2083860 ) M3M4_PR
NEW met2 ( 828230 2083860 ) M2M3_PR_M ;
- i_dout0\[3\] ( sram dout0[3] ) ( mprj i_dout0[3] ) + USE SIGNAL
+ ROUTED met3 ( 282210 434180 ) ( 300380 * 0 )
NEW met2 ( 282210 434180 ) ( * 2081990 )
NEW met4 ( 480390 2099500 ) ( * 2100530 0 )
NEW met4 ( 480390 2099500 ) ( 480700 * )
NEW met4 ( 480700 2091340 ) ( * 2099500 )
NEW met3 ( 480470 2091340 ) ( 480700 * )
NEW met2 ( 480470 2081990 ) ( * 2091340 )
NEW met1 ( 282210 2081990 ) ( 480470 * )
NEW met2 ( 282210 434180 ) M2M3_PR_M
NEW met1 ( 282210 2081990 ) M1M2_PR
NEW met3 ( 480700 2091340 ) M3M4_PR
NEW met2 ( 480470 2091340 ) M2M3_PR_M
NEW met1 ( 480470 2081990 ) M1M2_PR
NEW met3 ( 480700 2091340 ) RECT ( 0 -150 390 150 ) ;
- i_dout0\[4\] ( sram dout0[4] ) ( mprj i_dout0[4] ) + USE SIGNAL
+ ROUTED met3 ( 492660 1131860 ) ( 493810 * )
NEW met2 ( 493810 1131350 ) ( * 1131860 )
NEW li1 ( 493810 1122170 ) ( * 1131350 )
NEW met4 ( 492630 2099500 ) ( * 2100530 0 )
NEW met4 ( 492630 2099500 ) ( 492660 * )
NEW met4 ( 492660 1131860 ) ( * 2099500 )
NEW met2 ( 908730 287300 ) ( * 300220 0 )
NEW met3 ( 908730 287300 ) ( 1015220 * )
NEW li1 ( 1014990 1122170 ) ( * 1131010 )
NEW met2 ( 1014990 1130500 ) ( * 1131010 )
NEW met3 ( 1014990 1130500 ) ( 1015220 * )
NEW met1 ( 493810 1122170 ) ( 1014990 * )
NEW met4 ( 1015220 287300 ) ( * 1130500 )
NEW met3 ( 492660 1131860 ) M3M4_PR
NEW met2 ( 493810 1131860 ) M2M3_PR_M
NEW li1 ( 493810 1131350 ) L1M1_PR_MR
NEW met1 ( 493810 1131350 ) M1M2_PR
NEW li1 ( 493810 1122170 ) L1M1_PR_MR
NEW met2 ( 908730 287300 ) M2M3_PR_M
NEW met3 ( 1015220 287300 ) M3M4_PR
NEW li1 ( 1014990 1122170 ) L1M1_PR_MR
NEW li1 ( 1014990 1131010 ) L1M1_PR_MR
NEW met1 ( 1014990 1131010 ) M1M2_PR
NEW met2 ( 1014990 1130500 ) M2M3_PR_M
NEW met3 ( 1015220 1130500 ) M3M4_PR
NEW met1 ( 493810 1131350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 1014990 1131010 ) RECT ( -355 -70 0 70 )
NEW met3 ( 1014990 1130500 ) RECT ( -390 -150 0 150 ) ;
- i_dout0\[5\] ( sram dout0[5] ) ( mprj i_dout0[5] ) + USE SIGNAL
+ ROUTED li1 ( 508990 1127950 ) ( * 1133730 )
NEW met4 ( 505550 2099500 ) ( * 2100530 0 )
NEW met4 ( 505540 2099500 ) ( 505550 * )
NEW met4 ( 505540 2083860 ) ( * 2099500 )
NEW met3 ( 505540 2083860 ) ( 508990 * )
NEW met2 ( 508990 1133730 ) ( * 2083860 )
NEW met3 ( 1119870 550460 ) ( 1120100 * )
NEW met3 ( 1120100 547740 0 ) ( * 550460 )
NEW met1 ( 508990 1127950 ) ( 1119870 * )
NEW met2 ( 1119870 550460 ) ( * 1127950 )
NEW li1 ( 508990 1133730 ) L1M1_PR_MR
NEW met1 ( 508990 1133730 ) M1M2_PR
NEW li1 ( 508990 1127950 ) L1M1_PR_MR
NEW met3 ( 505540 2083860 ) M3M4_PR
NEW met2 ( 508990 2083860 ) M2M3_PR_M
NEW met2 ( 1119870 550460 ) M2M3_PR_M
NEW met1 ( 1119870 1127950 ) M1M2_PR
NEW met1 ( 508990 1133730 ) RECT ( -355 -70 0 70 ) ;
- i_dout0\[6\] ( sram dout0[6] ) ( mprj i_dout0[6] ) + USE SIGNAL
+ ROUTED met2 ( 941850 289340 ) ( * 300220 0 )
NEW met3 ( 1016140 289340 ) ( * 290020 )
NEW met3 ( 1016140 290020 ) ( 1022580 * )
NEW met3 ( 941850 289340 ) ( 1016140 * )
NEW met3 ( 518420 1131860 ) ( 518650 * )
NEW met2 ( 518650 1131350 ) ( * 1131860 )
NEW li1 ( 518650 1122510 ) ( * 1131350 )
NEW met4 ( 517790 2099500 ) ( * 2100530 0 )
NEW met4 ( 517790 2099500 ) ( 518420 * )
NEW met4 ( 518420 1131860 ) ( * 2099500 )
NEW li1 ( 1021430 1122510 ) ( * 1131010 )
NEW met2 ( 1021430 1130500 ) ( * 1131010 )
NEW met3 ( 1021430 1130500 ) ( 1022580 * )
NEW met1 ( 518650 1122510 ) ( 1021430 * )
NEW met4 ( 1022580 290020 ) ( * 1130500 )
NEW met2 ( 941850 289340 ) M2M3_PR_M
NEW met3 ( 1022580 290020 ) M3M4_PR
NEW met3 ( 518420 1131860 ) M3M4_PR
NEW met2 ( 518650 1131860 ) M2M3_PR_M
NEW li1 ( 518650 1131350 ) L1M1_PR_MR
NEW met1 ( 518650 1131350 ) M1M2_PR
NEW li1 ( 518650 1122510 ) L1M1_PR_MR
NEW li1 ( 1021430 1122510 ) L1M1_PR_MR
NEW li1 ( 1021430 1131010 ) L1M1_PR_MR
NEW met1 ( 1021430 1131010 ) M1M2_PR
NEW met2 ( 1021430 1130500 ) M2M3_PR_M
NEW met3 ( 1022580 1130500 ) M3M4_PR
NEW met3 ( 518420 1131860 ) RECT ( -390 -150 0 150 )
NEW met1 ( 518650 1131350 ) RECT ( -355 -70 0 70 )
NEW met1 ( 1021430 1131010 ) RECT ( -355 -70 0 70 ) ;
- i_dout0\[7\] ( sram dout0[7] ) ( mprj i_dout0[7] ) + USE SIGNAL
+ ROUTED met1 ( 810750 1150050 ) ( 925290 * )
NEW met4 ( 530030 2099500 ) ( * 2100530 0 )
NEW met4 ( 530030 2099500 ) ( 530380 * )
NEW met4 ( 530380 2090660 ) ( * 2099500 )
NEW met3 ( 530380 2090660 ) ( 530610 * )
NEW met2 ( 530610 2082330 ) ( * 2090660 )
NEW met1 ( 530610 2082330 ) ( 810750 * )
NEW met2 ( 810750 1150050 ) ( * 2082330 )
NEW met2 ( 925290 1133220 0 ) ( * 1150050 )
NEW met1 ( 810750 1150050 ) M1M2_PR
NEW met1 ( 925290 1150050 ) M1M2_PR
NEW met3 ( 530380 2090660 ) M3M4_PR
NEW met2 ( 530610 2090660 ) M2M3_PR_M
NEW met1 ( 530610 2082330 ) M1M2_PR
NEW met1 ( 810750 2082330 ) M1M2_PR
NEW met3 ( 530610 2090660 ) RECT ( 0 -150 390 150 ) ;
- i_dout0\[8\] ( sram dout0[8] ) ( mprj i_dout0[8] ) + USE SIGNAL
+ ROUTED met1 ( 278530 607070 ) ( 285430 * )
NEW met2 ( 285430 603500 ) ( * 607070 )
NEW met3 ( 285430 603500 ) ( 300380 * 0 )
NEW met1 ( 278530 1127950 ) ( 307050 * )
NEW li1 ( 307050 1127950 ) ( * 1129990 )
NEW met2 ( 278530 607070 ) ( * 1127950 )
NEW li1 ( 538430 1129990 ) ( * 1131350 )
NEW met1 ( 307050 1129990 ) ( 538430 * )
NEW met4 ( 541590 2099500 ) ( * 2100530 0 )
NEW met4 ( 541420 2099500 ) ( 541590 * )
NEW met4 ( 541420 2083860 ) ( * 2099500 )
NEW met3 ( 538430 2083860 ) ( 541420 * )
NEW met2 ( 538430 1131350 ) ( * 2083860 )
NEW met1 ( 278530 607070 ) M1M2_PR
NEW met1 ( 285430 607070 ) M1M2_PR
NEW met2 ( 285430 603500 ) M2M3_PR_M
NEW met1 ( 278530 1127950 ) M1M2_PR
NEW li1 ( 307050 1127950 ) L1M1_PR_MR
NEW li1 ( 307050 1129990 ) L1M1_PR_MR
NEW li1 ( 538430 1129990 ) L1M1_PR_MR
NEW li1 ( 538430 1131350 ) L1M1_PR_MR
NEW met1 ( 538430 1131350 ) M1M2_PR
NEW met3 ( 541420 2083860 ) M3M4_PR
NEW met2 ( 538430 2083860 ) M2M3_PR_M
NEW met1 ( 538430 1131350 ) RECT ( -355 -70 0 70 ) ;
- i_dout0\[9\] ( sram dout0[9] ) ( mprj i_dout0[9] ) + USE SIGNAL
+ ROUTED met3 ( 1120790 697340 ) ( 1121020 * )
NEW met3 ( 1121020 695980 0 ) ( * 697340 )
NEW met1 ( 558210 1149030 ) ( 1120790 * )
NEW met4 ( 555190 2099500 ) ( * 2100530 0 )
NEW met4 ( 555190 2099500 ) ( 555220 * )
NEW met4 ( 555220 2083860 ) ( * 2099500 )
NEW met3 ( 555220 2083860 ) ( 558210 * )
NEW met2 ( 558210 1149030 ) ( * 2083860 )
NEW met2 ( 1120790 697340 ) ( * 1149030 )
NEW met1 ( 558210 1149030 ) M1M2_PR
NEW met2 ( 1120790 697340 ) M2M3_PR_M
NEW met1 ( 1120790 1149030 ) M1M2_PR
NEW met3 ( 555220 2083860 ) M3M4_PR
NEW met2 ( 558210 2083860 ) M2M3_PR_M ;
- i_dout0_1\[0\] ( sram1 dout0[0] ) ( mprj i_dout0_1[0] ) + USE SIGNAL
+ ROUTED met3 ( 1122860 319260 0 ) ( 1131830 * )
NEW met2 ( 1131830 319260 ) ( * 321130 )
NEW met1 ( 1131830 321130 ) ( 1141950 * )
NEW met2 ( 1141950 321130 ) ( * 2081990 )
NEW met4 ( 1241630 2099500 ) ( * 2100530 0 )
NEW met4 ( 1241540 2099500 ) ( 1241630 * )
NEW met2 ( 1235330 2081990 ) ( * 2083860 )
NEW met3 ( 1235330 2083860 ) ( 1241540 * )
NEW met1 ( 1141950 2081990 ) ( 1235330 * )
NEW met4 ( 1241540 2083860 ) ( * 2099500 )
NEW met2 ( 1131830 319260 ) M2M3_PR_M
NEW met1 ( 1131830 321130 ) M1M2_PR
NEW met1 ( 1141950 321130 ) M1M2_PR
NEW met1 ( 1141950 2081990 ) M1M2_PR
NEW met1 ( 1235330 2081990 ) M1M2_PR
NEW met2 ( 1235330 2083860 ) M2M3_PR_M
NEW met3 ( 1241540 2083860 ) M3M4_PR ;
- i_dout0_1\[10\] ( sram1 dout0[10] ) ( mprj i_dout0_1[10] ) + USE SIGNAL
+ ROUTED met2 ( 965310 295630 ) ( * 300220 0 )
NEW met4 ( 1368110 2099500 ) ( * 2100530 0 )
NEW met4 ( 1367580 2099500 ) ( 1368110 * )
NEW met4 ( 1367580 2085900 ) ( * 2099500 )
NEW met3 ( 1366430 2085900 ) ( 1367580 * )
NEW met2 ( 1366430 295630 ) ( * 2085900 )
NEW met1 ( 965310 295630 ) ( 1366430 * )
NEW met1 ( 965310 295630 ) M1M2_PR
NEW met1 ( 1366430 295630 ) M1M2_PR
NEW met3 ( 1367580 2085900 ) M3M4_PR
NEW met2 ( 1366430 2085900 ) M2M3_PR_M ;
- i_dout0_1\[11\] ( sram1 dout0[11] ) ( mprj i_dout0_1[11] ) + USE SIGNAL
+ ROUTED met2 ( 1190250 737970 ) ( * 1086470 )
NEW met4 ( 1380350 2099500 ) ( * 2100530 0 )
NEW met4 ( 1380350 2099500 ) ( 1380460 * )
NEW met4 ( 1380460 2090660 ) ( * 2099500 )
NEW met3 ( 1380460 2090660 ) ( 1380690 * )
NEW met2 ( 1380690 1086470 ) ( * 2090660 )
NEW met1 ( 1190250 1086470 ) ( 1380690 * )
NEW met3 ( 1122860 736100 0 ) ( 1132750 * )
NEW met2 ( 1132750 736100 ) ( * 737970 )
NEW met1 ( 1132750 737970 ) ( 1190250 * )
NEW met1 ( 1190250 1086470 ) M1M2_PR
NEW met1 ( 1380690 1086470 ) M1M2_PR
NEW met1 ( 1190250 737970 ) M1M2_PR
NEW met3 ( 1380460 2090660 ) M3M4_PR
NEW met2 ( 1380690 2090660 ) M2M3_PR_M
NEW met2 ( 1132750 736100 ) M2M3_PR_M
NEW met1 ( 1132750 737970 ) M1M2_PR
NEW met3 ( 1380460 2090660 ) RECT ( -390 -150 0 150 ) ;
- i_dout0_1\[12\] ( sram1 dout0[12] ) ( mprj i_dout0_1[12] ) + USE SIGNAL
+ ROUTED met2 ( 974050 1133220 0 ) ( * 1163990 )
NEW met1 ( 974050 1163990 ) ( 1387590 * )
NEW met4 ( 1392590 2099500 ) ( * 2100530 0 )
NEW met4 ( 1392420 2099500 ) ( 1392590 * )
NEW met4 ( 1392420 2083860 ) ( * 2099500 )
NEW met3 ( 1387590 2083860 ) ( 1392420 * )
NEW met2 ( 1387590 1163990 ) ( * 2083860 )
NEW met1 ( 974050 1163990 ) M1M2_PR
NEW met1 ( 1387590 1163990 ) M1M2_PR
NEW met3 ( 1392420 2083860 ) M3M4_PR
NEW met2 ( 1387590 2083860 ) M2M3_PR_M ;
- i_dout0_1\[13\] ( sram1 dout0[13] ) ( mprj i_dout0_1[13] ) + USE SIGNAL
+ ROUTED met3 ( 1122860 789820 0 ) ( 1132750 * )
NEW met2 ( 1132750 789820 ) ( * 793390 )
NEW met1 ( 1132750 793390 ) ( 1400930 * )
NEW met4 ( 1404830 2099500 ) ( * 2100530 0 )
NEW met4 ( 1404380 2099500 ) ( 1404830 * )
NEW met4 ( 1404380 2083860 ) ( * 2099500 )
NEW met3 ( 1400930 2083860 ) ( 1404380 * )
NEW met2 ( 1400930 793390 ) ( * 2083860 )
NEW met2 ( 1132750 789820 ) M2M3_PR_M
NEW met1 ( 1132750 793390 ) M1M2_PR
NEW met1 ( 1400930 793390 ) M1M2_PR
NEW met3 ( 1404380 2083860 ) M3M4_PR
NEW met2 ( 1400930 2083860 ) M2M3_PR_M ;
- i_dout0_1\[14\] ( sram1 dout0[14] ) ( mprj i_dout0_1[14] ) + USE SIGNAL
+ ROUTED met2 ( 993370 291890 ) ( * 300220 0 )
NEW met1 ( 993370 291890 ) ( 1411050 * )
NEW met4 ( 1417750 2099500 ) ( * 2100530 0 )
NEW met4 ( 1417260 2099500 ) ( 1417750 * )
NEW met4 ( 1417260 2090660 ) ( * 2099500 )
NEW met3 ( 1417030 2090660 ) ( 1417260 * )
NEW met2 ( 1417030 2090490 ) ( * 2090660 )
NEW met1 ( 1411050 2090490 ) ( 1417030 * )
NEW met2 ( 1411050 291890 ) ( * 2090490 )
NEW met1 ( 993370 291890 ) M1M2_PR
NEW met1 ( 1411050 291890 ) M1M2_PR
NEW met3 ( 1417260 2090660 ) M3M4_PR
NEW met2 ( 1417030 2090660 ) M2M3_PR_M
NEW met1 ( 1417030 2090490 ) M1M2_PR
NEW met1 ( 1411050 2090490 ) M1M2_PR
NEW met3 ( 1417260 2090660 ) RECT ( 0 -150 390 150 ) ;
- i_dout0_1\[15\] ( sram1 dout0[15] ) ( mprj i_dout0_1[15] ) + USE SIGNAL
+ ROUTED met2 ( 287730 758540 ) ( * 758710 )
NEW met3 ( 287730 758540 ) ( 300380 * 0 )
NEW met1 ( 271170 1177250 ) ( 1428530 * )
NEW met1 ( 271170 758710 ) ( 287730 * )
NEW met2 ( 271170 758710 ) ( * 1177250 )
NEW met4 ( 1429990 2099500 ) ( * 2100530 0 )
NEW met4 ( 1429990 2099500 ) ( 1430140 * )
NEW met4 ( 1430140 2083860 ) ( * 2099500 )
NEW met3 ( 1428530 2083860 ) ( 1430140 * )
NEW met2 ( 1428530 1177250 ) ( * 2083860 )
NEW met1 ( 287730 758710 ) M1M2_PR
NEW met2 ( 287730 758540 ) M2M3_PR_M
NEW met1 ( 271170 1177250 ) M1M2_PR
NEW met1 ( 1428530 1177250 ) M1M2_PR
NEW met1 ( 271170 758710 ) M1M2_PR
NEW met3 ( 1430140 2083860 ) M3M4_PR
NEW met2 ( 1428530 2083860 ) M2M3_PR_M ;
- i_dout0_1\[16\] ( sram1 dout0[16] ) ( mprj i_dout0_1[16] ) + USE SIGNAL
+ ROUTED met4 ( 1441550 2099500 ) ( * 2100530 0 )
NEW met4 ( 1441180 2099500 ) ( 1441550 * )
NEW met4 ( 1441180 2090660 ) ( * 2099500 )
NEW met3 ( 1438650 2090660 ) ( 1441180 * )
NEW met2 ( 1438650 2088450 ) ( * 2090660 )
NEW met2 ( 1132750 843540 ) ( * 848470 )
NEW met1 ( 1132750 848470 ) ( 1424850 * )
NEW met2 ( 1424850 848470 ) ( * 2088450 )
NEW met1 ( 1424850 2088450 ) ( 1438650 * )
NEW met3 ( 1122860 843540 0 ) ( 1132750 * )
NEW met3 ( 1441180 2090660 ) M3M4_PR
NEW met2 ( 1438650 2090660 ) M2M3_PR_M
NEW met1 ( 1438650 2088450 ) M1M2_PR
NEW met2 ( 1132750 843540 ) M2M3_PR_M
NEW met1 ( 1132750 848470 ) M1M2_PR
NEW met1 ( 1424850 848470 ) M1M2_PR
NEW met1 ( 1424850 2088450 ) M1M2_PR ;
- i_dout0_1\[17\] ( sram1 dout0[17] ) ( mprj i_dout0_1[17] ) + USE SIGNAL
+ ROUTED met2 ( 287730 801380 ) ( * 806990 )
NEW met3 ( 287730 801380 ) ( 300380 * 0 )
NEW met4 ( 1455150 2099500 ) ( * 2100530 0 )
NEW met4 ( 1454980 2099500 ) ( 1455150 * )
NEW met4 ( 1454980 2090660 ) ( * 2099500 )
NEW met3 ( 1451070 2090660 ) ( 1454980 * )
NEW met2 ( 1451070 2080630 ) ( * 2090660 )
NEW met1 ( 273470 806990 ) ( 287730 * )
NEW met2 ( 273470 806990 ) ( * 2080630 )
NEW met1 ( 273470 2080630 ) ( 1451070 * )
NEW met1 ( 287730 806990 ) M1M2_PR
NEW met2 ( 287730 801380 ) M2M3_PR_M
NEW met3 ( 1454980 2090660 ) M3M4_PR
NEW met2 ( 1451070 2090660 ) M2M3_PR_M
NEW met1 ( 1451070 2080630 ) M1M2_PR
NEW met1 ( 273470 806990 ) M1M2_PR
NEW met1 ( 273470 2080630 ) M1M2_PR ;
- i_dout0_1\[18\] ( sram1 dout0[18] ) ( mprj i_dout0_1[18] ) + USE SIGNAL
+ ROUTED met2 ( 287730 829260 ) ( * 834870 )
NEW met3 ( 287730 829260 ) ( 300380 * 0 )
NEW met4 ( 1467390 2099500 ) ( * 2100530 0 )
NEW met4 ( 1466940 2099500 ) ( 1467390 * )
NEW met4 ( 1466940 2090660 ) ( * 2099500 )
NEW met3 ( 1464410 2090660 ) ( 1466940 * )
NEW met2 ( 1464410 2080290 ) ( * 2090660 )
NEW met1 ( 273010 834870 ) ( 287730 * )
NEW met2 ( 273010 834870 ) ( * 2080290 )
NEW met1 ( 273010 2080290 ) ( 1464410 * )
NEW met1 ( 287730 834870 ) M1M2_PR
NEW met2 ( 287730 829260 ) M2M3_PR_M
NEW met3 ( 1466940 2090660 ) M3M4_PR
NEW met2 ( 1464410 2090660 ) M2M3_PR_M
NEW met1 ( 1464410 2080290 ) M1M2_PR
NEW met1 ( 273010 834870 ) M1M2_PR
NEW met1 ( 273010 2080290 ) M1M2_PR ;
- i_dout0_1\[19\] ( sram1 dout0[19] ) ( mprj i_dout0_1[19] ) + USE SIGNAL
+ ROUTED met4 ( 1479630 2099500 ) ( * 2100530 0 )
NEW met4 ( 1479630 2099500 ) ( 1479820 * )
NEW met4 ( 1479820 2083860 ) ( * 2099500 )
NEW met3 ( 1476830 2083860 ) ( 1479820 * )
NEW met2 ( 1476830 292230 ) ( * 2083860 )
NEW met2 ( 1026490 292230 ) ( * 300220 0 )
NEW met1 ( 1026490 292230 ) ( 1476830 * )
NEW met1 ( 1476830 292230 ) M1M2_PR
NEW met3 ( 1479820 2083860 ) M3M4_PR
NEW met2 ( 1476830 2083860 ) M2M3_PR_M
NEW met1 ( 1026490 292230 ) M1M2_PR ;
- i_dout0_1\[1\] ( sram1 dout0[1] ) ( mprj i_dout0_1[1] ) + USE SIGNAL
+ ROUTED met4 ( 1253870 2099500 ) ( * 2100530 0 )
NEW met4 ( 1253500 2099500 ) ( 1253870 * )
NEW met2 ( 852610 295290 ) ( * 300220 0 )
NEW met1 ( 852610 295290 ) ( 1231650 * )
NEW met1 ( 1231650 2084030 ) ( 1249130 * )
NEW met2 ( 1249130 2084030 ) ( * 2084540 )
NEW met3 ( 1249130 2084540 ) ( 1253500 * )
NEW met2 ( 1231650 295290 ) ( * 2084030 )
NEW met4 ( 1253500 2084540 ) ( * 2099500 )
NEW met1 ( 852610 295290 ) M1M2_PR
NEW met1 ( 1231650 295290 ) M1M2_PR
NEW met1 ( 1231650 2084030 ) M1M2_PR
NEW met1 ( 1249130 2084030 ) M1M2_PR
NEW met2 ( 1249130 2084540 ) M2M3_PR_M
NEW met3 ( 1253500 2084540 ) M3M4_PR ;
- i_dout0_1\[20\] ( sram1 dout0[20] ) ( mprj i_dout0_1[20] ) + USE SIGNAL
+ ROUTED met2 ( 1035690 293250 ) ( * 300220 0 )
NEW met1 ( 1035690 293250 ) ( 1490630 * )
NEW met4 ( 1492550 2099500 ) ( * 2100530 0 )
NEW met4 ( 1492550 2099500 ) ( 1492700 * )
NEW met4 ( 1492700 2083860 ) ( * 2099500 )
NEW met3 ( 1490630 2083860 ) ( 1492700 * )
NEW met2 ( 1490630 293250 ) ( * 2083860 )
NEW met1 ( 1035690 293250 ) M1M2_PR
NEW met1 ( 1490630 293250 ) M1M2_PR
NEW met3 ( 1492700 2083860 ) M3M4_PR
NEW met2 ( 1490630 2083860 ) M2M3_PR_M ;
- i_dout0_1\[21\] ( sram1 dout0[21] ) ( mprj i_dout0_1[21] ) + USE SIGNAL
+ ROUTED met3 ( 1122860 924460 0 ) ( 1133670 * )
NEW met2 ( 1133670 924290 ) ( * 924460 )
NEW met1 ( 1133670 924290 ) ( 1301110 * )
NEW met2 ( 1301110 924290 ) ( * 2088110 )
NEW met4 ( 1504790 2099500 ) ( * 2100530 0 )
NEW met4 ( 1504660 2099500 ) ( 1504790 * )
NEW met4 ( 1504660 2090660 ) ( * 2099500 )
NEW met3 ( 1504430 2090660 ) ( 1504660 * )
NEW met2 ( 1504430 2088110 ) ( * 2090660 )
NEW met1 ( 1301110 2088110 ) ( 1504430 * )
NEW met2 ( 1133670 924460 ) M2M3_PR_M
NEW met1 ( 1133670 924290 ) M1M2_PR
NEW met1 ( 1301110 924290 ) M1M2_PR
NEW met1 ( 1301110 2088110 ) M1M2_PR
NEW met3 ( 1504660 2090660 ) M3M4_PR
NEW met2 ( 1504430 2090660 ) M2M3_PR_M
NEW met1 ( 1504430 2088110 ) M1M2_PR
NEW met3 ( 1504660 2090660 ) RECT ( 0 -150 390 150 ) ;
- i_dout0_1\[22\] ( sram1 dout0[22] ) ( mprj i_dout0_1[22] ) + USE SIGNAL
+ ROUTED met3 ( 1122860 951660 0 ) ( 1133670 * )
NEW met2 ( 1133670 951660 ) ( * 952170 )
NEW met1 ( 1133670 952170 ) ( 1404150 * )
NEW met2 ( 1404150 952170 ) ( * 2087090 )
NEW met4 ( 1517710 2099500 ) ( * 2100530 0 )
NEW met4 ( 1517540 2099500 ) ( 1517710 * )
NEW met4 ( 1517540 2090660 ) ( * 2099500 )
NEW met3 ( 1512710 2090660 ) ( 1517540 * )
NEW met2 ( 1512710 2087090 ) ( * 2090660 )
NEW met1 ( 1404150 2087090 ) ( 1512710 * )
NEW met2 ( 1133670 951660 ) M2M3_PR_M
NEW met1 ( 1133670 952170 ) M1M2_PR
NEW met1 ( 1404150 952170 ) M1M2_PR
NEW met1 ( 1404150 2087090 ) M1M2_PR
NEW met3 ( 1517540 2090660 ) M3M4_PR
NEW met2 ( 1512710 2090660 ) M2M3_PR_M
NEW met1 ( 1512710 2087090 ) M1M2_PR ;
- i_dout0_1\[23\] ( sram1 dout0[23] ) ( mprj i_dout0_1[23] ) + USE SIGNAL
+ ROUTED met2 ( 1045350 292570 ) ( * 300220 0 )
NEW met1 ( 1045350 292570 ) ( 1525130 * )
NEW met4 ( 1529950 2099500 ) ( * 2100530 0 )
NEW met4 ( 1529500 2099500 ) ( 1529950 * )
NEW met4 ( 1529500 2083860 ) ( * 2099500 )
NEW met3 ( 1525130 2083860 ) ( 1529500 * )
NEW met2 ( 1525130 292570 ) ( * 2083860 )
NEW met1 ( 1045350 292570 ) M1M2_PR
NEW met1 ( 1525130 292570 ) M1M2_PR
NEW met3 ( 1529500 2083860 ) M3M4_PR
NEW met2 ( 1525130 2083860 ) M2M3_PR_M ;
- i_dout0_1\[24\] ( sram1 dout0[24] ) ( mprj i_dout0_1[24] ) + USE SIGNAL
+ ROUTED met1 ( 1076630 1148010 ) ( 1083070 * )
NEW met2 ( 1076630 1133220 0 ) ( * 1148010 )
NEW met4 ( 1542870 2099500 ) ( * 2100530 0 )
NEW met4 ( 1542380 2099500 ) ( 1542870 * )
NEW met4 ( 1542380 2090660 ) ( * 2099500 )
NEW met3 ( 1539390 2090660 ) ( 1542380 * )
NEW met2 ( 1539390 2085730 ) ( * 2090660 )
NEW met1 ( 1083070 2085050 ) ( 1118950 * )
NEW li1 ( 1118950 2085050 ) ( * 2085730 )
NEW met2 ( 1083070 1148010 ) ( * 2085050 )
NEW met1 ( 1118950 2085730 ) ( 1539390 * )
NEW met1 ( 1076630 1148010 ) M1M2_PR
NEW met1 ( 1083070 1148010 ) M1M2_PR
NEW met3 ( 1542380 2090660 ) M3M4_PR
NEW met2 ( 1539390 2090660 ) M2M3_PR_M
NEW met1 ( 1539390 2085730 ) M1M2_PR
NEW met1 ( 1083070 2085050 ) M1M2_PR
NEW li1 ( 1118950 2085050 ) L1M1_PR_MR
NEW li1 ( 1118950 2085730 ) L1M1_PR_MR ;
- i_dout0_1\[25\] ( sram1 dout0[25] ) ( mprj i_dout0_1[25] ) + USE SIGNAL
+ ROUTED met4 ( 1555110 2099500 ) ( * 2100530 0 )
NEW met4 ( 1555110 2099500 ) ( 1555260 * )
NEW met4 ( 1555260 2090660 ) ( * 2099500 )
NEW met3 ( 1555030 2090660 ) ( 1555260 * )
NEW met2 ( 1555030 2086410 ) ( * 2090660 )
NEW met3 ( 1122860 991780 0 ) ( 1131830 * )
NEW met2 ( 1131830 991780 ) ( * 993310 )
NEW met1 ( 1131830 993310 ) ( 1411510 * )
NEW met2 ( 1411510 993310 ) ( * 2086410 )
NEW met1 ( 1411510 2086410 ) ( 1555030 * )
NEW met3 ( 1555260 2090660 ) M3M4_PR
NEW met2 ( 1555030 2090660 ) M2M3_PR_M
NEW met1 ( 1555030 2086410 ) M1M2_PR
NEW met2 ( 1131830 991780 ) M2M3_PR_M
NEW met1 ( 1131830 993310 ) M1M2_PR
NEW met1 ( 1411510 993310 ) M1M2_PR
NEW met1 ( 1411510 2086410 ) M1M2_PR
NEW met3 ( 1555260 2090660 ) RECT ( 0 -150 390 150 ) ;
- i_dout0_1\[26\] ( sram1 dout0[26] ) ( mprj i_dout0_1[26] ) + USE SIGNAL
+ ROUTED met4 ( 1567350 2099500 ) ( * 2100530 0 )
NEW met4 ( 1567220 2099500 ) ( 1567350 * )
NEW met4 ( 1567220 2090660 ) ( * 2099500 )
NEW met3 ( 1566990 2090660 ) ( 1567220 * )
NEW met2 ( 1566990 2086070 ) ( * 2090660 )
NEW met3 ( 1122860 1031900 0 ) ( 1131830 * )
NEW met2 ( 1131830 1031900 ) ( * 1034790 )
NEW met1 ( 1131830 1034790 ) ( 1425310 * )
NEW met2 ( 1425310 1034790 ) ( * 2086070 )
NEW met1 ( 1425310 2086070 ) ( 1566990 * )
NEW met3 ( 1567220 2090660 ) M3M4_PR
NEW met2 ( 1566990 2090660 ) M2M3_PR_M
NEW met1 ( 1566990 2086070 ) M1M2_PR
NEW met2 ( 1131830 1031900 ) M2M3_PR_M
NEW met1 ( 1131830 1034790 ) M1M2_PR
NEW met1 ( 1425310 1034790 ) M1M2_PR
NEW met1 ( 1425310 2086070 ) M1M2_PR
NEW met3 ( 1567220 2090660 ) RECT ( 0 -150 390 150 ) ;
- i_dout0_1\[27\] ( sram1 dout0[27] ) ( mprj i_dout0_1[27] ) + USE SIGNAL
+ ROUTED met2 ( 1078010 289170 ) ( * 300220 0 )
NEW met2 ( 1438650 289170 ) ( * 2086750 )
NEW met4 ( 1579590 2099500 ) ( * 2100530 0 )
NEW met4 ( 1579180 2099500 ) ( 1579590 * )
NEW met4 ( 1579180 2090660 ) ( * 2099500 )
NEW met3 ( 1573890 2090660 ) ( 1579180 * )
NEW met2 ( 1573890 2086750 ) ( * 2090660 )
NEW met1 ( 1078010 289170 ) ( 1438650 * )
NEW met1 ( 1438650 2086750 ) ( 1573890 * )
NEW met1 ( 1078010 289170 ) M1M2_PR
NEW met1 ( 1438650 289170 ) M1M2_PR
NEW met1 ( 1438650 2086750 ) M1M2_PR
NEW met3 ( 1579180 2090660 ) M3M4_PR
NEW met2 ( 1573890 2090660 ) M2M3_PR_M
NEW met1 ( 1573890 2086750 ) M1M2_PR ;
- i_dout0_1\[28\] ( sram1 dout0[28] ) ( mprj i_dout0_1[28] ) + USE SIGNAL
+ ROUTED met2 ( 287730 1041420 ) ( * 1041590 )
NEW met3 ( 287730 1041420 ) ( 300380 * 0 )
NEW met1 ( 275770 1176910 ) ( 1587230 * )
NEW met1 ( 275770 1041590 ) ( 287730 * )
NEW met2 ( 275770 1041590 ) ( * 1176910 )
NEW met4 ( 1592510 2099500 ) ( * 2100530 0 )
NEW met4 ( 1592060 2099500 ) ( 1592510 * )
NEW met4 ( 1592060 2089300 ) ( * 2099500 )
NEW met3 ( 1587230 2089300 ) ( 1592060 * )
NEW met2 ( 1587230 1176910 ) ( * 2089300 )
NEW met1 ( 287730 1041590 ) M1M2_PR
NEW met2 ( 287730 1041420 ) M2M3_PR_M
NEW met1 ( 275770 1176910 ) M1M2_PR
NEW met1 ( 1587230 1176910 ) M1M2_PR
NEW met1 ( 275770 1041590 ) M1M2_PR
NEW met3 ( 1592060 2089300 ) M3M4_PR
NEW met2 ( 1587230 2089300 ) M2M3_PR_M ;
- i_dout0_1\[29\] ( sram1 dout0[29] ) ( mprj i_dout0_1[29] ) + USE SIGNAL
+ ROUTED met4 ( 1604750 2099500 ) ( * 2100530 0 )
NEW met4 ( 1604750 2099500 ) ( 1604940 * )
NEW met4 ( 1604940 2090660 ) ( * 2099500 )
NEW met3 ( 1604710 2090660 ) ( 1604940 * )
NEW met2 ( 1604710 2085390 ) ( * 2090660 )
NEW met2 ( 1110670 1133220 0 ) ( * 2085390 )
NEW met1 ( 1110670 2085390 ) ( 1604710 * )
NEW met3 ( 1604940 2090660 ) M3M4_PR
NEW met2 ( 1604710 2090660 ) M2M3_PR_M
NEW met1 ( 1604710 2085390 ) M1M2_PR
NEW met1 ( 1110670 2085390 ) M1M2_PR
NEW met3 ( 1604940 2090660 ) RECT ( 0 -150 390 150 ) ;
- i_dout0_1\[2\] ( sram1 dout0[2] ) ( mprj i_dout0_1[2] ) + USE SIGNAL
+ ROUTED met4 ( 1268150 2099500 ) ( * 2100530 0 )
NEW met4 ( 1268150 2099500 ) ( 1268220 * )
NEW met3 ( 1122860 400180 0 ) ( 1132290 * )
NEW met2 ( 1132290 400010 ) ( * 400180 )
NEW met1 ( 1132290 400010 ) ( 1204510 * )
NEW met2 ( 1204510 400010 ) ( * 2088790 )
NEW met2 ( 1262930 2088790 ) ( * 2089300 )
NEW met3 ( 1262930 2089300 ) ( 1268220 * )
NEW met1 ( 1204510 2088790 ) ( 1262930 * )
NEW met4 ( 1268220 2089300 ) ( * 2099500 )
NEW met2 ( 1132290 400180 ) M2M3_PR_M
NEW met1 ( 1132290 400010 ) M1M2_PR
NEW met1 ( 1204510 400010 ) M1M2_PR
NEW met1 ( 1204510 2088790 ) M1M2_PR
NEW met1 ( 1262930 2088790 ) M1M2_PR
NEW met2 ( 1262930 2089300 ) M2M3_PR_M
NEW met3 ( 1268220 2089300 ) M3M4_PR ;
- i_dout0_1\[30\] ( sram1 dout0[30] ) ( mprj i_dout0_1[30] ) + USE SIGNAL
+ ROUTED met2 ( 1459350 1103810 ) ( * 2084030 )
NEW met3 ( 1122860 1099220 0 ) ( 1131830 * )
NEW met2 ( 1131830 1099220 ) ( * 1103810 )
NEW met1 ( 1131830 1103810 ) ( 1459350 * )
NEW met4 ( 1616990 2099500 ) ( * 2100530 0 )
NEW met4 ( 1616900 2099500 ) ( 1616990 * )
NEW met4 ( 1616900 2090660 ) ( * 2099500 )
NEW met3 ( 1616670 2090660 ) ( 1616900 * )
NEW met2 ( 1616670 2084030 ) ( * 2090660 )
NEW met1 ( 1459350 2084030 ) ( 1616670 * )
NEW met1 ( 1459350 1103810 ) M1M2_PR
NEW met1 ( 1459350 2084030 ) M1M2_PR
NEW met2 ( 1131830 1099220 ) M2M3_PR_M
NEW met1 ( 1131830 1103810 ) M1M2_PR
NEW met3 ( 1616900 2090660 ) M3M4_PR
NEW met2 ( 1616670 2090660 ) M2M3_PR_M
NEW met1 ( 1616670 2084030 ) M1M2_PR
NEW met3 ( 1616900 2090660 ) RECT ( 0 -150 390 150 ) ;
- i_dout0_1\[31\] ( sram1 dout0[31] ) ( mprj i_dout0_1[31] ) + USE SIGNAL
+ ROUTED met4 ( 1629910 2099500 ) ( * 2100530 0 )
NEW met4 ( 1629780 2099500 ) ( 1629910 * )
NEW met4 ( 1629780 2090660 ) ( * 2099500 )
NEW met3 ( 1629550 2090660 ) ( 1629780 * )
NEW met2 ( 1629550 2084710 ) ( * 2090660 )
NEW met2 ( 1115730 289510 ) ( * 300220 0 )
NEW met1 ( 1115730 289510 ) ( 1500750 * )
NEW met2 ( 1500750 289510 ) ( * 2084710 )
NEW met1 ( 1500750 2084710 ) ( 1629550 * )
NEW met3 ( 1629780 2090660 ) M3M4_PR
NEW met2 ( 1629550 2090660 ) M2M3_PR_M
NEW met1 ( 1629550 2084710 ) M1M2_PR
NEW met1 ( 1115730 289510 ) M1M2_PR
NEW met1 ( 1500750 289510 ) M1M2_PR
NEW met1 ( 1500750 2084710 ) M1M2_PR
NEW met3 ( 1629780 2090660 ) RECT ( 0 -150 390 150 ) ;
- i_dout0_1\[3\] ( sram1 dout0[3] ) ( mprj i_dout0_1[3] ) + USE SIGNAL
+ ROUTED met2 ( 288190 419900 ) ( * 420750 )
NEW met3 ( 288190 419900 ) ( 300380 * 0 )
NEW met4 ( 1280390 2099500 ) ( * 2100530 0 )
NEW met4 ( 1280390 2099500 ) ( 1281100 * )
NEW met4 ( 1281100 2084540 ) ( * 2099500 )
NEW met3 ( 1277190 2084540 ) ( 1281100 * )
NEW met2 ( 1277190 1128630 ) ( * 2084540 )
NEW met1 ( 272090 420750 ) ( 288190 * )
NEW met2 ( 272090 420750 ) ( * 1128630 )
NEW met1 ( 272090 1128630 ) ( 1277190 * )
NEW met1 ( 288190 420750 ) M1M2_PR
NEW met2 ( 288190 419900 ) M2M3_PR_M
NEW met1 ( 1277190 1128630 ) M1M2_PR
NEW met3 ( 1281100 2084540 ) M3M4_PR
NEW met2 ( 1277190 2084540 ) M2M3_PR_M
NEW met1 ( 272090 420750 ) M1M2_PR
NEW met1 ( 272090 1128630 ) M1M2_PR ;
- i_dout0_1\[4\] ( sram1 dout0[4] ) ( mprj i_dout0_1[4] ) + USE SIGNAL
+ ROUTED met1 ( 1169550 2087090 ) ( 1187490 * )
NEW li1 ( 1187490 2084710 ) ( * 2087090 )
NEW met2 ( 1169550 496570 ) ( * 2087090 )
NEW met3 ( 1122860 494020 0 ) ( 1132290 * )
NEW met2 ( 1132290 494020 ) ( * 496570 )
NEW met1 ( 1132290 496570 ) ( 1169550 * )
NEW met4 ( 1292630 2099500 ) ( * 2100530 0 )
NEW met4 ( 1292140 2099500 ) ( 1292630 * )
NEW met4 ( 1292140 2090660 ) ( * 2099500 )
NEW met3 ( 1291910 2090660 ) ( 1292140 * )
NEW met2 ( 1291910 2084710 ) ( * 2090660 )
NEW met1 ( 1187490 2084710 ) ( 1291910 * )
NEW met1 ( 1169550 496570 ) M1M2_PR
NEW met1 ( 1169550 2087090 ) M1M2_PR
NEW li1 ( 1187490 2087090 ) L1M1_PR_MR
NEW li1 ( 1187490 2084710 ) L1M1_PR_MR
NEW met2 ( 1132290 494020 ) M2M3_PR_M
NEW met1 ( 1132290 496570 ) M1M2_PR
NEW met3 ( 1292140 2090660 ) M3M4_PR
NEW met2 ( 1291910 2090660 ) M2M3_PR_M
NEW met1 ( 1291910 2084710 ) M1M2_PR
NEW met3 ( 1292140 2090660 ) RECT ( 0 -150 390 150 ) ;
- i_dout0_1\[5\] ( sram1 dout0[5] ) ( mprj i_dout0_1[5] ) + USE SIGNAL
+ ROUTED met2 ( 901370 1133220 0 ) ( 903670 * )
NEW met2 ( 903670 1133220 ) ( * 2081650 )
NEW met4 ( 1305550 2099500 ) ( * 2100530 0 )
NEW met4 ( 1305020 2099500 ) ( 1305550 * )
NEW met4 ( 1305020 2090660 ) ( * 2099500 )
NEW met3 ( 1304330 2090660 ) ( 1305020 * )
NEW met2 ( 1303870 2090660 ) ( 1304330 * )
NEW met2 ( 1303870 2081650 ) ( * 2090660 )
NEW met1 ( 903670 2081650 ) ( 1303870 * )
NEW met1 ( 903670 2081650 ) M1M2_PR
NEW met3 ( 1305020 2090660 ) M3M4_PR
NEW met2 ( 1304330 2090660 ) M2M3_PR_M
NEW met1 ( 1303870 2081650 ) M1M2_PR ;
- i_dout0_1\[6\] ( sram1 dout0[6] ) ( mprj i_dout0_1[6] ) + USE SIGNAL
+ ROUTED met1 ( 911030 1158550 ) ( 1318130 * )
NEW met2 ( 911030 1133220 0 ) ( * 1158550 )
NEW met4 ( 1317790 2099500 ) ( * 2100530 0 )
NEW met4 ( 1317790 2099500 ) ( 1317900 * )
NEW met4 ( 1317900 2083860 ) ( * 2099500 )
NEW met3 ( 1317900 2083860 ) ( 1318130 * )
NEW met2 ( 1318130 1158550 ) ( * 2083860 )
NEW met1 ( 911030 1158550 ) M1M2_PR
NEW met1 ( 1318130 1158550 ) M1M2_PR
NEW met3 ( 1317900 2083860 ) M3M4_PR
NEW met2 ( 1318130 2083860 ) M2M3_PR_M
NEW met3 ( 1317900 2083860 ) RECT ( -390 -150 0 150 ) ;
- i_dout0_1\[7\] ( sram1 dout0[7] ) ( mprj i_dout0_1[7] ) + USE SIGNAL
+ ROUTED met3 ( 1122860 628660 0 ) ( 1132290 * )
NEW met2 ( 1132290 628660 ) ( * 634610 )
NEW met1 ( 1132290 634610 ) ( 1300650 * )
NEW met4 ( 1330030 2099500 ) ( * 2100530 0 )
NEW met4 ( 1329860 2099500 ) ( 1330030 * )
NEW met4 ( 1329860 2084540 ) ( * 2099500 )
NEW met3 ( 1326870 2084540 ) ( 1329860 * )
NEW met2 ( 1326870 2084370 ) ( * 2084540 )
NEW met1 ( 1300650 2084370 ) ( 1326870 * )
NEW met2 ( 1300650 634610 ) ( * 2084370 )
NEW met2 ( 1132290 628660 ) M2M3_PR_M
NEW met1 ( 1132290 634610 ) M1M2_PR
NEW met1 ( 1300650 634610 ) M1M2_PR
NEW met3 ( 1329860 2084540 ) M3M4_PR
NEW met2 ( 1326870 2084540 ) M2M3_PR_M
NEW met1 ( 1326870 2084370 ) M1M2_PR
NEW met1 ( 1300650 2084370 ) M1M2_PR ;
- i_dout0_1\[8\] ( sram1 dout0[8] ) ( mprj i_dout0_1[8] ) + USE SIGNAL
+ ROUTED met2 ( 955650 294610 ) ( * 300220 0 )
NEW met4 ( 1341590 2099500 ) ( * 2100530 0 )
NEW met4 ( 1341590 2099500 ) ( 1341820 * )
NEW met4 ( 1341820 2090660 ) ( * 2099500 )
NEW met3 ( 1340210 2090660 ) ( 1341820 * )
NEW met2 ( 1340210 2087770 ) ( * 2090660 )
NEW met1 ( 955650 294610 ) ( 1314450 * )
NEW met2 ( 1314450 294610 ) ( * 2087770 )
NEW met1 ( 1314450 2087770 ) ( 1340210 * )
NEW met1 ( 955650 294610 ) M1M2_PR
NEW met3 ( 1341820 2090660 ) M3M4_PR
NEW met2 ( 1340210 2090660 ) M2M3_PR_M
NEW met1 ( 1340210 2087770 ) M1M2_PR
NEW met1 ( 1314450 294610 ) M1M2_PR
NEW met1 ( 1314450 2087770 ) M1M2_PR ;
- i_dout0_1\[9\] ( sram1 dout0[9] ) ( mprj i_dout0_1[9] ) + USE SIGNAL
+ ROUTED met4 ( 1355190 2099500 ) ( * 2100530 0 )
NEW met4 ( 1354700 2099500 ) ( 1355190 * )
NEW met4 ( 1354700 2083860 ) ( * 2099500 )
NEW met3 ( 1353090 2083860 ) ( 1354700 * )
NEW met2 ( 1353090 1158210 ) ( * 2083860 )
NEW met1 ( 945070 1158210 ) ( 1353090 * )
NEW met2 ( 945070 1133220 0 ) ( * 1158210 )
NEW met1 ( 1353090 1158210 ) M1M2_PR
NEW met3 ( 1354700 2083860 ) M3M4_PR
NEW met2 ( 1353090 2083860 ) M2M3_PR_M
NEW met1 ( 945070 1158210 ) M1M2_PR ;
- i_dout1\[0\] ( sram dout1[0] ) ( mprj i_dout1[0] ) + USE SIGNAL
+ ROUTED met2 ( 859970 1133220 ) ( 862270 * 0 )
NEW met2 ( 855830 1242000 ) ( 859970 * )
NEW met2 ( 859970 1133220 ) ( * 1242000 )
NEW met2 ( 855830 1242000 ) ( * 2096950 )
NEW met2 ( 994750 2096950 ) ( * 2531470 )
NEW met4 ( 443670 2516010 0 ) ( * 2517700 )
NEW met4 ( 443670 2517700 ) ( 443900 * )
NEW met4 ( 443900 2517700 ) ( * 2525860 )
NEW met3 ( 443900 2525860 ) ( 447350 * )
NEW met2 ( 447350 2525860 ) ( * 2531470 )
NEW met1 ( 447350 2531470 ) ( 994750 * )
NEW met1 ( 855830 2096950 ) ( 994750 * )
NEW met1 ( 994750 2531470 ) M1M2_PR
NEW met1 ( 855830 2096950 ) M1M2_PR
NEW met1 ( 994750 2096950 ) M1M2_PR
NEW met3 ( 443900 2525860 ) M3M4_PR
NEW met2 ( 447350 2525860 ) M2M3_PR_M
NEW met1 ( 447350 2531470 ) M1M2_PR ;
- i_dout1\[10\] ( sram dout1[10] ) ( mprj i_dout1[10] ) + USE SIGNAL
+ ROUTED met2 ( 287730 688500 ) ( * 689690 )
NEW met3 ( 287730 688500 ) ( 300380 * 0 )
NEW met4 ( 568110 2516010 0 ) ( * 2517700 )
NEW met4 ( 568100 2517700 ) ( 568110 * )
NEW met4 ( 568100 2517700 ) ( * 2519740 )
NEW met3 ( 567870 2519740 ) ( 568100 * )
NEW met2 ( 567870 2519740 ) ( * 2521270 )
NEW met1 ( 275310 689690 ) ( 287730 * )
NEW met1 ( 275310 2521270 ) ( 567870 * )
NEW met2 ( 275310 689690 ) ( * 2521270 )
NEW met1 ( 287730 689690 ) M1M2_PR
NEW met2 ( 287730 688500 ) M2M3_PR_M
NEW met3 ( 568100 2519740 ) M3M4_PR
NEW met2 ( 567870 2519740 ) M2M3_PR_M
NEW met1 ( 567870 2521270 ) M1M2_PR
NEW met1 ( 275310 689690 ) M1M2_PR
NEW met1 ( 275310 2521270 ) M1M2_PR
NEW met3 ( 568100 2519740 ) RECT ( 0 -150 390 150 ) ;
- i_dout1\[11\] ( sram dout1[11] ) ( mprj i_dout1[11] ) + USE SIGNAL
+ ROUTED met4 ( 581030 2516010 0 ) ( * 2517700 )
NEW met4 ( 580980 2517700 ) ( 581030 * )
NEW met4 ( 580980 2517700 ) ( * 2525860 )
NEW met3 ( 580980 2525860 ) ( 581210 * )
NEW met2 ( 581210 2525860 ) ( * 2527730 )
NEW li1 ( 1073870 1129650 ) ( * 1131010 )
NEW met2 ( 1073870 1131010 ) ( * 2527730 )
NEW met1 ( 581210 2527730 ) ( 1073870 * )
NEW met3 ( 1122860 763300 0 ) ( 1135510 * )
NEW met1 ( 1073870 1129650 ) ( 1135510 * )
NEW met2 ( 1135510 763300 ) ( * 1129650 )
NEW met3 ( 580980 2525860 ) M3M4_PR
NEW met2 ( 581210 2525860 ) M2M3_PR_M
NEW met1 ( 581210 2527730 ) M1M2_PR
NEW met1 ( 1073870 2527730 ) M1M2_PR
NEW li1 ( 1073870 1131010 ) L1M1_PR_MR
NEW met1 ( 1073870 1131010 ) M1M2_PR
NEW li1 ( 1073870 1129650 ) L1M1_PR_MR
NEW met2 ( 1135510 763300 ) M2M3_PR_M
NEW met1 ( 1135510 1129650 ) M1M2_PR
NEW met3 ( 580980 2525860 ) RECT ( -390 -150 0 150 )
NEW met1 ( 1073870 1131010 ) RECT ( -355 -70 0 70 ) ;
- i_dout1\[12\] ( sram dout1[12] ) ( mprj i_dout1[12] ) + USE SIGNAL
+ ROUTED met4 ( 592590 2516010 0 ) ( * 2517700 )
NEW met4 ( 592590 2517700 ) ( 592940 * )
NEW met4 ( 592940 2517700 ) ( * 2527900 )
NEW met2 ( 979570 288830 ) ( * 300220 0 )
NEW met2 ( 1076630 288830 ) ( * 299540 )
NEW met3 ( 1076630 299540 ) ( 1076860 * )
NEW met1 ( 979570 288830 ) ( 1076630 * )
NEW met3 ( 592940 2527900 ) ( 1076860 * )
NEW met4 ( 1076860 299540 ) ( * 2527900 )
NEW met3 ( 592940 2527900 ) M3M4_PR
NEW met1 ( 979570 288830 ) M1M2_PR
NEW met1 ( 1076630 288830 ) M1M2_PR
NEW met2 ( 1076630 299540 ) M2M3_PR_M
NEW met3 ( 1076860 299540 ) M3M4_PR
NEW met3 ( 1076860 2527900 ) M3M4_PR
NEW met3 ( 1076630 299540 ) RECT ( -390 -150 0 150 ) ;
- i_dout1\[13\] ( sram dout1[13] ) ( mprj i_dout1[13] ) + USE SIGNAL
+ ROUTED met4 ( 604830 2516010 0 ) ( * 2517700 )
NEW met4 ( 604830 2517700 ) ( 604900 * )
NEW met4 ( 604900 2517700 ) ( * 2519740 )
NEW met2 ( 984170 284580 ) ( * 300220 0 )
NEW met3 ( 984170 284580 ) ( 1041900 * )
NEW met3 ( 604900 2519740 ) ( 1041900 * )
NEW met4 ( 1041900 284580 ) ( * 2519740 )
NEW met3 ( 604900 2519740 ) M3M4_PR
NEW met2 ( 984170 284580 ) M2M3_PR_M
NEW met3 ( 1041900 284580 ) M3M4_PR
NEW met3 ( 1041900 2519740 ) M3M4_PR ;
- i_dout1\[14\] ( sram dout1[14] ) ( mprj i_dout1[14] ) + USE SIGNAL
+ ROUTED met2 ( 287730 744940 ) ( * 745110 )
NEW met3 ( 287730 744940 ) ( 300380 * 0 )
NEW met4 ( 618430 2516010 0 ) ( * 2518380 )
NEW met3 ( 618430 2518380 ) ( 618470 * )
NEW met2 ( 618470 2518380 ) ( * 2521610 )
NEW met1 ( 274850 2521610 ) ( 618470 * )
NEW met1 ( 274850 745110 ) ( 287730 * )
NEW met2 ( 274850 745110 ) ( * 2521610 )
NEW met1 ( 287730 745110 ) M1M2_PR
NEW met2 ( 287730 744940 ) M2M3_PR_M
NEW met1 ( 274850 2521610 ) M1M2_PR
NEW met3 ( 618430 2518380 ) M3M4_PR
NEW met2 ( 618470 2518380 ) M2M3_PR_M
NEW met1 ( 618470 2521610 ) M1M2_PR
NEW met1 ( 274850 745110 ) M1M2_PR
NEW met3 ( 618430 2518380 ) RECT ( -580 -150 0 150 ) ;
- i_dout1\[15\] ( sram dout1[15] ) ( mprj i_dout1[15] ) + USE SIGNAL
+ ROUTED met4 ( 630670 2516010 0 ) ( * 2517700 )
NEW met4 ( 630660 2517700 ) ( 630670 * )
NEW met4 ( 630660 2517700 ) ( * 2520420 )
NEW met3 ( 630660 2520420 ) ( 630890 * )
NEW met2 ( 630890 2520420 ) ( * 2521610 )
NEW met1 ( 630890 2521610 ) ( 1000730 * )
NEW met2 ( 1001650 1133220 ) ( 1003490 * 0 )
NEW met2 ( 1000730 1242000 ) ( 1001650 * )
NEW met2 ( 1001650 1133220 ) ( * 1242000 )
NEW met2 ( 1000730 1242000 ) ( * 2521610 )
NEW met3 ( 630660 2520420 ) M3M4_PR
NEW met2 ( 630890 2520420 ) M2M3_PR_M
NEW met1 ( 630890 2521610 ) M1M2_PR
NEW met1 ( 1000730 2521610 ) M1M2_PR
NEW met3 ( 630660 2520420 ) RECT ( -390 -150 0 150 ) ;
- i_dout1\[16\] ( sram dout1[16] ) ( mprj i_dout1[16] ) + USE SIGNAL
+ ROUTED met3 ( 295550 787100 ) ( 300380 * 0 )
NEW met2 ( 295550 787100 ) ( * 2530790 )
NEW met4 ( 642910 2516010 0 ) ( * 2517700 )
NEW met4 ( 642620 2517700 ) ( 642910 * )
NEW met4 ( 642620 2517700 ) ( * 2525860 )
NEW met3 ( 642390 2525860 ) ( 642620 * )
NEW met2 ( 642390 2525860 ) ( * 2530790 )
NEW met1 ( 295550 2530790 ) ( 642390 * )
NEW met2 ( 295550 787100 ) M2M3_PR_M
NEW met1 ( 295550 2530790 ) M1M2_PR
NEW met3 ( 642620 2525860 ) M3M4_PR
NEW met2 ( 642390 2525860 ) M2M3_PR_M
NEW met1 ( 642390 2530790 ) M1M2_PR
NEW met3 ( 642620 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1\[17\] ( sram dout1[17] ) ( mprj i_dout1[17] ) + USE SIGNAL
+ ROUTED met3 ( 1049260 289340 ) ( * 290020 )
NEW met4 ( 1049260 289340 ) ( * 2520420 )
NEW met4 ( 655150 2516010 0 ) ( * 2517700 )
NEW met4 ( 655150 2517700 ) ( 655500 * )
NEW met4 ( 655500 2517700 ) ( * 2520420 )
NEW met3 ( 1048340 289340 ) ( * 290020 )
NEW met3 ( 1016830 289340 ) ( 1048340 * )
NEW met2 ( 1016830 289340 ) ( * 300220 0 )
NEW met3 ( 1048340 290020 ) ( 1049260 * )
NEW met3 ( 655500 2520420 ) ( 1049260 * )
NEW met3 ( 1049260 289340 ) M3M4_PR
NEW met3 ( 1049260 2520420 ) M3M4_PR
NEW met3 ( 655500 2520420 ) M3M4_PR
NEW met2 ( 1016830 289340 ) M2M3_PR_M ;
- i_dout1\[18\] ( sram dout1[18] ) ( mprj i_dout1[18] ) + USE SIGNAL
+ ROUTED met4 ( 668070 2516010 0 ) ( * 2517700 )
NEW met4 ( 668070 2517700 ) ( 668380 * )
NEW met4 ( 668380 2517700 ) ( * 2525860 )
NEW met3 ( 668150 2525860 ) ( 668380 * )
NEW met2 ( 668150 2525860 ) ( * 2530450 )
NEW met3 ( 295090 843540 ) ( 300380 * 0 )
NEW met2 ( 295090 843540 ) ( * 2530450 )
NEW met1 ( 295090 2530450 ) ( 668150 * )
NEW met1 ( 295090 2530450 ) M1M2_PR
NEW met3 ( 668380 2525860 ) M3M4_PR
NEW met2 ( 668150 2525860 ) M2M3_PR_M
NEW met1 ( 668150 2530450 ) M1M2_PR
NEW met2 ( 295090 843540 ) M2M3_PR_M
NEW met3 ( 668380 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1\[19\] ( sram dout1[19] ) ( mprj i_dout1[19] ) + USE SIGNAL
+ ROUTED met4 ( 679630 2516010 0 ) ( * 2517700 )
NEW met4 ( 679630 2517700 ) ( 680340 * )
NEW met4 ( 680340 2517700 ) ( * 2530620 )
NEW met3 ( 1071340 286620 ) ( * 287980 )
NEW met3 ( 1071340 287980 ) ( 1093420 * )
NEW met2 ( 1031090 286620 ) ( * 300220 0 )
NEW met3 ( 1031090 286620 ) ( 1071340 * )
NEW met3 ( 680340 2530620 ) ( 1093420 * )
NEW met4 ( 1093420 287980 ) ( * 2530620 )
NEW met3 ( 680340 2530620 ) M3M4_PR
NEW met3 ( 1093420 287980 ) M3M4_PR
NEW met3 ( 1093420 2530620 ) M3M4_PR
NEW met2 ( 1031090 286620 ) M2M3_PR_M ;
- i_dout1\[1\] ( sram dout1[1] ) ( mprj i_dout1[1] ) + USE SIGNAL
+ ROUTED met4 ( 455230 2516010 0 ) ( * 2517700 )
NEW met4 ( 454940 2517700 ) ( 455230 * )
NEW met4 ( 454940 2517700 ) ( * 2525860 )
NEW met3 ( 454940 2525860 ) ( 455170 * )
NEW met2 ( 455170 2525860 ) ( * 2527050 )
NEW met1 ( 455170 2527050 ) ( 1011310 * )
NEW met2 ( 1011310 2094060 ) ( * 2527050 )
NEW met3 ( 1122860 360060 0 ) ( 1132060 * )
NEW met3 ( 1011310 2094060 ) ( 1132060 * )
NEW met4 ( 1132060 360060 ) ( * 2094060 )
NEW met3 ( 454940 2525860 ) M3M4_PR
NEW met2 ( 455170 2525860 ) M2M3_PR_M
NEW met1 ( 455170 2527050 ) M1M2_PR
NEW met1 ( 1011310 2527050 ) M1M2_PR
NEW met2 ( 1011310 2094060 ) M2M3_PR_M
NEW met3 ( 1132060 360060 ) M3M4_PR
NEW met3 ( 1132060 2094060 ) M3M4_PR
NEW met3 ( 454940 2525860 ) RECT ( -390 -150 0 150 ) ;
- i_dout1\[20\] ( sram dout1[20] ) ( mprj i_dout1[20] ) + USE SIGNAL
+ ROUTED met4 ( 693230 2516010 0 ) ( * 2518380 )
NEW met3 ( 693230 2518380 ) ( 693450 * )
NEW met2 ( 693450 2518380 ) ( * 2520590 )
NEW met1 ( 1032470 1151750 ) ( 1037530 * )
NEW met1 ( 693450 2520590 ) ( 1032470 * )
NEW met2 ( 1037530 1133220 0 ) ( * 1151750 )
NEW met2 ( 1032470 1151750 ) ( * 2520590 )
NEW met3 ( 693230 2518380 ) M3M4_PR
NEW met2 ( 693450 2518380 ) M2M3_PR_M
NEW met1 ( 693450 2520590 ) M1M2_PR
NEW met1 ( 1032470 1151750 ) M1M2_PR
NEW met1 ( 1037530 1151750 ) M1M2_PR
NEW met1 ( 1032470 2520590 ) M1M2_PR
NEW met3 ( 693230 2518380 ) RECT ( -400 -150 0 150 ) ;
- i_dout1\[21\] ( sram dout1[21] ) ( mprj i_dout1[21] ) + USE SIGNAL
+ ROUTED met4 ( 705470 2516010 0 ) ( * 2517700 )
NEW met4 ( 705180 2517700 ) ( 705470 * )
NEW met4 ( 705180 2517700 ) ( * 2526540 )
NEW met3 ( 994060 1131180 ) ( 995210 * )
NEW met2 ( 995210 1131010 ) ( * 1131180 )
NEW li1 ( 995210 1122850 ) ( * 1131010 )
NEW met4 ( 994060 1131180 ) ( * 2526540 )
NEW met3 ( 705180 2526540 ) ( 994060 * )
NEW met2 ( 1040290 299540 ) ( * 300220 0 )
NEW met3 ( 1040060 299540 ) ( 1040290 * )
NEW li1 ( 1039830 1122850 ) ( * 1131010 )
NEW met2 ( 1039830 1130500 ) ( * 1131010 )
NEW met3 ( 1039830 1130500 ) ( 1040060 * )
NEW met1 ( 995210 1122850 ) ( 1039830 * )
NEW met4 ( 1040060 299540 ) ( * 1130500 )
NEW met3 ( 705180 2526540 ) M3M4_PR
NEW met3 ( 994060 2526540 ) M3M4_PR
NEW met3 ( 994060 1131180 ) M3M4_PR
NEW met2 ( 995210 1131180 ) M2M3_PR_M
NEW li1 ( 995210 1131010 ) L1M1_PR_MR
NEW met1 ( 995210 1131010 ) M1M2_PR
NEW li1 ( 995210 1122850 ) L1M1_PR_MR
NEW met2 ( 1040290 299540 ) M2M3_PR_M
NEW met3 ( 1040060 299540 ) M3M4_PR
NEW li1 ( 1039830 1122850 ) L1M1_PR_MR
NEW li1 ( 1039830 1131010 ) L1M1_PR_MR
NEW met1 ( 1039830 1131010 ) M1M2_PR
NEW met2 ( 1039830 1130500 ) M2M3_PR_M
NEW met3 ( 1040060 1130500 ) M3M4_PR
NEW met1 ( 995210 1131010 ) RECT ( -355 -70 0 70 )
NEW met3 ( 1040290 299540 ) RECT ( 0 -150 390 150 )
NEW met1 ( 1039830 1131010 ) RECT ( -355 -70 0 70 )
NEW met3 ( 1039830 1130500 ) RECT ( -390 -150 0 150 ) ;
- i_dout1\[22\] ( sram dout1[22] ) ( mprj i_dout1[22] ) + USE SIGNAL
+ ROUTED met4 ( 717710 2516010 0 ) ( * 2517700 )
NEW met4 ( 717710 2517700 ) ( 718060 * )
NEW met4 ( 718060 2517700 ) ( * 2525860 )
NEW met3 ( 718060 2525860 ) ( 721970 * )
NEW met2 ( 721970 2525860 ) ( * 2529770 )
NEW met1 ( 721970 2529770 ) ( 1025570 * )
NEW met3 ( 1122860 964580 0 ) ( 1133670 * )
NEW met2 ( 1025570 2095930 ) ( * 2529770 )
NEW met1 ( 1025570 2095930 ) ( 1133670 * )
NEW met2 ( 1133670 964580 ) ( * 2095930 )
NEW met3 ( 718060 2525860 ) M3M4_PR
NEW met2 ( 721970 2525860 ) M2M3_PR_M
NEW met1 ( 721970 2529770 ) M1M2_PR
NEW met1 ( 1025570 2529770 ) M1M2_PR
NEW met2 ( 1133670 964580 ) M2M3_PR_M
NEW met1 ( 1025570 2095930 ) M1M2_PR
NEW met1 ( 1133670 2095930 ) M1M2_PR ;
- i_dout1\[23\] ( sram dout1[23] ) ( mprj i_dout1[23] ) + USE SIGNAL
+ ROUTED met3 ( 294170 942820 ) ( 300380 * 0 )
NEW met2 ( 294170 942820 ) ( * 2527390 )
NEW met4 ( 729950 2516010 0 ) ( * 2517700 )
NEW met4 ( 729950 2517700 ) ( 730020 * )
NEW met4 ( 730020 2517700 ) ( * 2525860 )
NEW met3 ( 729790 2525860 ) ( 730020 * )
NEW met2 ( 729790 2525860 ) ( * 2527390 )
NEW met1 ( 294170 2527390 ) ( 729790 * )
NEW met1 ( 294170 2527390 ) M1M2_PR
NEW met2 ( 294170 942820 ) M2M3_PR_M
NEW met3 ( 730020 2525860 ) M3M4_PR
NEW met2 ( 729790 2525860 ) M2M3_PR_M
NEW met1 ( 729790 2527390 ) M1M2_PR
NEW met3 ( 730020 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1\[24\] ( sram dout1[24] ) ( mprj i_dout1[24] ) + USE SIGNAL
+ ROUTED met3 ( 293710 970700 ) ( 300380 * 0 )
NEW met2 ( 293710 970700 ) ( * 2528750 )
NEW met4 ( 742190 2516010 0 ) ( * 2517700 )
NEW met4 ( 741980 2517700 ) ( 742190 * )
NEW met4 ( 741980 2517700 ) ( * 2525860 )
NEW met3 ( 740830 2525860 ) ( 741980 * )
NEW met2 ( 740830 2525860 ) ( * 2528750 )
NEW met1 ( 293710 2528750 ) ( 740830 * )
NEW met2 ( 293710 970700 ) M2M3_PR_M
NEW met1 ( 293710 2528750 ) M1M2_PR
NEW met3 ( 741980 2525860 ) M3M4_PR
NEW met2 ( 740830 2525860 ) M2M3_PR_M
NEW met1 ( 740830 2528750 ) M1M2_PR ;
- i_dout1\[25\] ( sram dout1[25] ) ( mprj i_dout1[25] ) + USE SIGNAL
+ ROUTED met3 ( 292790 984980 ) ( 300380 * 0 )
NEW met2 ( 292790 984980 ) ( * 2528410 )
NEW met4 ( 755110 2516010 0 ) ( * 2517700 )
NEW met4 ( 754860 2517700 ) ( 755110 * )
NEW met4 ( 754860 2517700 ) ( * 2525860 )
NEW met3 ( 754630 2525860 ) ( 754860 * )
NEW met2 ( 754630 2525860 ) ( * 2528410 )
NEW met1 ( 292790 2528410 ) ( 754630 * )
NEW met2 ( 292790 984980 ) M2M3_PR_M
NEW met1 ( 292790 2528410 ) M1M2_PR
NEW met3 ( 754860 2525860 ) M3M4_PR
NEW met2 ( 754630 2525860 ) M2M3_PR_M
NEW met1 ( 754630 2528410 ) M1M2_PR
NEW met3 ( 754860 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1\[26\] ( sram dout1[26] ) ( mprj i_dout1[26] ) + USE SIGNAL
+ ROUTED met4 ( 768030 2516010 0 ) ( * 2517700 )
NEW met4 ( 767740 2517700 ) ( 768030 * )
NEW met4 ( 767740 2517700 ) ( * 2525860 )
NEW met3 ( 767740 2525860 ) ( 767970 * )
NEW met2 ( 767970 2525860 ) ( * 2528410 )
NEW met1 ( 1072030 1147330 ) ( * 1148010 )
NEW met1 ( 1072030 1147330 ) ( 1090890 * )
NEW met2 ( 991990 1148010 ) ( * 2528410 )
NEW met2 ( 1090890 1133220 0 ) ( * 1147330 )
NEW met1 ( 767970 2528410 ) ( 991990 * )
NEW met1 ( 991990 1148010 ) ( 1072030 * )
NEW met3 ( 767740 2525860 ) M3M4_PR
NEW met2 ( 767970 2525860 ) M2M3_PR_M
NEW met1 ( 767970 2528410 ) M1M2_PR
NEW met1 ( 991990 1148010 ) M1M2_PR
NEW met1 ( 991990 2528410 ) M1M2_PR
NEW met1 ( 1090890 1147330 ) M1M2_PR
NEW met3 ( 767740 2525860 ) RECT ( -390 -150 0 150 ) ;
- i_dout1\[27\] ( sram dout1[27] ) ( mprj i_dout1[27] ) + USE SIGNAL
+ ROUTED met4 ( 780270 2516010 0 ) ( * 2517700 )
NEW met4 ( 780270 2517700 ) ( 780620 * )
NEW met4 ( 780620 2517700 ) ( * 2525860 )
NEW met3 ( 780620 2525860 ) ( 780850 * )
NEW met2 ( 780850 2525860 ) ( * 2527390 )
NEW met1 ( 1073410 1131350 ) ( 1095950 * )
NEW met1 ( 1095950 1131010 ) ( * 1131350 )
NEW met2 ( 1073410 1131350 ) ( * 2527390 )
NEW met1 ( 780850 2527390 ) ( 1073410 * )
NEW met3 ( 1122860 1072700 0 ) ( 1138270 * )
NEW met1 ( 1095950 1131010 ) ( 1138270 * )
NEW met2 ( 1138270 1072700 ) ( * 1131010 )
NEW met3 ( 780620 2525860 ) M3M4_PR
NEW met2 ( 780850 2525860 ) M2M3_PR_M
NEW met1 ( 780850 2527390 ) M1M2_PR
NEW met1 ( 1073410 2527390 ) M1M2_PR
NEW met1 ( 1073410 1131350 ) M1M2_PR
NEW met2 ( 1138270 1072700 ) M2M3_PR_M
NEW met1 ( 1138270 1131010 ) M1M2_PR
NEW met3 ( 780620 2525860 ) RECT ( -390 -150 0 150 ) ;
- i_dout1\[28\] ( sram dout1[28] ) ( mprj i_dout1[28] ) + USE SIGNAL
+ ROUTED met3 ( 290490 1055700 ) ( 300380 * 0 )
NEW met4 ( 792510 2516010 0 ) ( * 2517700 )
NEW met4 ( 792510 2517700 ) ( 792580 * )
NEW met4 ( 792580 2517700 ) ( * 2525860 )
NEW met3 ( 792350 2525860 ) ( 792580 * )
NEW met2 ( 792350 2525860 ) ( * 2526030 )
NEW met2 ( 290490 1055700 ) ( * 2526030 )
NEW met1 ( 290490 2526030 ) ( 792350 * )
NEW met2 ( 290490 1055700 ) M2M3_PR_M
NEW met1 ( 290490 2526030 ) M1M2_PR
NEW met3 ( 792580 2525860 ) M3M4_PR
NEW met2 ( 792350 2525860 ) M2M3_PR_M
NEW met1 ( 792350 2526030 ) M1M2_PR
NEW met3 ( 792580 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1\[29\] ( sram dout1[29] ) ( mprj i_dout1[29] ) + USE SIGNAL
+ ROUTED met4 ( 805430 2516010 0 ) ( * 2517700 )
NEW met4 ( 805430 2517700 ) ( 805460 * )
NEW met4 ( 805460 2517700 ) ( * 2525860 )
NEW met3 ( 805460 2525860 ) ( 805690 * )
NEW met2 ( 805690 2525860 ) ( * 2531130 )
NEW met2 ( 991530 1152090 ) ( * 2531130 )
NEW met1 ( 805690 2531130 ) ( 991530 * )
NEW met1 ( 991530 1152090 ) ( 1115270 * )
NEW met2 ( 1115270 1133220 0 ) ( * 1152090 )
NEW met3 ( 805460 2525860 ) M3M4_PR
NEW met2 ( 805690 2525860 ) M2M3_PR_M
NEW met1 ( 805690 2531130 ) M1M2_PR
NEW met1 ( 991530 1152090 ) M1M2_PR
NEW met1 ( 991530 2531130 ) M1M2_PR
NEW met1 ( 1115270 1152090 ) M1M2_PR
NEW met3 ( 805460 2525860 ) RECT ( -390 -150 0 150 ) ;
- i_dout1\[2\] ( sram dout1[2] ) ( mprj i_dout1[2] ) + USE SIGNAL
+ ROUTED met3 ( 296470 377060 ) ( 300380 * 0 )
NEW met2 ( 296470 377060 ) ( * 2526370 )
NEW met4 ( 467470 2516010 0 ) ( * 2517700 )
NEW met4 ( 466900 2517700 ) ( 467470 * )
NEW met4 ( 466900 2517700 ) ( * 2525860 )
NEW met3 ( 466670 2525860 ) ( 466900 * )
NEW met2 ( 466670 2525860 ) ( * 2526370 )
NEW met1 ( 296470 2526370 ) ( 466670 * )
NEW met2 ( 296470 377060 ) M2M3_PR_M
NEW met1 ( 296470 2526370 ) M1M2_PR
NEW met3 ( 466900 2525860 ) M3M4_PR
NEW met2 ( 466670 2525860 ) M2M3_PR_M
NEW met1 ( 466670 2526370 ) M1M2_PR
NEW met3 ( 466900 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1\[30\] ( sram dout1[30] ) ( mprj i_dout1[30] ) + USE SIGNAL
+ ROUTED met2 ( 990610 1148350 ) ( * 2530790 )
NEW met4 ( 816990 2516010 0 ) ( * 2517700 )
NEW met4 ( 816990 2517700 ) ( 817420 * )
NEW met4 ( 817420 2517700 ) ( * 2525860 )
NEW met3 ( 817420 2525860 ) ( 818110 * )
NEW met2 ( 818110 2525860 ) ( * 2530790 )
NEW met1 ( 818110 2530790 ) ( 990610 * )
NEW met1 ( 990610 1148350 ) ( 1120330 * )
NEW met2 ( 1120330 1133220 0 ) ( * 1148350 )
NEW met1 ( 990610 1148350 ) M1M2_PR
NEW met1 ( 990610 2530790 ) M1M2_PR
NEW met3 ( 817420 2525860 ) M3M4_PR
NEW met2 ( 818110 2525860 ) M2M3_PR_M
NEW met1 ( 818110 2530790 ) M1M2_PR
NEW met1 ( 1120330 1148350 ) M1M2_PR ;
- i_dout1\[31\] ( sram dout1[31] ) ( mprj i_dout1[31] ) + USE SIGNAL
+ ROUTED met4 ( 830590 2516010 0 ) ( * 2517700 )
NEW met4 ( 830300 2517700 ) ( 830590 * )
NEW met4 ( 830300 2517700 ) ( * 2525860 )
NEW met3 ( 830300 2525860 ) ( 830530 * )
NEW met2 ( 830530 2525860 ) ( * 2530450 )
NEW met1 ( 830530 2530450 ) ( 1032010 * )
NEW met2 ( 1119410 303620 ) ( 1120330 * 0 )
NEW met2 ( 1032010 1145400 ) ( 1033390 * )
NEW met2 ( 1033390 1131010 ) ( * 1145400 )
NEW li1 ( 1033390 1129310 ) ( * 1131010 )
NEW met2 ( 1032010 1145400 ) ( * 2530450 )
NEW met2 ( 1119410 303620 ) ( * 517500 )
NEW met2 ( 1119410 517500 ) ( 1120330 * )
NEW met2 ( 1120330 517500 ) ( * 538220 )
NEW met2 ( 1119410 538220 ) ( 1120330 * )
NEW met1 ( 1033390 1129310 ) ( 1119410 * )
NEW li1 ( 1119410 889270 ) ( * 890630 )
NEW met2 ( 1119410 538220 ) ( * 889270 )
NEW met2 ( 1119410 890630 ) ( * 1129310 )
NEW met3 ( 830300 2525860 ) M3M4_PR
NEW met2 ( 830530 2525860 ) M2M3_PR_M
NEW met1 ( 830530 2530450 ) M1M2_PR
NEW met1 ( 1032010 2530450 ) M1M2_PR
NEW li1 ( 1033390 1131010 ) L1M1_PR_MR
NEW met1 ( 1033390 1131010 ) M1M2_PR
NEW li1 ( 1033390 1129310 ) L1M1_PR_MR
NEW met1 ( 1119410 1129310 ) M1M2_PR
NEW li1 ( 1119410 889270 ) L1M1_PR_MR
NEW met1 ( 1119410 889270 ) M1M2_PR
NEW li1 ( 1119410 890630 ) L1M1_PR_MR
NEW met1 ( 1119410 890630 ) M1M2_PR
NEW met3 ( 830300 2525860 ) RECT ( -390 -150 0 150 )
NEW met1 ( 1033390 1131010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 1119410 889270 ) RECT ( -355 -70 0 70 )
NEW met1 ( 1119410 890630 ) RECT ( -355 -70 0 70 ) ;
- i_dout1\[3\] ( sram dout1[3] ) ( mprj i_dout1[3] ) + USE SIGNAL
+ ROUTED met4 ( 481070 2516010 0 ) ( * 2518380 )
NEW met3 ( 481070 2518380 ) ( * 2519060 )
NEW met2 ( 894930 289170 ) ( * 300220 0 )
NEW met2 ( 1028330 289170 ) ( * 299540 )
NEW met3 ( 1028100 299540 ) ( 1028330 * )
NEW met1 ( 894930 289170 ) ( 1028330 * )
NEW met3 ( 481070 2519060 ) ( 1028100 * )
NEW met4 ( 1028100 299540 ) ( * 2519060 )
NEW met3 ( 481070 2518380 ) M3M4_PR
NEW met1 ( 894930 289170 ) M1M2_PR
NEW met1 ( 1028330 289170 ) M1M2_PR
NEW met2 ( 1028330 299540 ) M2M3_PR_M
NEW met3 ( 1028100 299540 ) M3M4_PR
NEW met3 ( 1028100 2519060 ) M3M4_PR
NEW met3 ( 1028330 299540 ) RECT ( 0 -150 390 150 ) ;
- i_dout1\[4\] ( sram dout1[4] ) ( mprj i_dout1[4] ) + USE SIGNAL
+ ROUTED met4 ( 492630 2516010 0 ) ( * 2517700 )
NEW met4 ( 492630 2517700 ) ( 492660 * )
NEW met4 ( 492660 2517700 ) ( * 2525860 )
NEW met3 ( 492660 2525860 ) ( 492890 * )
NEW met2 ( 492890 2525860 ) ( * 2526370 )
NEW met2 ( 1066510 2089130 ) ( * 2526370 )
NEW met1 ( 492890 2526370 ) ( 1066510 * )
NEW met3 ( 1122860 521220 0 ) ( 1136890 * )
NEW met2 ( 1136890 521220 ) ( * 2090490 )
NEW li1 ( 1110210 2089130 ) ( * 2090490 )
NEW met1 ( 1066510 2089130 ) ( 1110210 * )
NEW met1 ( 1110210 2090490 ) ( 1136890 * )
NEW met3 ( 492660 2525860 ) M3M4_PR
NEW met2 ( 492890 2525860 ) M2M3_PR_M
NEW met1 ( 492890 2526370 ) M1M2_PR
NEW met1 ( 1066510 2526370 ) M1M2_PR
NEW met1 ( 1066510 2089130 ) M1M2_PR
NEW met2 ( 1136890 521220 ) M2M3_PR_M
NEW met1 ( 1136890 2090490 ) M1M2_PR
NEW li1 ( 1110210 2089130 ) L1M1_PR_MR
NEW li1 ( 1110210 2090490 ) L1M1_PR_MR
NEW met3 ( 492660 2525860 ) RECT ( -390 -150 0 150 ) ;
- i_dout1\[5\] ( sram dout1[5] ) ( mprj i_dout1[5] ) + USE SIGNAL
+ ROUTED met4 ( 505550 2516010 0 ) ( * 2517700 )
NEW met4 ( 505540 2517700 ) ( 505550 * )
NEW met4 ( 505540 2517700 ) ( * 2525860 )
NEW met3 ( 505540 2525860 ) ( 505770 * )
NEW met2 ( 505770 2525860 ) ( * 2526710 )
NEW li1 ( 1080310 1125230 ) ( * 1131010 )
NEW met1 ( 505770 2526710 ) ( 1080310 * )
NEW met3 ( 1122860 561340 0 ) ( 1135050 * )
NEW met1 ( 1080310 1125230 ) ( 1135050 * )
NEW met2 ( 1135050 561340 ) ( * 1125230 )
NEW met2 ( 1080310 1131010 ) ( * 2526710 )
NEW met3 ( 505540 2525860 ) M3M4_PR
NEW met2 ( 505770 2525860 ) M2M3_PR_M
NEW met1 ( 505770 2526710 ) M1M2_PR
NEW met1 ( 1080310 2526710 ) M1M2_PR
NEW li1 ( 1080310 1131010 ) L1M1_PR_MR
NEW met1 ( 1080310 1131010 ) M1M2_PR
NEW li1 ( 1080310 1125230 ) L1M1_PR_MR
NEW met2 ( 1135050 561340 ) M2M3_PR_M
NEW met1 ( 1135050 1125230 ) M1M2_PR
NEW met3 ( 505540 2525860 ) RECT ( -390 -150 0 150 )
NEW met1 ( 1080310 1131010 ) RECT ( -355 -70 0 70 ) ;
- i_dout1\[6\] ( sram dout1[6] ) ( mprj i_dout1[6] ) + USE SIGNAL
+ ROUTED met2 ( 987390 1172830 ) ( * 2528070 )
NEW met4 ( 517790 2516010 0 ) ( * 2517700 )
NEW met4 ( 517790 2517700 ) ( 518420 * )
NEW met4 ( 518420 2517700 ) ( * 2525860 )
NEW met3 ( 518420 2525860 ) ( 522330 * )
NEW met2 ( 522330 2525860 ) ( * 2528070 )
NEW met1 ( 911490 1172830 ) ( 987390 * )
NEW met1 ( 522330 2528070 ) ( 987390 * )
NEW met2 ( 913330 1133220 ) ( 915630 * 0 )
NEW met2 ( 913330 1133220 ) ( * 1133900 )
NEW met2 ( 911490 1133900 ) ( 913330 * )
NEW met2 ( 911490 1133900 ) ( * 1172830 )
NEW met1 ( 987390 1172830 ) M1M2_PR
NEW met1 ( 987390 2528070 ) M1M2_PR
NEW met3 ( 518420 2525860 ) M3M4_PR
NEW met2 ( 522330 2525860 ) M2M3_PR_M
NEW met1 ( 522330 2528070 ) M1M2_PR
NEW met1 ( 911490 1172830 ) M1M2_PR ;
- i_dout1\[7\] ( sram dout1[7] ) ( mprj i_dout1[7] ) + USE SIGNAL
+ ROUTED met2 ( 988310 2097290 ) ( * 2529430 )
NEW met4 ( 530710 2516010 0 ) ( * 2517700 )
NEW met4 ( 530380 2517700 ) ( 530710 * )
NEW met4 ( 530380 2517700 ) ( * 2525860 )
NEW met3 ( 530380 2525860 ) ( 530610 * )
NEW met2 ( 530610 2525860 ) ( * 2529430 )
NEW met1 ( 530610 2529430 ) ( 988310 * )
NEW met2 ( 928050 1133220 ) ( 930350 * 0 )
NEW met2 ( 925290 1242000 ) ( 928050 * )
NEW met2 ( 928050 1133220 ) ( * 1242000 )
NEW met2 ( 925290 1242000 ) ( * 2097290 )
NEW met1 ( 925290 2097290 ) ( 988310 * )
NEW met1 ( 988310 2529430 ) M1M2_PR
NEW met1 ( 988310 2097290 ) M1M2_PR
NEW met3 ( 530380 2525860 ) M3M4_PR
NEW met2 ( 530610 2525860 ) M2M3_PR_M
NEW met1 ( 530610 2529430 ) M1M2_PR
NEW met1 ( 925290 2097290 ) M1M2_PR
NEW met3 ( 530380 2525860 ) RECT ( -390 -150 0 150 ) ;
- i_dout1\[8\] ( sram dout1[8] ) ( mprj i_dout1[8] ) + USE SIGNAL
+ ROUTED met4 ( 542950 2516010 0 ) ( * 2517700 )
NEW met4 ( 542950 2517700 ) ( 543260 * )
NEW met4 ( 543260 2517700 ) ( * 2525860 )
NEW met3 ( 543260 2525860 ) ( 543490 * )
NEW met2 ( 543490 2525860 ) ( * 2529090 )
NEW met1 ( 543490 2529090 ) ( 1004410 * )
NEW met2 ( 1004410 2096610 ) ( * 2529090 )
NEW met3 ( 1122860 655860 0 ) ( 1132290 * )
NEW met1 ( 1004410 2096610 ) ( 1132290 * )
NEW met2 ( 1132290 655860 ) ( * 2096610 )
NEW met3 ( 543260 2525860 ) M3M4_PR
NEW met2 ( 543490 2525860 ) M2M3_PR_M
NEW met1 ( 543490 2529090 ) M1M2_PR
NEW met1 ( 1004410 2529090 ) M1M2_PR
NEW met1 ( 1004410 2096610 ) M1M2_PR
NEW met2 ( 1132290 655860 ) M2M3_PR_M
NEW met1 ( 1132290 2096610 ) M1M2_PR
NEW met3 ( 543260 2525860 ) RECT ( -390 -150 0 150 ) ;
- i_dout1\[9\] ( sram dout1[9] ) ( mprj i_dout1[9] ) + USE SIGNAL
+ ROUTED met2 ( 952890 1133220 ) ( 954730 * 0 )
NEW met2 ( 952430 1242000 ) ( 952890 * )
NEW met2 ( 952890 1133220 ) ( * 1242000 )
NEW met1 ( 952430 2091170 ) ( 988770 * )
NEW met2 ( 952430 1242000 ) ( * 2091170 )
NEW met2 ( 988770 2091170 ) ( * 2530110 )
NEW met4 ( 555870 2516010 0 ) ( * 2517700 )
NEW met4 ( 555870 2517700 ) ( 556140 * )
NEW met4 ( 556140 2517700 ) ( * 2525860 )
NEW met3 ( 556140 2525860 ) ( 557290 * )
NEW met2 ( 557290 2525860 ) ( * 2530110 )
NEW met1 ( 557290 2530110 ) ( 988770 * )
NEW met1 ( 988770 2530110 ) M1M2_PR
NEW met1 ( 952430 2091170 ) M1M2_PR
NEW met1 ( 988770 2091170 ) M1M2_PR
NEW met3 ( 556140 2525860 ) M3M4_PR
NEW met2 ( 557290 2525860 ) M2M3_PR_M
NEW met1 ( 557290 2530110 ) M1M2_PR ;
- i_dout1_1\[0\] ( sram1 dout1[0] ) ( mprj i_dout1_1[0] ) + USE SIGNAL
+ ROUTED met2 ( 284510 320620 ) ( * 324190 )
NEW met3 ( 284510 320620 ) ( 300380 * 0 )
NEW met4 ( 1243670 2516010 0 ) ( * 2517700 )
NEW met4 ( 1243380 2517700 ) ( 1243670 * )
NEW met4 ( 1243380 2517700 ) ( * 2525860 )
NEW met3 ( 1243150 2525860 ) ( 1243380 * )
NEW met2 ( 1243150 2525350 ) ( * 2525860 )
NEW met1 ( 270710 324190 ) ( 284510 * )
NEW met1 ( 270710 2525350 ) ( 1243150 * )
NEW met2 ( 270710 324190 ) ( * 2525350 )
NEW met1 ( 284510 324190 ) M1M2_PR
NEW met2 ( 284510 320620 ) M2M3_PR_M
NEW met3 ( 1243380 2525860 ) M3M4_PR
NEW met2 ( 1243150 2525860 ) M2M3_PR_M
NEW met1 ( 1243150 2525350 ) M1M2_PR
NEW met1 ( 270710 324190 ) M1M2_PR
NEW met1 ( 270710 2525350 ) M1M2_PR
NEW met3 ( 1243380 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1_1\[10\] ( sram1 dout1[10] ) ( mprj i_dout1_1[10] ) + USE SIGNAL
+ ROUTED met3 ( 296010 674220 ) ( 300380 * 0 )
NEW met4 ( 1368110 2516010 0 ) ( * 2518380 )
NEW met3 ( 1367810 2518380 ) ( 1368110 * )
NEW met2 ( 1367810 2518380 ) ( * 2523990 )
NEW met2 ( 296010 674220 ) ( * 2523990 )
NEW met1 ( 296010 2523990 ) ( 1367810 * )
NEW met2 ( 296010 674220 ) M2M3_PR_M
NEW met1 ( 296010 2523990 ) M1M2_PR
NEW met3 ( 1368110 2518380 ) M3M4_PR
NEW met2 ( 1367810 2518380 ) M2M3_PR_M
NEW met1 ( 1367810 2523990 ) M1M2_PR
NEW met3 ( 1368110 2518380 ) RECT ( 0 -150 320 150 ) ;
- i_dout1_1\[11\] ( sram1 dout1[11] ) ( mprj i_dout1_1[11] ) + USE SIGNAL
+ ROUTED met4 ( 1381030 2516010 0 ) ( * 2518380 )
NEW met3 ( 1380690 2518380 ) ( 1381030 * )
NEW met2 ( 1380690 2518380 ) ( * 2520930 )
NEW met2 ( 964390 1133220 0 ) ( * 1147670 )
NEW li1 ( 1006710 1145970 ) ( * 1147670 )
NEW met1 ( 1006710 1145970 ) ( 1025110 * )
NEW met1 ( 964390 1147670 ) ( 1006710 * )
NEW met1 ( 1025110 2520930 ) ( 1380690 * )
NEW met2 ( 1025110 1145970 ) ( * 2520930 )
NEW met1 ( 964390 1147670 ) M1M2_PR
NEW met3 ( 1381030 2518380 ) M3M4_PR
NEW met2 ( 1380690 2518380 ) M2M3_PR_M
NEW met1 ( 1380690 2520930 ) M1M2_PR
NEW li1 ( 1006710 1147670 ) L1M1_PR_MR
NEW li1 ( 1006710 1145970 ) L1M1_PR_MR
NEW met1 ( 1025110 1145970 ) M1M2_PR
NEW met1 ( 1025110 2520930 ) M1M2_PR
NEW met3 ( 1381030 2518380 ) RECT ( 0 -150 280 150 ) ;
- i_dout1_1\[12\] ( sram1 dout1[12] ) ( mprj i_dout1_1[12] ) + USE SIGNAL
+ ROUTED met2 ( 974510 289510 ) ( * 300220 0 )
NEW met2 ( 1083530 289340 ) ( * 289510 )
NEW met3 ( 1083530 289340 ) ( 1085140 * )
NEW met1 ( 974510 289510 ) ( 1083530 * )
NEW met4 ( 1392590 2516010 0 ) ( * 2517700 )
NEW met4 ( 1392420 2517700 ) ( 1392590 * )
NEW met4 ( 1392420 2517700 ) ( * 2527220 )
NEW met3 ( 1085140 2527220 ) ( 1392420 * )
NEW met4 ( 1085140 289340 ) ( * 2527220 )
NEW met1 ( 974510 289510 ) M1M2_PR
NEW met1 ( 1083530 289510 ) M1M2_PR
NEW met2 ( 1083530 289340 ) M2M3_PR_M
NEW met3 ( 1085140 289340 ) M3M4_PR
NEW met3 ( 1085140 2527220 ) M3M4_PR
NEW met3 ( 1392420 2527220 ) M3M4_PR ;
- i_dout1_1\[13\] ( sram1 dout1[13] ) ( mprj i_dout1_1[13] ) + USE SIGNAL
+ ROUTED met2 ( 984170 1133220 0 ) ( * 1146310 )
NEW met1 ( 984170 1146310 ) ( 1010850 * )
NEW met4 ( 1404830 2516010 0 ) ( * 2518380 )
NEW met3 ( 1404610 2518380 ) ( 1404830 * )
NEW met2 ( 1404610 2518380 ) ( * 2521270 )
NEW met1 ( 1010850 2521270 ) ( 1404610 * )
NEW met2 ( 1010850 1146310 ) ( * 2521270 )
NEW met1 ( 984170 1146310 ) M1M2_PR
NEW met1 ( 1010850 1146310 ) M1M2_PR
NEW met1 ( 1010850 2521270 ) M1M2_PR
NEW met3 ( 1404830 2518380 ) M3M4_PR
NEW met2 ( 1404610 2518380 ) M2M3_PR_M
NEW met1 ( 1404610 2521270 ) M1M2_PR
NEW met3 ( 1404830 2518380 ) RECT ( 0 -150 400 150 ) ;
- i_dout1_1\[14\] ( sram1 dout1[14] ) ( mprj i_dout1_1[14] ) + USE SIGNAL
+ ROUTED met2 ( 1093650 2093550 ) ( * 2529090 )
NEW met4 ( 1418430 2516010 0 ) ( * 2517700 )
NEW met4 ( 1418180 2517700 ) ( 1418430 * )
NEW met4 ( 1418180 2517700 ) ( * 2525860 )
NEW met3 ( 1417950 2525860 ) ( 1418180 * )
NEW met2 ( 1417950 2525860 ) ( * 2529090 )
NEW met1 ( 1093650 2529090 ) ( 1417950 * )
NEW met3 ( 1122860 817020 0 ) ( 1133210 * )
NEW met2 ( 1133210 817020 ) ( * 855600 )
NEW met2 ( 1132750 855600 ) ( 1133210 * )
NEW met1 ( 1093650 2093550 ) ( 1132750 * )
NEW met2 ( 1132750 855600 ) ( * 2093550 )
NEW met1 ( 1093650 2529090 ) M1M2_PR
NEW met1 ( 1093650 2093550 ) M1M2_PR
NEW met3 ( 1418180 2525860 ) M3M4_PR
NEW met2 ( 1417950 2525860 ) M2M3_PR_M
NEW met1 ( 1417950 2529090 ) M1M2_PR
NEW met2 ( 1133210 817020 ) M2M3_PR_M
NEW met1 ( 1132750 2093550 ) M1M2_PR
NEW met3 ( 1418180 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1_1\[15\] ( sram1 dout1[15] ) ( mprj i_dout1_1[15] ) + USE SIGNAL
+ ROUTED li1 ( 1092730 1126930 ) ( * 1131010 )
NEW met4 ( 1430670 2516010 0 ) ( * 2517700 )
NEW met4 ( 1430140 2517700 ) ( 1430670 * )
NEW met4 ( 1430140 2517700 ) ( * 2525860 )
NEW met3 ( 1429910 2525860 ) ( 1430140 * )
NEW met2 ( 1429910 2525860 ) ( * 2528750 )
NEW met1 ( 1092730 2528750 ) ( 1429910 * )
NEW met3 ( 1122860 830620 0 ) ( 1135970 * )
NEW met1 ( 1092730 1126930 ) ( 1135970 * )
NEW met2 ( 1135970 830620 ) ( * 1126930 )
NEW met2 ( 1092730 1131010 ) ( * 2528750 )
NEW met1 ( 1092730 2528750 ) M1M2_PR
NEW li1 ( 1092730 1131010 ) L1M1_PR_MR
NEW met1 ( 1092730 1131010 ) M1M2_PR
NEW li1 ( 1092730 1126930 ) L1M1_PR_MR
NEW met3 ( 1430140 2525860 ) M3M4_PR
NEW met2 ( 1429910 2525860 ) M2M3_PR_M
NEW met1 ( 1429910 2528750 ) M1M2_PR
NEW met2 ( 1135970 830620 ) M2M3_PR_M
NEW met1 ( 1135970 1126930 ) M1M2_PR
NEW met1 ( 1092730 1131010 ) RECT ( -355 -70 0 70 )
NEW met3 ( 1430140 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1_1\[16\] ( sram1 dout1[16] ) ( mprj i_dout1_1[16] ) + USE SIGNAL
+ ROUTED met2 ( 287730 772820 ) ( * 779450 )
NEW met3 ( 287730 772820 ) ( 300380 * 0 )
NEW met4 ( 1442910 2516010 0 ) ( * 2518380 )
NEW met3 ( 1442790 2518380 ) ( 1442910 * )
NEW met2 ( 1442790 2518380 ) ( * 2523310 )
NEW met1 ( 274390 779450 ) ( 287730 * )
NEW met1 ( 274390 2523310 ) ( 1442790 * )
NEW met2 ( 274390 779450 ) ( * 2523310 )
NEW met1 ( 287730 779450 ) M1M2_PR
NEW met2 ( 287730 772820 ) M2M3_PR_M
NEW met3 ( 1442910 2518380 ) M3M4_PR
NEW met2 ( 1442790 2518380 ) M2M3_PR_M
NEW met1 ( 1442790 2523310 ) M1M2_PR
NEW met1 ( 274390 779450 ) M1M2_PR
NEW met1 ( 274390 2523310 ) M1M2_PR
NEW met3 ( 1442910 2518380 ) RECT ( 0 -150 500 150 ) ;
- i_dout1_1\[17\] ( sram1 dout1[17] ) ( mprj i_dout1_1[17] ) + USE SIGNAL
+ ROUTED met4 ( 1455150 2516010 0 ) ( * 2518380 )
NEW met3 ( 1455150 2518380 ) ( 1455210 * )
NEW met2 ( 1455210 2518380 ) ( * 2523650 )
NEW met1 ( 278990 820930 ) ( 287730 * )
NEW met2 ( 287730 815660 ) ( * 820930 )
NEW met3 ( 287730 815660 ) ( 300380 * 0 )
NEW met2 ( 278990 820930 ) ( * 2523650 )
NEW met1 ( 278990 2523650 ) ( 1455210 * )
NEW met1 ( 278990 2523650 ) M1M2_PR
NEW met3 ( 1455150 2518380 ) M3M4_PR
NEW met2 ( 1455210 2518380 ) M2M3_PR_M
NEW met1 ( 1455210 2523650 ) M1M2_PR
NEW met1 ( 278990 820930 ) M1M2_PR
NEW met1 ( 287730 820930 ) M1M2_PR
NEW met2 ( 287730 815660 ) M2M3_PR_M
NEW met3 ( 1455150 2518380 ) RECT ( -560 -150 0 150 ) ;
- i_dout1_1\[18\] ( sram1 dout1[18] ) ( mprj i_dout1_1[18] ) + USE SIGNAL
+ ROUTED met4 ( 1468070 2516010 0 ) ( * 2517700 )
NEW met4 ( 1467860 2517700 ) ( 1468070 * )
NEW met4 ( 1467860 2517700 ) ( * 2525860 )
NEW met3 ( 1467630 2525860 ) ( 1467860 * )
NEW met2 ( 1467630 2525860 ) ( * 2528410 )
NEW met3 ( 1122860 897940 0 ) ( 1133210 * )
NEW met1 ( 1094570 2528410 ) ( 1467630 * )
NEW met1 ( 1109750 2091170 ) ( 1115730 * )
NEW met2 ( 1133210 897940 ) ( * 2087430 )
NEW met1 ( 1094570 2090490 ) ( 1109750 * )
NEW met2 ( 1094570 2090490 ) ( * 2528410 )
NEW li1 ( 1109750 2090490 ) ( * 2091170 )
NEW li1 ( 1115730 2087430 ) ( * 2091170 )
NEW met1 ( 1115730 2087430 ) ( 1133210 * )
NEW met1 ( 1094570 2528410 ) M1M2_PR
NEW met3 ( 1467860 2525860 ) M3M4_PR
NEW met2 ( 1467630 2525860 ) M2M3_PR_M
NEW met1 ( 1467630 2528410 ) M1M2_PR
NEW met2 ( 1133210 897940 ) M2M3_PR_M
NEW li1 ( 1109750 2091170 ) L1M1_PR_MR
NEW li1 ( 1115730 2091170 ) L1M1_PR_MR
NEW met1 ( 1133210 2087430 ) M1M2_PR
NEW met1 ( 1094570 2090490 ) M1M2_PR
NEW li1 ( 1109750 2090490 ) L1M1_PR_MR
NEW li1 ( 1115730 2087430 ) L1M1_PR_MR
NEW met3 ( 1467860 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1_1\[19\] ( sram1 dout1[19] ) ( mprj i_dout1_1[19] ) + USE SIGNAL
+ ROUTED met2 ( 287730 872100 ) ( * 876010 )
NEW met3 ( 287730 872100 ) ( 300380 * 0 )
NEW met4 ( 1479630 2516010 0 ) ( * 2518380 )
NEW met3 ( 1479590 2518380 ) ( 1479630 * )
NEW met2 ( 1479590 2518380 ) ( * 2522970 )
NEW met1 ( 273930 876010 ) ( 287730 * )
NEW met1 ( 273930 2522970 ) ( 1479590 * )
NEW met2 ( 273930 876010 ) ( * 2522970 )
NEW met1 ( 287730 876010 ) M1M2_PR
NEW met2 ( 287730 872100 ) M2M3_PR_M
NEW met3 ( 1479630 2518380 ) M3M4_PR
NEW met2 ( 1479590 2518380 ) M2M3_PR_M
NEW met1 ( 1479590 2522970 ) M1M2_PR
NEW met1 ( 273930 876010 ) M1M2_PR
NEW met1 ( 273930 2522970 ) M1M2_PR
NEW met3 ( 1479630 2518380 ) RECT ( 0 -150 580 150 ) ;
- i_dout1_1\[1\] ( sram1 dout1[1] ) ( mprj i_dout1_1[1] ) + USE SIGNAL
+ ROUTED met4 ( 1255230 2516010 0 ) ( * 2517700 )
NEW met4 ( 1255230 2517700 ) ( 1255340 * )
NEW met4 ( 1255340 2517700 ) ( * 2525860 )
NEW met3 ( 1255110 2525860 ) ( 1255340 * )
NEW met2 ( 1255110 2525010 ) ( * 2525860 )
NEW met2 ( 286350 349180 ) ( * 351730 )
NEW met3 ( 286350 349180 ) ( 300380 * 0 )
NEW met1 ( 268870 2525010 ) ( 1255110 * )
NEW met1 ( 268870 351730 ) ( 286350 * )
NEW met2 ( 268870 351730 ) ( * 2525010 )
NEW met3 ( 1255340 2525860 ) M3M4_PR
NEW met2 ( 1255110 2525860 ) M2M3_PR_M
NEW met1 ( 1255110 2525010 ) M1M2_PR
NEW met1 ( 286350 351730 ) M1M2_PR
NEW met2 ( 286350 349180 ) M2M3_PR_M
NEW met1 ( 268870 2525010 ) M1M2_PR
NEW met1 ( 268870 351730 ) M1M2_PR
NEW met3 ( 1255340 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1_1\[20\] ( sram1 dout1[20] ) ( mprj i_dout1_1[20] ) + USE SIGNAL
+ ROUTED met2 ( 287730 885700 ) ( * 889950 )
NEW met3 ( 287730 885700 ) ( 300380 * 0 )
NEW met1 ( 266570 889950 ) ( 287730 * )
NEW met4 ( 1493230 2516010 0 ) ( * 2518380 )
NEW met3 ( 1492930 2518380 ) ( 1493230 * )
NEW met2 ( 1492930 2518380 ) ( * 2522630 )
NEW met1 ( 266570 2522630 ) ( 1492930 * )
NEW met2 ( 266570 889950 ) ( * 2522630 )
NEW met1 ( 287730 889950 ) M1M2_PR
NEW met2 ( 287730 885700 ) M2M3_PR_M
NEW met1 ( 266570 889950 ) M1M2_PR
NEW met1 ( 266570 2522630 ) M1M2_PR
NEW met3 ( 1493230 2518380 ) M3M4_PR
NEW met2 ( 1492930 2518380 ) M2M3_PR_M
NEW met1 ( 1492930 2522630 ) M1M2_PR
NEW met3 ( 1493230 2518380 ) RECT ( 0 -150 320 150 ) ;
- i_dout1_1\[21\] ( sram1 dout1[21] ) ( mprj i_dout1_1[21] ) + USE SIGNAL
+ ROUTED li1 ( 1094570 1126590 ) ( * 1131010 )
NEW met2 ( 1094570 1131010 ) ( * 1193700 )
NEW met2 ( 1094570 1193700 ) ( 1095490 * )
NEW met4 ( 1505470 2516010 0 ) ( * 2517700 )
NEW met4 ( 1505470 2517700 ) ( 1505580 * )
NEW met4 ( 1505580 2517700 ) ( * 2525860 )
NEW met3 ( 1505350 2525860 ) ( 1505580 * )
NEW met2 ( 1505350 2525860 ) ( * 2528070 )
NEW met1 ( 1095490 2528070 ) ( 1505350 * )
NEW met3 ( 1122860 938060 0 ) ( 1134130 * )
NEW met1 ( 1094570 1126590 ) ( 1134130 * )
NEW met2 ( 1134130 938060 ) ( * 1126590 )
NEW met2 ( 1095490 1193700 ) ( * 2528070 )
NEW met1 ( 1095490 2528070 ) M1M2_PR
NEW li1 ( 1094570 1131010 ) L1M1_PR_MR
NEW met1 ( 1094570 1131010 ) M1M2_PR
NEW li1 ( 1094570 1126590 ) L1M1_PR_MR
NEW met3 ( 1505580 2525860 ) M3M4_PR
NEW met2 ( 1505350 2525860 ) M2M3_PR_M
NEW met1 ( 1505350 2528070 ) M1M2_PR
NEW met2 ( 1134130 938060 ) M2M3_PR_M
NEW met1 ( 1134130 1126590 ) M1M2_PR
NEW met1 ( 1094570 1131010 ) RECT ( -355 -70 0 70 )
NEW met3 ( 1505580 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1_1\[22\] ( sram1 dout1[22] ) ( mprj i_dout1_1[22] ) + USE SIGNAL
+ ROUTED met2 ( 1061910 1133220 0 ) ( 1062370 * )
NEW met2 ( 1062370 1133220 ) ( * 2521610 )
NEW met4 ( 1517710 2516010 0 ) ( * 2518380 )
NEW met3 ( 1517710 2518380 ) ( 1517770 * )
NEW met2 ( 1517770 2518380 ) ( * 2521610 )
NEW met1 ( 1062370 2521610 ) ( 1517770 * )
NEW met1 ( 1062370 2521610 ) M1M2_PR
NEW met3 ( 1517710 2518380 ) M3M4_PR
NEW met2 ( 1517770 2518380 ) M2M3_PR_M
NEW met1 ( 1517770 2521610 ) M1M2_PR
NEW met3 ( 1517710 2518380 ) RECT ( -560 -150 0 150 ) ;
- i_dout1_1\[23\] ( sram1 dout1[23] ) ( mprj i_dout1_1[23] ) + USE SIGNAL
+ ROUTED met3 ( 294630 928540 ) ( 300380 * 0 )
NEW met2 ( 294630 928540 ) ( * 2522290 )
NEW met4 ( 1529950 2516010 0 ) ( * 2518380 )
NEW met3 ( 1529730 2518380 ) ( 1529950 * )
NEW met2 ( 1529730 2518380 ) ( * 2522290 )
NEW met1 ( 294630 2522290 ) ( 1529730 * )
NEW met1 ( 294630 2522290 ) M1M2_PR
NEW met2 ( 294630 928540 ) M2M3_PR_M
NEW met3 ( 1529950 2518380 ) M3M4_PR
NEW met2 ( 1529730 2518380 ) M2M3_PR_M
NEW met1 ( 1529730 2522290 ) M1M2_PR
NEW met3 ( 1529950 2518380 ) RECT ( 0 -150 400 150 ) ;
- i_dout1_1\[24\] ( sram1 dout1[24] ) ( mprj i_dout1_1[24] ) + USE SIGNAL
+ ROUTED met4 ( 1542190 2516010 0 ) ( * 2518380 )
NEW met4 ( 1542190 2518380 ) ( 1542380 * )
NEW met4 ( 1542380 2518380 ) ( * 2525860 )
NEW met3 ( 1542150 2525860 ) ( 1542380 * )
NEW met2 ( 1542150 2525860 ) ( * 2527730 )
NEW li1 ( 1096870 1125910 ) ( * 1131350 )
NEW met3 ( 1122860 978180 0 ) ( 1136430 * )
NEW met1 ( 1096870 2527730 ) ( 1542150 * )
NEW met1 ( 1096870 1125910 ) ( 1136430 * )
NEW met2 ( 1136430 978180 ) ( * 1125910 )
NEW met2 ( 1096870 1131350 ) ( * 2527730 )
NEW met1 ( 1096870 2527730 ) M1M2_PR
NEW met3 ( 1542380 2525860 ) M3M4_PR
NEW met2 ( 1542150 2525860 ) M2M3_PR_M
NEW met1 ( 1542150 2527730 ) M1M2_PR
NEW li1 ( 1096870 1131350 ) L1M1_PR_MR
NEW met1 ( 1096870 1131350 ) M1M2_PR
NEW li1 ( 1096870 1125910 ) L1M1_PR_MR
NEW met2 ( 1136430 978180 ) M2M3_PR_M
NEW met1 ( 1136430 1125910 ) M1M2_PR
NEW met3 ( 1542380 2525860 ) RECT ( 0 -150 390 150 )
NEW met1 ( 1096870 1131350 ) RECT ( -355 -70 0 70 ) ;
- i_dout1_1\[25\] ( sram1 dout1[25] ) ( mprj i_dout1_1[25] ) + USE SIGNAL
+ ROUTED met2 ( 1064210 299540 ) ( * 300220 0 )
NEW met3 ( 1064210 299540 ) ( 1068580 * )
NEW met4 ( 1555110 2516010 0 ) ( * 2518380 )
NEW met4 ( 1555110 2518380 ) ( 1555260 * )
NEW met4 ( 1555260 2518380 ) ( * 2526540 )
NEW met4 ( 1068580 299540 ) ( * 2526540 )
NEW met3 ( 1068580 2526540 ) ( 1555260 * )
NEW met2 ( 1064210 299540 ) M2M3_PR_M
NEW met3 ( 1068580 299540 ) M3M4_PR
NEW met3 ( 1068580 2526540 ) M3M4_PR
NEW met3 ( 1555260 2526540 ) M3M4_PR ;
- i_dout1_1\[26\] ( sram1 dout1[26] ) ( mprj i_dout1_1[26] ) + USE SIGNAL
+ ROUTED met4 ( 1568030 2516010 0 ) ( * 2518380 )
NEW met4 ( 1568030 2518380 ) ( 1568140 * )
NEW met4 ( 1568140 2518380 ) ( * 2525860 )
NEW met3 ( 1567910 2525860 ) ( 1568140 * )
NEW met2 ( 1567910 2525860 ) ( * 2527390 )
NEW met2 ( 1094110 2097290 ) ( * 2527390 )
NEW met1 ( 1094110 2527390 ) ( 1567910 * )
NEW met3 ( 1122860 1045500 0 ) ( 1137350 * )
NEW met1 ( 1094110 2097290 ) ( 1137350 * )
NEW met2 ( 1137350 1045500 ) ( * 2097290 )
NEW met1 ( 1094110 2527390 ) M1M2_PR
NEW met3 ( 1568140 2525860 ) M3M4_PR
NEW met2 ( 1567910 2525860 ) M2M3_PR_M
NEW met1 ( 1567910 2527390 ) M1M2_PR
NEW met1 ( 1094110 2097290 ) M1M2_PR
NEW met2 ( 1137350 1045500 ) M2M3_PR_M
NEW met1 ( 1137350 2097290 ) M1M2_PR
NEW met3 ( 1568140 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1_1\[27\] ( sram1 dout1[27] ) ( mprj i_dout1_1[27] ) + USE SIGNAL
+ ROUTED met4 ( 1579180 2517700 ) ( * 2525860 )
NEW met3 ( 1122860 1059100 0 ) ( 1137810 * )
NEW met1 ( 1095030 1259190 ) ( 1137810 * )
NEW met2 ( 1580330 2525860 ) ( * 2527050 )
NEW met4 ( 1580270 2516010 0 ) ( * 2517700 )
NEW met4 ( 1579180 2517700 ) ( 1580270 * )
NEW met3 ( 1579180 2525860 ) ( 1580330 * )
NEW met1 ( 1095030 2527050 ) ( 1580330 * )
NEW met2 ( 1137810 1059100 ) ( * 1259190 )
NEW met2 ( 1095030 1259190 ) ( * 2527050 )
NEW met1 ( 1095030 1259190 ) M1M2_PR
NEW met1 ( 1095030 2527050 ) M1M2_PR
NEW met3 ( 1579180 2525860 ) M3M4_PR
NEW met2 ( 1137810 1059100 ) M2M3_PR_M
NEW met1 ( 1137810 1259190 ) M1M2_PR
NEW met2 ( 1580330 2525860 ) M2M3_PR_M
NEW met1 ( 1580330 2527050 ) M1M2_PR ;
- i_dout1_1\[28\] ( sram1 dout1[28] ) ( mprj i_dout1_1[28] ) + USE SIGNAL
+ ROUTED met2 ( 1093190 2102730 ) ( * 2526710 )
NEW met1 ( 1097330 1151410 ) ( 1101010 * )
NEW met4 ( 1592510 2516010 0 ) ( * 2517700 )
NEW met4 ( 1592060 2517700 ) ( 1592510 * )
NEW met4 ( 1592060 2517700 ) ( * 2525860 )
NEW met3 ( 1591830 2525860 ) ( 1592060 * )
NEW met2 ( 1591830 2525860 ) ( * 2526710 )
NEW met1 ( 1093190 2526710 ) ( 1591830 * )
NEW met2 ( 1101010 1133220 0 ) ( * 1151410 )
NEW met1 ( 1093190 2102730 ) ( 1097330 * )
NEW met2 ( 1097330 1151410 ) ( * 2102730 )
NEW met1 ( 1093190 2526710 ) M1M2_PR
NEW met1 ( 1093190 2102730 ) M1M2_PR
NEW met1 ( 1097330 1151410 ) M1M2_PR
NEW met1 ( 1101010 1151410 ) M1M2_PR
NEW met3 ( 1592060 2525860 ) M3M4_PR
NEW met2 ( 1591830 2525860 ) M2M3_PR_M
NEW met1 ( 1591830 2526710 ) M1M2_PR
NEW met1 ( 1097330 2102730 ) M1M2_PR
NEW met3 ( 1592060 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1_1\[29\] ( sram1 dout1[29] ) ( mprj i_dout1_1[29] ) + USE SIGNAL
+ ROUTED met3 ( 1083990 1131180 ) ( 1084220 * )
NEW met2 ( 1083990 1131010 ) ( * 1131180 )
NEW li1 ( 1083990 1122170 ) ( * 1131010 )
NEW met3 ( 1122860 1085620 0 ) ( 1131830 * )
NEW met4 ( 1605430 2516010 0 ) ( * 2517700 )
NEW met4 ( 1604940 2517700 ) ( 1605430 * )
NEW met4 ( 1604940 2517700 ) ( * 2529940 )
NEW met3 ( 1084220 2529940 ) ( 1604940 * )
NEW met2 ( 1131830 1104660 ) ( * 1122170 )
NEW met2 ( 1131370 1104660 ) ( 1131830 * )
NEW met2 ( 1131370 1098540 ) ( * 1104660 )
NEW met2 ( 1131370 1098540 ) ( 1131830 * )
NEW met1 ( 1083990 1122170 ) ( 1131830 * )
NEW met2 ( 1131830 1085620 ) ( * 1098540 )
NEW met4 ( 1084220 1131180 ) ( * 2529940 )
NEW met3 ( 1084220 2529940 ) M3M4_PR
NEW met3 ( 1084220 1131180 ) M3M4_PR
NEW met2 ( 1083990 1131180 ) M2M3_PR_M
NEW li1 ( 1083990 1131010 ) L1M1_PR_MR
NEW met1 ( 1083990 1131010 ) M1M2_PR
NEW li1 ( 1083990 1122170 ) L1M1_PR_MR
NEW met2 ( 1131830 1085620 ) M2M3_PR_M
NEW met3 ( 1604940 2529940 ) M3M4_PR
NEW met1 ( 1131830 1122170 ) M1M2_PR
NEW met3 ( 1084220 1131180 ) RECT ( 0 -150 390 150 )
NEW met1 ( 1083990 1131010 ) RECT ( -355 -70 0 70 ) ;
- i_dout1_1\[2\] ( sram1 dout1[2] ) ( mprj i_dout1_1[2] ) + USE SIGNAL
+ ROUTED met2 ( 880670 287130 ) ( * 300220 0 )
NEW met2 ( 1083530 287130 ) ( * 287300 )
NEW met3 ( 1083530 287300 ) ( 1087900 * )
NEW met4 ( 1267470 2516010 0 ) ( * 2517700 )
NEW met4 ( 1267300 2517700 ) ( 1267470 * )
NEW met4 ( 1267300 2517700 ) ( * 2529260 )
NEW met1 ( 880670 287130 ) ( 1083530 * )
NEW met3 ( 1087900 2529260 ) ( 1267300 * )
NEW met4 ( 1087900 287300 ) ( * 2529260 )
NEW met1 ( 880670 287130 ) M1M2_PR
NEW met1 ( 1083530 287130 ) M1M2_PR
NEW met2 ( 1083530 287300 ) M2M3_PR_M
NEW met3 ( 1087900 287300 ) M3M4_PR
NEW met3 ( 1087900 2529260 ) M3M4_PR
NEW met3 ( 1267300 2529260 ) M3M4_PR ;
- i_dout1_1\[30\] ( sram1 dout1[30] ) ( mprj i_dout1_1[30] ) + USE SIGNAL
+ ROUTED met2 ( 1106530 299540 ) ( * 300220 0 )
NEW met3 ( 1104460 299540 ) ( 1106530 * )
NEW met4 ( 1616990 2516010 0 ) ( * 2517700 )
NEW met4 ( 1616900 2517700 ) ( 1616990 * )
NEW met4 ( 1616900 2517700 ) ( * 2525860 )
NEW met3 ( 1616670 2525860 ) ( 1616900 * )
NEW met2 ( 1616670 2525860 ) ( * 2526370 )
NEW met1 ( 1088590 2526370 ) ( 1616670 * )
NEW met3 ( 1088590 2083860 ) ( 1104460 * )
NEW met2 ( 1088590 2083860 ) ( * 2526370 )
NEW met4 ( 1104460 299540 ) ( * 2083860 )
NEW met1 ( 1088590 2526370 ) M1M2_PR
NEW met2 ( 1106530 299540 ) M2M3_PR_M
NEW met3 ( 1104460 299540 ) M3M4_PR
NEW met3 ( 1616900 2525860 ) M3M4_PR
NEW met2 ( 1616670 2525860 ) M2M3_PR_M
NEW met1 ( 1616670 2526370 ) M1M2_PR
NEW met2 ( 1088590 2083860 ) M2M3_PR_M
NEW met3 ( 1104460 2083860 ) M3M4_PR
NEW met3 ( 1616900 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1_1\[31\] ( sram1 dout1[31] ) ( mprj i_dout1_1[31] ) + USE SIGNAL
+ ROUTED met4 ( 1630590 2516010 0 ) ( * 2518380 )
NEW met3 ( 1630470 2518380 ) ( 1630590 * )
NEW met2 ( 1630470 2518380 ) ( * 2521950 )
NEW met3 ( 281750 1097860 ) ( 300380 * 0 )
NEW met2 ( 281750 1097860 ) ( * 2521950 )
NEW met1 ( 281750 2521950 ) ( 1630470 * )
NEW met1 ( 281750 2521950 ) M1M2_PR
NEW met3 ( 1630590 2518380 ) M3M4_PR
NEW met2 ( 1630470 2518380 ) M2M3_PR_M
NEW met1 ( 1630470 2521950 ) M1M2_PR
NEW met2 ( 281750 1097860 ) M2M3_PR_M
NEW met3 ( 1630590 2518380 ) RECT ( 0 -150 500 150 ) ;
- i_dout1_1\[3\] ( sram1 dout1[3] ) ( mprj i_dout1_1[3] ) + USE SIGNAL
+ ROUTED met2 ( 889870 286450 ) ( * 300220 0 )
NEW met2 ( 1083530 286450 ) ( * 286620 )
NEW met3 ( 1083530 286620 ) ( 1086980 * )
NEW met4 ( 1281070 2516010 0 ) ( * 2517700 )
NEW met4 ( 1281070 2517700 ) ( 1281100 * )
NEW met4 ( 1281100 2517700 ) ( * 2528580 )
NEW met1 ( 889870 286450 ) ( 1083530 * )
NEW met3 ( 1086980 2528580 ) ( 1281100 * )
NEW met4 ( 1086980 286620 ) ( * 2528580 )
NEW met1 ( 889870 286450 ) M1M2_PR
NEW met1 ( 1083530 286450 ) M1M2_PR
NEW met2 ( 1083530 286620 ) M2M3_PR_M
NEW met3 ( 1086980 286620 ) M3M4_PR
NEW met3 ( 1086980 2528580 ) M3M4_PR
NEW met3 ( 1281100 2528580 ) M3M4_PR ;
- i_dout1_1\[4\] ( sram1 dout1[4] ) ( mprj i_dout1_1[4] ) + USE SIGNAL
+ ROUTED met2 ( 1095490 1169260 ) ( 1095950 * )
NEW li1 ( 1095490 1126250 ) ( * 1131010 )
NEW met2 ( 1095490 1131010 ) ( * 1169260 )
NEW met2 ( 1095950 1169260 ) ( * 1193700 )
NEW met2 ( 1095950 1193700 ) ( 1096410 * )
NEW met3 ( 1122860 507620 0 ) ( 1134590 * )
NEW met4 ( 1292630 2516010 0 ) ( * 2517700 )
NEW met4 ( 1292140 2517700 ) ( 1292630 * )
NEW met4 ( 1292140 2517700 ) ( * 2525860 )
NEW met3 ( 1291910 2525860 ) ( 1292140 * )
NEW met2 ( 1291910 2525860 ) ( * 2529770 )
NEW met1 ( 1096410 2529770 ) ( 1291910 * )
NEW met1 ( 1095490 1126250 ) ( 1134590 * )
NEW met2 ( 1134590 507620 ) ( * 1126250 )
NEW met2 ( 1096410 1193700 ) ( * 2529770 )
NEW met1 ( 1096410 2529770 ) M1M2_PR
NEW li1 ( 1095490 1131010 ) L1M1_PR_MR
NEW met1 ( 1095490 1131010 ) M1M2_PR
NEW li1 ( 1095490 1126250 ) L1M1_PR_MR
NEW met2 ( 1134590 507620 ) M2M3_PR_M
NEW met3 ( 1292140 2525860 ) M3M4_PR
NEW met2 ( 1291910 2525860 ) M2M3_PR_M
NEW met1 ( 1291910 2529770 ) M1M2_PR
NEW met1 ( 1134590 1126250 ) M1M2_PR
NEW met1 ( 1095490 1131010 ) RECT ( -355 -70 0 70 )
NEW met3 ( 1292140 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1_1\[5\] ( sram1 dout1[5] ) ( mprj i_dout1_1[5] ) + USE SIGNAL
+ ROUTED met2 ( 288190 490620 ) ( * 496570 )
NEW met3 ( 288190 490620 ) ( 300380 * 0 )
NEW met1 ( 268410 496570 ) ( 288190 * )
NEW met4 ( 1305550 2516010 0 ) ( * 2518380 )
NEW met3 ( 1305250 2518380 ) ( 1305550 * )
NEW met2 ( 1305250 2518380 ) ( * 2524670 )
NEW met1 ( 268410 2524670 ) ( 1305250 * )
NEW met2 ( 268410 496570 ) ( * 2524670 )
NEW met1 ( 288190 496570 ) M1M2_PR
NEW met2 ( 288190 490620 ) M2M3_PR_M
NEW met1 ( 268410 496570 ) M1M2_PR
NEW met1 ( 268410 2524670 ) M1M2_PR
NEW met3 ( 1305550 2518380 ) M3M4_PR
NEW met2 ( 1305250 2518380 ) M2M3_PR_M
NEW met1 ( 1305250 2524670 ) M1M2_PR
NEW met3 ( 1305550 2518380 ) RECT ( 0 -150 320 150 ) ;
- i_dout1_1\[6\] ( sram1 dout1[6] ) ( mprj i_dout1_1[6] ) + USE SIGNAL
+ ROUTED met2 ( 288190 518500 ) ( * 524110 )
NEW met3 ( 288190 518500 ) ( 300380 * 0 )
NEW met4 ( 1317790 2516010 0 ) ( * 2517700 )
NEW met4 ( 1317790 2517700 ) ( 1317900 * )
NEW met4 ( 1317900 2517700 ) ( * 2520420 )
NEW met3 ( 1317900 2520420 ) ( 1318130 * )
NEW met2 ( 1318130 2520420 ) ( * 2524330 )
NEW met1 ( 267950 2524330 ) ( 1318130 * )
NEW met1 ( 267950 524110 ) ( 288190 * )
NEW met2 ( 267950 524110 ) ( * 2524330 )
NEW met1 ( 288190 524110 ) M1M2_PR
NEW met2 ( 288190 518500 ) M2M3_PR_M
NEW met1 ( 267950 2524330 ) M1M2_PR
NEW met3 ( 1317900 2520420 ) M3M4_PR
NEW met2 ( 1318130 2520420 ) M2M3_PR_M
NEW met1 ( 1318130 2524330 ) M1M2_PR
NEW met1 ( 267950 524110 ) M1M2_PR
NEW met3 ( 1317900 2520420 ) RECT ( -390 -150 0 150 ) ;
- i_dout1_1\[7\] ( sram1 dout1[7] ) ( mprj i_dout1_1[7] ) + USE SIGNAL
+ ROUTED met2 ( 288650 561340 ) ( * 565590 )
NEW met3 ( 288650 561340 ) ( 300380 * 0 )
NEW met4 ( 1330710 2516010 0 ) ( * 2517700 )
NEW met4 ( 1330710 2517700 ) ( 1330780 * )
NEW met4 ( 1330780 2517700 ) ( * 2525860 )
NEW met3 ( 1330550 2525860 ) ( 1330780 * )
NEW met2 ( 1330550 2525690 ) ( * 2525860 )
NEW met1 ( 267490 2525690 ) ( 1330550 * )
NEW met1 ( 267490 565590 ) ( 288650 * )
NEW met2 ( 267490 565590 ) ( * 2525690 )
NEW met1 ( 288650 565590 ) M1M2_PR
NEW met2 ( 288650 561340 ) M2M3_PR_M
NEW met1 ( 267490 2525690 ) M1M2_PR
NEW met3 ( 1330780 2525860 ) M3M4_PR
NEW met2 ( 1330550 2525860 ) M2M3_PR_M
NEW met1 ( 1330550 2525690 ) M1M2_PR
NEW met1 ( 267490 565590 ) M1M2_PR
NEW met3 ( 1330780 2525860 ) RECT ( 0 -150 390 150 ) ;
- i_dout1_1\[8\] ( sram1 dout1[8] ) ( mprj i_dout1_1[8] ) + USE SIGNAL
+ ROUTED met2 ( 960710 285770 ) ( * 300220 0 )
NEW met2 ( 1083530 285770 ) ( * 285940 )
NEW met3 ( 1083530 285940 ) ( 1086060 * )
NEW met4 ( 1342950 2516010 0 ) ( * 2517700 )
NEW met4 ( 1342740 2517700 ) ( 1342950 * )
NEW met4 ( 1342740 2517700 ) ( * 2527900 )
NEW met1 ( 960710 285770 ) ( 1083530 * )
NEW met3 ( 1086060 2527900 ) ( 1342740 * )
NEW met4 ( 1086060 285940 ) ( * 2527900 )
NEW met1 ( 960710 285770 ) M1M2_PR
NEW met1 ( 1083530 285770 ) M1M2_PR
NEW met2 ( 1083530 285940 ) M2M3_PR_M
NEW met3 ( 1086060 285940 ) M3M4_PR
NEW met3 ( 1086060 2527900 ) M3M4_PR
NEW met3 ( 1342740 2527900 ) M3M4_PR ;
- i_dout1_1\[9\] ( sram1 dout1[9] ) ( mprj i_dout1_1[9] ) + USE SIGNAL
+ ROUTED met4 ( 1355870 2516010 0 ) ( * 2517700 )
NEW met4 ( 1355620 2517700 ) ( 1355870 * )
NEW met4 ( 1355620 2517700 ) ( * 2525860 )
NEW met3 ( 1354930 2525860 ) ( 1355620 * )
NEW met2 ( 1354930 2525860 ) ( * 2529430 )
NEW met2 ( 990150 1148350 ) ( * 2529430 )
NEW met1 ( 949670 1148350 ) ( 990150 * )
NEW met1 ( 990150 2529430 ) ( 1354930 * )
NEW met2 ( 949670 1133220 0 ) ( * 1148350 )
NEW met1 ( 990150 1148350 ) M1M2_PR
NEW met1 ( 990150 2529430 ) M1M2_PR
NEW met3 ( 1355620 2525860 ) M3M4_PR
NEW met2 ( 1354930 2525860 ) M2M3_PR_M
NEW met1 ( 1354930 2529430 ) M1M2_PR
NEW met1 ( 949670 1148350 ) M1M2_PR ;
- io_in[0] ( PIN io_in[0] ) ( mprj io_in[0] ) + USE SIGNAL
+ ROUTED met3 ( 2901450 32980 ) ( 2917780 * 0 )
NEW met2 ( 302450 1133220 0 ) ( * 1145630 )
NEW met2 ( 2901450 32980 ) ( * 1141890 )
NEW met1 ( 302450 1145630 ) ( 351670 * )
NEW met2 ( 351670 1141890 ) ( * 1145630 )
NEW met1 ( 351670 1141890 ) ( 2901450 * )
NEW met1 ( 302450 1145630 ) M1M2_PR
NEW met2 ( 2901450 32980 ) M2M3_PR_M
NEW met1 ( 2901450 1141890 ) M1M2_PR
NEW met1 ( 351670 1145630 ) M1M2_PR
NEW met1 ( 351670 1141890 ) M1M2_PR ;
- io_in[10] ( PIN io_in[10] ) ( mprj io_in[10] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2283950 ) ( * 2290580 )
NEW met3 ( 2900990 2290580 ) ( 2917780 * 0 )
NEW met1 ( 447810 1170790 ) ( 1804350 * )
NEW met2 ( 447810 1133220 ) ( 448270 * 0 )
NEW met2 ( 447810 1133220 ) ( * 1170790 )
NEW met2 ( 1804350 1170790 ) ( * 2283950 )
NEW met1 ( 1804350 2283950 ) ( 2900990 * )
NEW met1 ( 2900990 2283950 ) M1M2_PR
NEW met2 ( 2900990 2290580 ) M2M3_PR_M
NEW met1 ( 447810 1170790 ) M1M2_PR
NEW met1 ( 1804350 1170790 ) M1M2_PR
NEW met1 ( 1804350 2283950 ) M1M2_PR ;
- io_in[11] ( PIN io_in[11] ) ( mprj io_in[11] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2553230 ) ( * 2556460 )
NEW met3 ( 2900990 2556460 ) ( 2917780 * 0 )
NEW met1 ( 462990 1171810 ) ( 1818150 * )
NEW met2 ( 462990 1133220 0 ) ( * 1171810 )
NEW met2 ( 1818150 1171810 ) ( * 2553230 )
NEW met1 ( 1818150 2553230 ) ( 2900990 * )
NEW met1 ( 2900990 2553230 ) M1M2_PR
NEW met2 ( 2900990 2556460 ) M2M3_PR_M
NEW met1 ( 462990 1171810 ) M1M2_PR
NEW met1 ( 1818150 1171810 ) M1M2_PR
NEW met1 ( 1818150 2553230 ) M1M2_PR ;
- io_in[12] ( PIN io_in[12] ) ( mprj io_in[12] ) + USE SIGNAL
+ ROUTED met2 ( 2899150 2815370 ) ( * 2821660 )
NEW met3 ( 2899150 2821660 ) ( 2917780 * 0 )
NEW met2 ( 477710 1133220 0 ) ( * 1171130 )
NEW met2 ( 1838850 1171130 ) ( * 2815370 )
NEW met1 ( 477710 1171130 ) ( 1838850 * )
NEW met1 ( 1838850 2815370 ) ( 2899150 * )
NEW met1 ( 477710 1171130 ) M1M2_PR
NEW met1 ( 1838850 1171130 ) M1M2_PR
NEW met1 ( 1838850 2815370 ) M1M2_PR
NEW met1 ( 2899150 2815370 ) M1M2_PR
NEW met2 ( 2899150 2821660 ) M2M3_PR_M ;
- io_in[13] ( PIN io_in[13] ) ( mprj io_in[13] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 3084310 ) ( * 3087540 )
NEW met3 ( 2900990 3087540 ) ( 2917780 * 0 )
NEW met2 ( 491970 1133220 0 ) ( * 1171470 )
NEW met2 ( 1852650 1171470 ) ( * 3084310 )
NEW met1 ( 491970 1171470 ) ( 1852650 * )
NEW met1 ( 1852650 3084310 ) ( 2900990 * )
NEW met1 ( 491970 1171470 ) M1M2_PR
NEW met1 ( 1852650 1171470 ) M1M2_PR
NEW met1 ( 1852650 3084310 ) M1M2_PR
NEW met1 ( 2900990 3084310 ) M1M2_PR
NEW met2 ( 2900990 3087540 ) M2M3_PR_M ;
- io_in[14] ( PIN io_in[14] ) ( mprj io_in[14] ) + USE SIGNAL
+ ROUTED met2 ( 509910 1145400 ) ( * 1172150 )
NEW met2 ( 506690 1133220 0 ) ( 509450 * )
NEW met2 ( 509450 1133220 ) ( * 1145400 )
NEW met2 ( 509450 1145400 ) ( 509910 * )
NEW met2 ( 1859550 1172150 ) ( * 3353590 )
NEW met2 ( 2900990 3353420 ) ( * 3353590 )
NEW met3 ( 2900990 3353420 ) ( 2917780 * 0 )
NEW met1 ( 509910 1172150 ) ( 1859550 * )
NEW met1 ( 1859550 3353590 ) ( 2900990 * )
NEW met1 ( 509910 1172150 ) M1M2_PR
NEW met1 ( 1859550 1172150 ) M1M2_PR
NEW met1 ( 1859550 3353590 ) M1M2_PR
NEW met1 ( 2900990 3353590 ) M1M2_PR
NEW met2 ( 2900990 3353420 ) M2M3_PR_M ;
- io_in[15] ( PIN io_in[15] ) ( mprj io_in[15] ) + USE SIGNAL
+ ROUTED met2 ( 2798410 3501830 ) ( * 3517980 0 )
NEW met1 ( 523710 1172490 ) ( 1873350 * )
NEW met1 ( 1873350 3501830 ) ( 2798410 * )
NEW met2 ( 521410 1133220 0 ) ( 523710 * )
NEW met2 ( 523710 1133220 ) ( * 1172490 )
NEW met2 ( 1873350 1172490 ) ( * 3501830 )
NEW met1 ( 2798410 3501830 ) M1M2_PR
NEW met1 ( 523710 1172490 ) M1M2_PR
NEW met1 ( 1873350 1172490 ) M1M2_PR
NEW met1 ( 1873350 3501830 ) M1M2_PR ;
- io_in[16] ( PIN io_in[16] ) ( mprj io_in[16] ) + USE SIGNAL
+ ROUTED met1 ( 1045810 3501490 ) ( 2474110 * )
NEW met2 ( 536130 1133220 0 ) ( 537510 * )
NEW met2 ( 537510 1133220 ) ( * 2094910 )
NEW met1 ( 537510 2094910 ) ( 1045810 * )
NEW met2 ( 1045810 2094910 ) ( * 3501490 )
NEW met2 ( 2474110 3501490 ) ( * 3517980 0 )
NEW met1 ( 1045810 3501490 ) M1M2_PR
NEW met1 ( 2474110 3501490 ) M1M2_PR
NEW met1 ( 537510 2094910 ) M1M2_PR
NEW met1 ( 1045810 2094910 ) M1M2_PR ;
- io_in[17] ( PIN io_in[17] ) ( mprj io_in[17] ) + USE SIGNAL
+ ROUTED met2 ( 2146130 3517980 ) ( 2148430 * )
NEW met2 ( 2148430 3517300 ) ( * 3517980 )
NEW met2 ( 2148430 3517300 ) ( 2149350 * )
NEW met2 ( 2149350 3517300 ) ( * 3517980 0 )
NEW met2 ( 2146130 1169430 ) ( * 3517980 )
NEW met1 ( 552230 1169430 ) ( 2146130 * )
NEW met2 ( 552230 1145400 ) ( * 1169430 )
NEW met2 ( 550390 1133220 0 ) ( 551770 * )
NEW met2 ( 551770 1133220 ) ( * 1145400 )
NEW met2 ( 551770 1145400 ) ( 552230 * )
NEW met1 ( 2146130 1169430 ) M1M2_PR
NEW met1 ( 552230 1169430 ) M1M2_PR ;
- io_in[18] ( PIN io_in[18] ) ( mprj io_in[18] ) + USE SIGNAL
+ ROUTED met2 ( 1825050 3501830 ) ( * 3517980 0 )
NEW met1 ( 1024650 3501830 ) ( 1825050 * )
NEW met2 ( 565110 1133220 0 ) ( 565570 * )
NEW met2 ( 565570 1133220 ) ( * 2095930 )
NEW met1 ( 565570 2095930 ) ( 1024650 * )
NEW met2 ( 1024650 2095930 ) ( * 3501830 )
NEW met1 ( 1825050 3501830 ) M1M2_PR
NEW met1 ( 1024650 3501830 ) M1M2_PR
NEW met1 ( 565570 2095930 ) M1M2_PR
NEW met1 ( 1024650 2095930 ) M1M2_PR ;
- io_in[19] ( PIN io_in[19] ) ( mprj io_in[19] ) + USE SIGNAL
+ ROUTED met1 ( 579830 1152090 ) ( 585350 * )
NEW met2 ( 579830 1133220 0 ) ( * 1152090 )
NEW met2 ( 585350 1152090 ) ( * 2095590 )
NEW met2 ( 1072950 2095590 ) ( * 3502850 )
NEW met1 ( 1072950 3502850 ) ( 1500750 * )
NEW met1 ( 585350 2095590 ) ( 1072950 * )
NEW met2 ( 1500750 3502850 ) ( * 3517980 0 )
NEW met1 ( 579830 1152090 ) M1M2_PR
NEW met1 ( 585350 1152090 ) M1M2_PR
NEW met1 ( 1072950 3502850 ) M1M2_PR
NEW met1 ( 585350 2095590 ) M1M2_PR
NEW met1 ( 1072950 2095590 ) M1M2_PR
NEW met1 ( 1500750 3502850 ) M1M2_PR ;
- io_in[1] ( PIN io_in[1] ) ( mprj io_in[1] ) + USE SIGNAL
+ ROUTED met2 ( 316710 1133220 0 ) ( * 1142910 )
NEW met2 ( 2900990 231540 ) ( * 234430 )
NEW met3 ( 2900990 231540 ) ( 2917780 * 0 )
NEW met1 ( 316710 1142910 ) ( 1232110 * )
NEW met2 ( 1232110 234430 ) ( * 1142910 )
NEW met1 ( 1232110 234430 ) ( 2900990 * )
NEW met1 ( 316710 1142910 ) M1M2_PR
NEW met1 ( 2900990 234430 ) M1M2_PR
NEW met2 ( 2900990 231540 ) M2M3_PR_M
NEW met1 ( 1232110 234430 ) M1M2_PR
NEW met1 ( 1232110 1142910 ) M1M2_PR ;
- io_in[20] ( PIN io_in[20] ) ( mprj io_in[20] ) + USE SIGNAL
+ ROUTED met1 ( 594550 1152090 ) ( 599610 * )
NEW met2 ( 594550 1133220 0 ) ( * 1152090 )
NEW met2 ( 599610 1152090 ) ( * 2096270 )
NEW met2 ( 1175990 3503870 ) ( * 3517980 0 )
NEW met1 ( 1031550 3503870 ) ( 1175990 * )
NEW met1 ( 599610 2096270 ) ( 1031550 * )
NEW met2 ( 1031550 2096270 ) ( * 3503870 )
NEW met1 ( 594550 1152090 ) M1M2_PR
NEW met1 ( 599610 1152090 ) M1M2_PR
NEW met1 ( 1175990 3503870 ) M1M2_PR
NEW met1 ( 599610 2096270 ) M1M2_PR
NEW met1 ( 1031550 3503870 ) M1M2_PR
NEW met1 ( 1031550 2096270 ) M1M2_PR ;
- io_in[21] ( PIN io_in[21] ) ( mprj io_in[21] ) + USE SIGNAL
+ ROUTED met1 ( 608810 1152090 ) ( 613870 * )
NEW met2 ( 608810 1133220 0 ) ( * 1152090 )
NEW met2 ( 613870 1152090 ) ( * 2096610 )
NEW met2 ( 986930 2096610 ) ( * 3501490 )
NEW met1 ( 851690 3501490 ) ( 986930 * )
NEW met2 ( 851690 3501490 ) ( * 3517980 0 )
NEW met1 ( 613870 2096610 ) ( 986930 * )
NEW met1 ( 608810 1152090 ) M1M2_PR
NEW met1 ( 613870 1152090 ) M1M2_PR
NEW met1 ( 986930 3501490 ) M1M2_PR
NEW met1 ( 613870 2096610 ) M1M2_PR
NEW met1 ( 986930 2096610 ) M1M2_PR
NEW met1 ( 851690 3501490 ) M1M2_PR ;
- io_in[22] ( PIN io_in[22] ) ( mprj io_in[22] ) + USE SIGNAL
+ ROUTED met1 ( 291410 1962310 ) ( 303370 * )
NEW met2 ( 303370 1945990 ) ( * 1962310 )
NEW met1 ( 291410 2532490 ) ( 296930 * )
NEW met2 ( 296930 2532490 ) ( * 2546090 )
NEW met1 ( 296930 2546090 ) ( 303830 * )
NEW met2 ( 377890 1835490 ) ( * 1863030 )
NEW met1 ( 377890 1835490 ) ( 379730 * )
NEW met1 ( 379730 1835150 ) ( * 1835490 )
NEW met1 ( 379730 1835150 ) ( 396750 * )
NEW met1 ( 472650 1441770 ) ( 478170 * )
NEW met2 ( 572470 1262590 ) ( * 1286390 )
NEW met1 ( 572470 1262590 ) ( 583050 * )
NEW met2 ( 291410 1962310 ) ( * 2532490 )
NEW met1 ( 303830 2546430 ) ( 310730 * )
NEW met2 ( 310730 2546430 ) ( * 2587570 )
NEW met1 ( 310730 2587570 ) ( 320850 * )
NEW met1 ( 303830 2546090 ) ( * 2546430 )
NEW met2 ( 320850 2587570 ) ( * 2661690 )
NEW met1 ( 396750 1720230 ) ( 406410 * )
NEW met2 ( 406410 1709350 ) ( * 1720230 )
NEW met1 ( 406410 1709350 ) ( 407330 * )
NEW met2 ( 407330 1685890 ) ( * 1709350 )
NEW met2 ( 396750 1720230 ) ( * 1835150 )
NEW met1 ( 478170 1417630 ) ( 507150 * )
NEW met2 ( 478170 1417630 ) ( * 1441770 )
NEW met2 ( 507150 1369690 ) ( * 1417630 )
NEW met2 ( 472650 1441770 ) ( * 1531530 )
NEW met1 ( 583050 1235390 ) ( 586730 * )
NEW met1 ( 586730 1235050 ) ( * 1235390 )
NEW met1 ( 586730 1235050 ) ( 600530 * )
NEW met2 ( 600530 1207170 ) ( * 1235050 )
NEW met1 ( 600530 1207170 ) ( 612950 * )
NEW met2 ( 583050 1235390 ) ( * 1262590 )
NEW met2 ( 612950 1179630 ) ( * 1207170 )
NEW met1 ( 355810 1863030 ) ( 377890 * )
NEW met1 ( 303370 1945990 ) ( 324300 * )
NEW met1 ( 324300 1945650 ) ( * 1945990 )
NEW met1 ( 324300 1945650 ) ( 337870 * )
NEW met2 ( 337870 1938340 ) ( * 1945650 )
NEW met2 ( 337870 1938340 ) ( 338330 * )
NEW met2 ( 338330 1918450 ) ( * 1938340 )
NEW met1 ( 338330 1918450 ) ( 345230 * )
NEW met1 ( 458850 1531870 ) ( 462530 * )
NEW met1 ( 421130 1659030 ) ( 431250 * )
NEW met1 ( 562350 1286390 ) ( 572470 * )
NEW met2 ( 531530 1352350 ) ( * 1369690 )
NEW met1 ( 531530 1352350 ) ( 544410 * )
NEW met1 ( 507150 1369690 ) ( 531530 * )
NEW met1 ( 524630 3498430 ) ( 527390 * )
NEW met1 ( 612950 1179630 ) ( 621690 * )
NEW met1 ( 345230 1918110 ) ( 355810 * )
NEW met1 ( 345230 1918110 ) ( * 1918450 )
NEW met2 ( 355810 1863030 ) ( * 1918110 )
NEW met2 ( 328670 2661690 ) ( * 2677330 )
NEW met1 ( 328670 2677330 ) ( 334650 * )
NEW met1 ( 320850 2661690 ) ( 328670 * )
NEW met2 ( 334650 2677330 ) ( * 2742610 )
NEW met1 ( 462530 1531530 ) ( * 1531870 )
NEW met1 ( 462530 1531530 ) ( 472650 * )
NEW met1 ( 431250 1621970 ) ( 449190 * )
NEW met2 ( 449190 1586950 ) ( * 1621970 )
NEW met1 ( 449190 1586950 ) ( 458850 * )
NEW met2 ( 431250 1621970 ) ( * 1659030 )
NEW met2 ( 458850 1531870 ) ( * 1586950 )
NEW met1 ( 407330 1685890 ) ( 421130 * )
NEW met2 ( 421130 1659030 ) ( * 1685890 )
NEW met1 ( 544410 1314950 ) ( 562350 * )
NEW met2 ( 544410 1314950 ) ( * 1352350 )
NEW met2 ( 562350 1286390 ) ( * 1314950 )
NEW met1 ( 334650 2742610 ) ( 524630 * )
NEW met2 ( 524630 2742610 ) ( * 3498430 )
NEW met2 ( 527390 3498430 ) ( * 3517980 0 )
NEW met2 ( 621690 1133220 ) ( 623530 * 0 )
NEW met2 ( 621690 1133220 ) ( * 1179630 )
NEW met1 ( 291410 1962310 ) M1M2_PR
NEW met1 ( 303370 1962310 ) M1M2_PR
NEW met1 ( 303370 1945990 ) M1M2_PR
NEW met1 ( 291410 2532490 ) M1M2_PR
NEW met1 ( 296930 2532490 ) M1M2_PR
NEW met1 ( 296930 2546090 ) M1M2_PR
NEW met1 ( 377890 1863030 ) M1M2_PR
NEW met1 ( 377890 1835490 ) M1M2_PR
NEW met1 ( 396750 1835150 ) M1M2_PR
NEW met1 ( 507150 1369690 ) M1M2_PR
NEW met1 ( 472650 1441770 ) M1M2_PR
NEW met1 ( 478170 1441770 ) M1M2_PR
NEW met1 ( 612950 1179630 ) M1M2_PR
NEW met1 ( 572470 1286390 ) M1M2_PR
NEW met1 ( 572470 1262590 ) M1M2_PR
NEW met1 ( 583050 1262590 ) M1M2_PR
NEW met1 ( 310730 2546430 ) M1M2_PR
NEW met1 ( 310730 2587570 ) M1M2_PR
NEW met1 ( 320850 2587570 ) M1M2_PR
NEW met1 ( 320850 2661690 ) M1M2_PR
NEW met1 ( 396750 1720230 ) M1M2_PR
NEW met1 ( 406410 1720230 ) M1M2_PR
NEW met1 ( 406410 1709350 ) M1M2_PR
NEW met1 ( 407330 1709350 ) M1M2_PR
NEW met1 ( 407330 1685890 ) M1M2_PR
NEW met1 ( 478170 1417630 ) M1M2_PR
NEW met1 ( 507150 1417630 ) M1M2_PR
NEW met1 ( 472650 1531530 ) M1M2_PR
NEW met1 ( 583050 1235390 ) M1M2_PR
NEW met1 ( 600530 1235050 ) M1M2_PR
NEW met1 ( 600530 1207170 ) M1M2_PR
NEW met1 ( 612950 1207170 ) M1M2_PR
NEW met1 ( 355810 1863030 ) M1M2_PR
NEW met1 ( 337870 1945650 ) M1M2_PR
NEW met1 ( 338330 1918450 ) M1M2_PR
NEW met1 ( 458850 1531870 ) M1M2_PR
NEW met1 ( 421130 1659030 ) M1M2_PR
NEW met1 ( 431250 1659030 ) M1M2_PR
NEW met1 ( 562350 1286390 ) M1M2_PR
NEW met1 ( 531530 1369690 ) M1M2_PR
NEW met1 ( 531530 1352350 ) M1M2_PR
NEW met1 ( 544410 1352350 ) M1M2_PR
NEW met1 ( 524630 3498430 ) M1M2_PR
NEW met1 ( 527390 3498430 ) M1M2_PR
NEW met1 ( 621690 1179630 ) M1M2_PR
NEW met1 ( 355810 1918110 ) M1M2_PR
NEW met1 ( 328670 2661690 ) M1M2_PR
NEW met1 ( 328670 2677330 ) M1M2_PR
NEW met1 ( 334650 2677330 ) M1M2_PR
NEW met1 ( 334650 2742610 ) M1M2_PR
NEW met1 ( 431250 1621970 ) M1M2_PR
NEW met1 ( 449190 1621970 ) M1M2_PR
NEW met1 ( 449190 1586950 ) M1M2_PR
NEW met1 ( 458850 1586950 ) M1M2_PR
NEW met1 ( 421130 1685890 ) M1M2_PR
NEW met1 ( 544410 1314950 ) M1M2_PR
NEW met1 ( 562350 1314950 ) M1M2_PR
NEW met1 ( 524630 2742610 ) M1M2_PR ;
- io_in[23] ( PIN io_in[23] ) ( mprj io_in[23] ) + USE SIGNAL
+ ROUTED met1 ( 202630 3501830 ) ( 206770 * )
NEW met2 ( 206770 1153790 ) ( * 3501830 )
NEW met2 ( 202630 3501830 ) ( * 3517980 0 )
NEW met1 ( 206770 1153790 ) ( 638250 * )
NEW met2 ( 638250 1133220 0 ) ( * 1153790 )
NEW met1 ( 206770 1153790 ) M1M2_PR
NEW met1 ( 202630 3501830 ) M1M2_PR
NEW met1 ( 206770 3501830 ) M1M2_PR
NEW met1 ( 638250 1153790 ) M1M2_PR ;
- io_in[24] ( PIN io_in[24] ) ( mprj io_in[24] ) + USE SIGNAL
+ ROUTED met3 ( 2300 3421420 0 ) ( 14030 * )
NEW met2 ( 14030 3418530 ) ( * 3421420 )
NEW met1 ( 14030 3418530 ) ( 24150 * )
NEW met2 ( 24150 1169090 ) ( * 3418530 )
NEW met1 ( 24150 1169090 ) ( 651130 * )
NEW met2 ( 651130 1133220 ) ( 652970 * 0 )
NEW met2 ( 651130 1133220 ) ( * 1169090 )
NEW met1 ( 24150 1169090 ) M1M2_PR
NEW met2 ( 14030 3421420 ) M2M3_PR_M
NEW met1 ( 14030 3418530 ) M1M2_PR
NEW met1 ( 24150 3418530 ) M1M2_PR
NEW met1 ( 651130 1169090 ) M1M2_PR ;
- io_in[25] ( PIN io_in[25] ) ( mprj io_in[25] ) + USE SIGNAL
+ ROUTED met3 ( 2300 3160300 0 ) ( 16790 * )
NEW met2 ( 16790 3160300 ) ( * 3160470 )
NEW met2 ( 665390 1133220 ) ( 667230 * 0 )
NEW met2 ( 665390 1133220 ) ( * 1137470 )
NEW met1 ( 662630 1137470 ) ( 665390 * )
NEW met2 ( 662630 1137470 ) ( * 1168750 )
NEW met1 ( 58650 1168750 ) ( 662630 * )
NEW met1 ( 16790 3160470 ) ( 58650 * )
NEW met2 ( 58650 1168750 ) ( * 3160470 )
NEW met1 ( 662630 1168750 ) M1M2_PR
NEW met2 ( 16790 3160300 ) M2M3_PR_M
NEW met1 ( 16790 3160470 ) M1M2_PR
NEW met1 ( 665390 1137470 ) M1M2_PR
NEW met1 ( 662630 1137470 ) M1M2_PR
NEW met1 ( 58650 1168750 ) M1M2_PR
NEW met1 ( 58650 3160470 ) M1M2_PR ;
- io_in[26] ( PIN io_in[26] ) ( mprj io_in[26] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2899860 0 ) ( 16790 * )
NEW met2 ( 16790 2898330 ) ( * 2899860 )
NEW met2 ( 681950 1133220 0 ) ( * 1158890 )
NEW met1 ( 16790 2898330 ) ( 72450 * )
NEW met1 ( 72450 1158890 ) ( 681950 * )
NEW met2 ( 72450 1158890 ) ( * 2898330 )
NEW met2 ( 16790 2899860 ) M2M3_PR_M
NEW met1 ( 16790 2898330 ) M1M2_PR
NEW met1 ( 681950 1158890 ) M1M2_PR
NEW met1 ( 72450 1158890 ) M1M2_PR
NEW met1 ( 72450 2898330 ) M1M2_PR ;
- io_in[27] ( PIN io_in[27] ) ( mprj io_in[27] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2639420 0 ) ( 14950 * )
NEW met2 ( 14950 2635850 ) ( * 2639420 )
NEW met2 ( 696670 1133220 0 ) ( * 1158550 )
NEW met1 ( 14950 2635850 ) ( 79350 * )
NEW met1 ( 79350 1158550 ) ( 696670 * )
NEW met2 ( 79350 1158550 ) ( * 2635850 )
NEW met2 ( 14950 2639420 ) M2M3_PR_M
NEW met1 ( 14950 2635850 ) M1M2_PR
NEW met1 ( 696670 1158550 ) M1M2_PR
NEW met1 ( 79350 1158550 ) M1M2_PR
NEW met1 ( 79350 2635850 ) M1M2_PR ;
- io_in[28] ( PIN io_in[28] ) ( mprj io_in[28] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2378300 0 ) ( 14490 * )
NEW met2 ( 14490 2373710 ) ( * 2378300 )
NEW met2 ( 86250 1172830 ) ( * 2373710 )
NEW met1 ( 86250 1172830 ) ( 711390 * )
NEW met1 ( 14490 2373710 ) ( 86250 * )
NEW met2 ( 711390 1133220 0 ) ( * 1172830 )
NEW met1 ( 86250 1172830 ) M1M2_PR
NEW met2 ( 14490 2378300 ) M2M3_PR_M
NEW met1 ( 14490 2373710 ) M1M2_PR
NEW met1 ( 86250 2373710 ) M1M2_PR
NEW met1 ( 711390 1172830 ) M1M2_PR ;
- io_in[29] ( PIN io_in[29] ) ( mprj io_in[29] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2117860 0 ) ( 20010 * )
NEW met2 ( 20010 1157870 ) ( * 2117860 )
NEW met1 ( 20010 1157870 ) ( 725650 * )
NEW met2 ( 725650 1133220 0 ) ( * 1157870 )
NEW met1 ( 20010 1157870 ) M1M2_PR
NEW met2 ( 20010 2117860 ) M2M3_PR_M
NEW met1 ( 725650 1157870 ) M1M2_PR ;
- io_in[2] ( PIN io_in[2] ) ( mprj io_in[2] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 430780 ) ( * 434690 )
NEW met3 ( 2900990 430780 ) ( 2917780 * 0 )
NEW met2 ( 331430 1133220 0 ) ( * 1139170 )
NEW met1 ( 331430 1139170 ) ( 2163150 * )
NEW met2 ( 2163150 434690 ) ( * 1139170 )
NEW met1 ( 2163150 434690 ) ( 2900990 * )
NEW met1 ( 2900990 434690 ) M1M2_PR
NEW met2 ( 2900990 430780 ) M2M3_PR_M
NEW met1 ( 331430 1139170 ) M1M2_PR
NEW met1 ( 2163150 434690 ) M1M2_PR
NEW met1 ( 2163150 1139170 ) M1M2_PR ;
- io_in[30] ( PIN io_in[30] ) ( mprj io_in[30] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1856740 0 ) ( 16790 * )
NEW met2 ( 16790 1856230 ) ( * 1856740 )
NEW met1 ( 16790 1856230 ) ( 738530 * )
NEW met2 ( 739450 1133220 ) ( 740370 * 0 )
NEW met2 ( 739450 1133220 ) ( * 1137470 )
NEW met1 ( 738530 1137470 ) ( 739450 * )
NEW met2 ( 738530 1137470 ) ( * 1856230 )
NEW met2 ( 16790 1856740 ) M2M3_PR_M
NEW met1 ( 16790 1856230 ) M1M2_PR
NEW met1 ( 738530 1856230 ) M1M2_PR
NEW met1 ( 739450 1137470 ) M1M2_PR
NEW met1 ( 738530 1137470 ) M1M2_PR ;
- io_in[31] ( PIN io_in[31] ) ( mprj io_in[31] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1596300 0 ) ( 15870 * )
NEW met2 ( 15870 1594090 ) ( * 1596300 )
NEW met2 ( 753250 1133220 ) ( 755090 * 0 )
NEW met2 ( 752330 1242000 ) ( 753250 * )
NEW met2 ( 753250 1133220 ) ( * 1242000 )
NEW met1 ( 15870 1594090 ) ( 752330 * )
NEW met2 ( 752330 1242000 ) ( * 1594090 )
NEW met2 ( 15870 1596300 ) M2M3_PR_M
NEW met1 ( 15870 1594090 ) M1M2_PR
NEW met1 ( 752330 1594090 ) M1M2_PR ;
- io_in[32] ( PIN io_in[32] ) ( mprj io_in[32] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1335860 0 ) ( 16330 * )
NEW met2 ( 16330 1331950 ) ( * 1335860 )
NEW met2 ( 767970 1133220 ) ( 769810 * 0 )
NEW met2 ( 766130 1242000 ) ( 767970 * )
NEW met2 ( 767970 1133220 ) ( * 1242000 )
NEW met2 ( 766130 1242000 ) ( * 1331950 )
NEW met1 ( 16330 1331950 ) ( 766130 * )
NEW met2 ( 16330 1335860 ) M2M3_PR_M
NEW met1 ( 16330 1331950 ) M1M2_PR
NEW met1 ( 766130 1331950 ) M1M2_PR ;
- io_in[33] ( PIN io_in[33] ) ( mprj io_in[33] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1074740 0 ) ( 17710 * )
NEW met2 ( 17710 1074740 ) ( * 1075590 )
NEW met2 ( 784070 1133220 0 ) ( * 1143590 )
NEW met1 ( 17710 1075590 ) ( 37950 * )
NEW met2 ( 37950 1075590 ) ( * 1143590 )
NEW met1 ( 37950 1143590 ) ( 784070 * )
NEW met2 ( 17710 1074740 ) M2M3_PR_M
NEW met1 ( 17710 1075590 ) M1M2_PR
NEW met1 ( 784070 1143590 ) M1M2_PR
NEW met1 ( 37950 1075590 ) M1M2_PR
NEW met1 ( 37950 1143590 ) M1M2_PR ;
- io_in[34] ( PIN io_in[34] ) ( mprj io_in[34] ) + USE SIGNAL
+ ROUTED met3 ( 2300 814300 0 ) ( 16330 * )
NEW met2 ( 16330 814300 ) ( * 820930 )
NEW met2 ( 798790 1133220 0 ) ( * 1143930 )
NEW met1 ( 16330 820930 ) ( 58650 * )
NEW met2 ( 58650 820930 ) ( * 1143930 )
NEW met1 ( 58650 1143930 ) ( 798790 * )
NEW met2 ( 16330 814300 ) M2M3_PR_M
NEW met1 ( 16330 820930 ) M1M2_PR
NEW met1 ( 798790 1143930 ) M1M2_PR
NEW met1 ( 58650 820930 ) M1M2_PR
NEW met1 ( 58650 1143930 ) M1M2_PR ;
- io_in[35] ( PIN io_in[35] ) ( mprj io_in[35] ) + USE SIGNAL
+ ROUTED met3 ( 2300 553180 0 ) ( 17250 * )
NEW met2 ( 17250 553180 ) ( * 558790 )
NEW met1 ( 17250 558790 ) ( 72450 * )
NEW met2 ( 72450 558790 ) ( * 1144270 )
NEW met2 ( 813510 1133220 0 ) ( * 1144270 )
NEW met1 ( 72450 1144270 ) ( 813510 * )
NEW met2 ( 17250 553180 ) M2M3_PR_M
NEW met1 ( 17250 558790 ) M1M2_PR
NEW met1 ( 72450 558790 ) M1M2_PR
NEW met1 ( 72450 1144270 ) M1M2_PR
NEW met1 ( 813510 1144270 ) M1M2_PR ;
- io_in[36] ( PIN io_in[36] ) ( mprj io_in[36] ) + USE SIGNAL
+ ROUTED met3 ( 2300 358020 0 ) ( 17250 * )
NEW met2 ( 17250 358020 ) ( * 358530 )
NEW li1 ( 686090 1144950 ) ( 687010 * )
NEW met1 ( 17250 358530 ) ( 79350 * )
NEW met2 ( 79350 358530 ) ( * 1144950 )
NEW met1 ( 79350 1144950 ) ( 686090 * )
NEW met2 ( 828230 1133220 0 ) ( * 1144950 )
NEW met1 ( 687010 1144950 ) ( 828230 * )
NEW met2 ( 17250 358020 ) M2M3_PR_M
NEW met1 ( 17250 358530 ) M1M2_PR
NEW li1 ( 686090 1144950 ) L1M1_PR_MR
NEW li1 ( 687010 1144950 ) L1M1_PR_MR
NEW met1 ( 79350 358530 ) M1M2_PR
NEW met1 ( 79350 1144950 ) M1M2_PR
NEW met1 ( 828230 1144950 ) M1M2_PR ;
- io_in[37] ( PIN io_in[37] ) ( mprj io_in[37] ) + USE SIGNAL
+ ROUTED met3 ( 2300 162180 0 ) ( 14490 * )
NEW met2 ( 14490 162180 ) ( * 165410 )
NEW met2 ( 86250 165410 ) ( * 1142570 )
NEW met1 ( 14490 165410 ) ( 86250 * )
NEW met2 ( 842950 1133220 0 ) ( * 1142570 )
NEW met1 ( 86250 1142570 ) ( 842950 * )
NEW met2 ( 14490 162180 ) M2M3_PR_M
NEW met1 ( 14490 165410 ) M1M2_PR
NEW met1 ( 86250 165410 ) M1M2_PR
NEW met1 ( 86250 1142570 ) M1M2_PR
NEW met1 ( 842950 1142570 ) M1M2_PR ;
- io_in[3] ( PIN io_in[3] ) ( mprj io_in[3] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 630020 ) ( * 634610 )
NEW met3 ( 2900990 630020 ) ( 2917780 * 0 )
NEW met2 ( 346150 1133220 0 ) ( * 1139510 )
NEW met1 ( 346150 1139510 ) ( 2176950 * )
NEW met2 ( 2176950 634610 ) ( * 1139510 )
NEW met1 ( 2176950 634610 ) ( 2900990 * )
NEW met1 ( 2900990 634610 ) M1M2_PR
NEW met2 ( 2900990 630020 ) M2M3_PR_M
NEW met1 ( 346150 1139510 ) M1M2_PR
NEW met1 ( 2176950 634610 ) M1M2_PR
NEW met1 ( 2176950 1139510 ) M1M2_PR ;
- io_in[4] ( PIN io_in[4] ) ( mprj io_in[4] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 829260 ) ( * 834870 )
NEW met3 ( 2900990 829260 ) ( 2917780 * 0 )
NEW met2 ( 360870 1133220 0 ) ( * 1138830 )
NEW met1 ( 360870 1138830 ) ( 2197650 * )
NEW met2 ( 2197650 834870 ) ( * 1138830 )
NEW met1 ( 2197650 834870 ) ( 2900990 * )
NEW met1 ( 2900990 834870 ) M1M2_PR
NEW met2 ( 2900990 829260 ) M2M3_PR_M
NEW met1 ( 360870 1138830 ) M1M2_PR
NEW met1 ( 2197650 834870 ) M1M2_PR
NEW met1 ( 2197650 1138830 ) M1M2_PR ;
- io_in[5] ( PIN io_in[5] ) ( mprj io_in[5] ) + USE SIGNAL
+ ROUTED met2 ( 375130 1133220 0 ) ( * 1139850 )
NEW met2 ( 2142450 1034790 ) ( * 1139850 )
NEW met2 ( 2899610 1028500 ) ( * 1034790 )
NEW met3 ( 2899610 1028500 ) ( 2917780 * 0 )
NEW met1 ( 375130 1139850 ) ( 2142450 * )
NEW met1 ( 2142450 1034790 ) ( 2899610 * )
NEW met1 ( 375130 1139850 ) M1M2_PR
NEW met1 ( 2142450 1034790 ) M1M2_PR
NEW met1 ( 2142450 1139850 ) M1M2_PR
NEW met1 ( 2899610 1034790 ) M1M2_PR
NEW met2 ( 2899610 1028500 ) M2M3_PR_M ;
- io_in[6] ( PIN io_in[6] ) ( mprj io_in[6] ) + USE SIGNAL
+ ROUTED met2 ( 389850 1133220 0 ) ( 392150 * )
NEW met2 ( 392150 1133220 ) ( * 1145400 )
NEW met2 ( 392150 1145400 ) ( 393070 * )
NEW met2 ( 393070 1145400 ) ( * 1221450 )
NEW met2 ( 2900990 1221450 ) ( * 1227740 )
NEW met3 ( 2900990 1227740 ) ( 2917780 * 0 )
NEW met1 ( 393070 1221450 ) ( 2900990 * )
NEW met1 ( 393070 1221450 ) M1M2_PR
NEW met1 ( 2900990 1221450 ) M1M2_PR
NEW met2 ( 2900990 1227740 ) M2M3_PR_M ;
- io_in[7] ( PIN io_in[7] ) ( mprj io_in[7] ) + USE SIGNAL
+ ROUTED met2 ( 404570 1133220 0 ) ( 406410 * )
NEW met2 ( 406410 1133220 ) ( * 1490730 )
NEW met2 ( 2900990 1490730 ) ( * 1493620 )
NEW met3 ( 2900990 1493620 ) ( 2917780 * 0 )
NEW met1 ( 406410 1490730 ) ( 2900990 * )
NEW met1 ( 406410 1490730 ) M1M2_PR
NEW met1 ( 2900990 1490730 ) M1M2_PR
NEW met2 ( 2900990 1493620 ) M2M3_PR_M ;
- io_in[8] ( PIN io_in[8] ) ( mprj io_in[8] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1759500 ) ( * 1759670 )
NEW met3 ( 2900990 1759500 ) ( 2917780 * 0 )
NEW met2 ( 419290 1133220 0 ) ( 420670 * )
NEW met2 ( 420670 1133220 ) ( * 1759670 )
NEW met1 ( 420670 1759670 ) ( 2900990 * )
NEW met1 ( 420670 1759670 ) M1M2_PR
NEW met1 ( 2900990 1759670 ) M1M2_PR
NEW met2 ( 2900990 1759500 ) M2M3_PR_M ;
- io_in[9] ( PIN io_in[9] ) ( mprj io_in[9] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2021810 ) ( * 2024700 )
NEW met3 ( 2900990 2024700 ) ( 2917780 * 0 )
NEW met1 ( 434470 2021810 ) ( 2900990 * )
NEW met2 ( 433550 1133220 0 ) ( 434470 * )
NEW met2 ( 434470 1133220 ) ( * 2021810 )
NEW met1 ( 2900990 2021810 ) M1M2_PR
NEW met2 ( 2900990 2024700 ) M2M3_PR_M
NEW met1 ( 434470 2021810 ) M1M2_PR ;
- io_oeb[0] ( PIN io_oeb[0] ) ( mprj io_oeb[0] ) + USE SIGNAL
+ ROUTED met2 ( 307050 1133220 0 ) ( * 1141550 )
NEW met2 ( 1259250 165410 ) ( * 1141550 )
NEW met2 ( 2900990 165410 ) ( * 165580 )
NEW met3 ( 2900990 165580 ) ( 2917780 * 0 )
NEW met1 ( 307050 1141550 ) ( 1259250 * )
NEW met1 ( 1259250 165410 ) ( 2900990 * )
NEW met1 ( 307050 1141550 ) M1M2_PR
NEW met1 ( 1259250 165410 ) M1M2_PR
NEW met1 ( 1259250 1141550 ) M1M2_PR
NEW met1 ( 2900990 165410 ) M1M2_PR
NEW met2 ( 2900990 165580 ) M2M3_PR_M ;
- io_oeb[10] ( PIN io_oeb[10] ) ( mprj io_oeb[10] ) + USE SIGNAL
+ ROUTED met2 ( 2898230 2421990 ) ( * 2423180 )
NEW met3 ( 2898230 2423180 ) ( 2917780 * 0 )
NEW met1 ( 455170 1170110 ) ( 1880250 * )
NEW met1 ( 1880250 2421990 ) ( 2898230 * )
NEW met2 ( 453330 1133220 0 ) ( 455170 * )
NEW met2 ( 455170 1133220 ) ( * 1170110 )
NEW met2 ( 1880250 1170110 ) ( * 2421990 )
NEW met1 ( 2898230 2421990 ) M1M2_PR
NEW met2 ( 2898230 2423180 ) M2M3_PR_M
NEW met1 ( 455170 1170110 ) M1M2_PR
NEW met1 ( 1880250 1170110 ) M1M2_PR
NEW met1 ( 1880250 2421990 ) M1M2_PR ;
- io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[11] ) + USE SIGNAL
+ ROUTED met3 ( 2903290 2689060 ) ( 2917780 * 0 )
NEW met2 ( 2903290 1155490 ) ( * 2689060 )
NEW met1 ( 467590 1155490 ) ( 2903290 * )
NEW met2 ( 467590 1133220 0 ) ( * 1155490 )
NEW met1 ( 2903290 1155490 ) M1M2_PR
NEW met2 ( 2903290 2689060 ) M2M3_PR_M
NEW met1 ( 467590 1155490 ) M1M2_PR ;
- io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[12] ) + USE SIGNAL
+ ROUTED met2 ( 482310 1133220 0 ) ( 482770 * )
NEW met2 ( 482770 1133220 ) ( * 1155830 )
NEW met3 ( 2902830 2954940 ) ( 2917780 * 0 )
NEW met2 ( 2902830 1155830 ) ( * 2954940 )
NEW met1 ( 482770 1155830 ) ( 2902830 * )
NEW met1 ( 482770 1155830 ) M1M2_PR
NEW met1 ( 2902830 1155830 ) M1M2_PR
NEW met2 ( 2902830 2954940 ) M2M3_PR_M ;
- io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[13] ) + USE SIGNAL
+ ROUTED met3 ( 2902370 3220140 ) ( 2917780 * 0 )
NEW met2 ( 497030 1133220 0 ) ( * 1156170 )
NEW met2 ( 2902370 1156170 ) ( * 3220140 )
NEW met1 ( 497030 1156170 ) ( 2902370 * )
NEW met1 ( 497030 1156170 ) M1M2_PR
NEW met1 ( 2902370 1156170 ) M1M2_PR
NEW met2 ( 2902370 3220140 ) M2M3_PR_M ;
- io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[14] ) + USE SIGNAL
+ ROUTED met3 ( 2901450 3486020 ) ( 2917780 * 0 )
NEW met2 ( 511750 1133220 0 ) ( * 1156510 )
NEW met2 ( 2901450 1156510 ) ( * 3486020 )
NEW met1 ( 511750 1156510 ) ( 2901450 * )
NEW met1 ( 511750 1156510 ) M1M2_PR
NEW met1 ( 2901450 1156510 ) M1M2_PR
NEW met2 ( 2901450 3486020 ) M2M3_PR_M ;
- io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[15] ) + USE SIGNAL
+ ROUTED met2 ( 2636030 1156850 ) ( * 3517980 0 )
NEW met1 ( 526010 1156850 ) ( 2636030 * )
NEW met2 ( 526010 1133220 0 ) ( * 1156850 )
NEW met1 ( 2636030 1156850 ) M1M2_PR
NEW met1 ( 526010 1156850 ) M1M2_PR ;
- io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[16] ) + USE SIGNAL
+ ROUTED met2 ( 2311730 1157190 ) ( * 3517980 0 )
NEW met1 ( 540730 1157190 ) ( 2311730 * )
NEW met2 ( 540730 1133220 0 ) ( * 1157190 )
NEW met1 ( 2311730 1157190 ) M1M2_PR
NEW met1 ( 540730 1157190 ) M1M2_PR ;
- io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[17] ) + USE SIGNAL
+ ROUTED met1 ( 557290 1157530 ) ( 1987430 * )
NEW met2 ( 555450 1133220 0 ) ( 557290 * )
NEW met2 ( 557290 1133220 ) ( * 1157530 )
NEW met2 ( 1987430 1157530 ) ( * 3517980 0 )
NEW met1 ( 557290 1157530 ) M1M2_PR
NEW met1 ( 1987430 1157530 ) M1M2_PR ;
- io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[18] ) + USE SIGNAL
+ ROUTED met2 ( 570170 1133220 0 ) ( * 1154470 )
NEW met2 ( 1066050 1154470 ) ( * 3502510 )
NEW met2 ( 1662670 3502510 ) ( * 3517980 0 )
NEW met1 ( 570170 1154470 ) ( 1066050 * )
NEW met1 ( 1066050 3502510 ) ( 1662670 * )
NEW met1 ( 570170 1154470 ) M1M2_PR
NEW met1 ( 1066050 1154470 ) M1M2_PR
NEW met1 ( 1066050 3502510 ) M1M2_PR
NEW met1 ( 1662670 3502510 ) M1M2_PR ;
- io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[19] ) + USE SIGNAL
+ ROUTED met2 ( 584430 1133220 0 ) ( * 1153450 )
NEW met1 ( 584430 1153450 ) ( 1003950 * )
NEW met1 ( 1003950 3503530 ) ( 1338370 * )
NEW met2 ( 1003950 1153450 ) ( * 3503530 )
NEW met2 ( 1338370 3503530 ) ( * 3517980 0 )
NEW met1 ( 584430 1153450 ) M1M2_PR
NEW met1 ( 1003950 1153450 ) M1M2_PR
NEW met1 ( 1003950 3503530 ) M1M2_PR
NEW met1 ( 1338370 3503530 ) M1M2_PR ;
- io_oeb[1] ( PIN io_oeb[1] ) ( mprj io_oeb[1] ) + USE SIGNAL
+ ROUTED met2 ( 321770 1133220 0 ) ( * 1143250 )
NEW met2 ( 2900070 364820 ) ( * 365670 )
NEW met3 ( 2900070 364820 ) ( 2917780 * 0 )
NEW met2 ( 1129530 1111630 ) ( * 1143250 )
NEW met1 ( 1128150 1111630 ) ( 1129530 * )
NEW met1 ( 321770 1143250 ) ( 1129530 * )
NEW met2 ( 1128150 365670 ) ( * 1111630 )
NEW met1 ( 1128150 365670 ) ( 2900070 * )
NEW met1 ( 321770 1143250 ) M1M2_PR
NEW met1 ( 2900070 365670 ) M1M2_PR
NEW met2 ( 2900070 364820 ) M2M3_PR_M
NEW met1 ( 1128150 365670 ) M1M2_PR
NEW met1 ( 1129530 1143250 ) M1M2_PR
NEW met1 ( 1129530 1111630 ) M1M2_PR
NEW met1 ( 1128150 1111630 ) M1M2_PR ;
- io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[20] ) + USE SIGNAL
+ ROUTED met2 ( 599150 1133220 0 ) ( * 1153110 )
NEW met1 ( 599150 1153110 ) ( 1007630 * )
NEW met1 ( 1007630 3515090 ) ( 1014070 * )
NEW met2 ( 1014070 3515090 ) ( * 3517980 0 )
NEW met2 ( 1007630 1153110 ) ( * 3515090 )
NEW met1 ( 599150 1153110 ) M1M2_PR
NEW met1 ( 1007630 1153110 ) M1M2_PR
NEW met1 ( 1007630 3515090 ) M1M2_PR
NEW met1 ( 1014070 3515090 ) M1M2_PR ;
- io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[21] ) + USE SIGNAL
+ ROUTED met1 ( 686550 1731790 ) ( 697130 * )
NEW met1 ( 697130 1731790 ) ( * 1732130 )
NEW met1 ( 697130 1732130 ) ( 704490 * )
NEW met2 ( 704490 1732130 ) ( * 1752530 )
NEW met1 ( 689310 3498090 ) ( 699890 * )
NEW met2 ( 699890 3487890 ) ( * 3498090 )
NEW met1 ( 787290 1929330 ) ( 800630 * )
NEW met2 ( 800630 1929330 ) ( * 1940210 )
NEW met1 ( 762450 3194810 ) ( 766590 * )
NEW met1 ( 766590 3194470 ) ( * 3194810 )
NEW met1 ( 766590 3194470 ) ( 779930 * )
NEW met2 ( 779930 3174070 ) ( * 3194470 )
NEW met1 ( 779930 3174070 ) ( 793730 * )
NEW met1 ( 982330 2618850 ) ( 987850 * )
NEW met2 ( 965770 2711500 ) ( * 2725270 )
NEW met2 ( 965770 2711500 ) ( 966230 * )
NEW met2 ( 966230 2697730 ) ( * 2711500 )
NEW met1 ( 966230 2697730 ) ( 976350 * )
NEW met2 ( 613410 1133220 ) ( 613870 * 0 )
NEW met2 ( 613410 1133220 ) ( * 1503990 )
NEW met2 ( 686550 1611090 ) ( * 1731790 )
NEW met2 ( 689310 3498090 ) ( * 3517980 0 )
NEW met2 ( 772570 1876970 ) ( * 1883940 )
NEW met2 ( 772570 1883940 ) ( 773030 * )
NEW met2 ( 773030 1883940 ) ( * 1904170 )
NEW met1 ( 773030 1904170 ) ( 779930 * )
NEW met1 ( 779930 1904170 ) ( * 1904510 )
NEW met1 ( 779930 1904510 ) ( 787290 * )
NEW met2 ( 787290 1904510 ) ( * 1929330 )
NEW met1 ( 793730 3173730 ) ( 800630 * )
NEW met2 ( 800630 3156730 ) ( * 3173730 )
NEW met1 ( 793730 3173730 ) ( * 3174070 )
NEW met2 ( 762450 3194810 ) ( * 3256690 )
NEW met2 ( 865030 1988150 ) ( * 2000730 )
NEW met1 ( 865030 2000730 ) ( 869630 * )
NEW met1 ( 869630 2000730 ) ( * 2001070 )
NEW met2 ( 893550 2784430 ) ( * 2811630 )
NEW met1 ( 953350 2069750 ) ( 966230 * )
NEW met1 ( 966230 2069750 ) ( * 2070090 )
NEW met1 ( 966230 2070090 ) ( 987850 * )
NEW met2 ( 953350 2049350 ) ( * 2069750 )
NEW met2 ( 987850 2070090 ) ( * 2618850 )
NEW met1 ( 976350 2649450 ) ( 982330 * )
NEW met2 ( 976350 2649450 ) ( * 2697730 )
NEW met2 ( 982330 2618850 ) ( * 2649450 )
NEW met1 ( 704490 1752530 ) ( 710700 * )
NEW met1 ( 710700 1752530 ) ( * 1752870 )
NEW met1 ( 710700 1752870 ) ( 720130 * )
NEW met2 ( 720130 1752870 ) ( * 1761370 )
NEW met1 ( 720130 1761370 ) ( 734850 * )
NEW met1 ( 741750 1849430 ) ( 755550 * )
NEW met1 ( 748650 3305310 ) ( 755550 * )
NEW met1 ( 699890 3487890 ) ( 727950 * )
NEW met1 ( 800630 1940210 ) ( 813050 * )
NEW met1 ( 852150 2811630 ) ( 893550 * )
NEW met1 ( 920230 2027250 ) ( 931270 * )
NEW met2 ( 931270 2027250 ) ( * 2035580 )
NEW met2 ( 931270 2035580 ) ( 931730 * )
NEW met2 ( 931730 2035580 ) ( * 2049010 )
NEW met1 ( 931730 2049010 ) ( 938630 * )
NEW met1 ( 938630 2049010 ) ( * 2049350 )
NEW met1 ( 938630 2049350 ) ( 953350 * )
NEW met1 ( 940930 2725270 ) ( 965770 * )
NEW met1 ( 613410 1503990 ) ( 614100 * )
NEW met1 ( 614100 1503990 ) ( * 1504330 )
NEW met1 ( 614100 1504330 ) ( 622150 * )
NEW met2 ( 622150 1504330 ) ( * 1518270 )
NEW met1 ( 622150 1518270 ) ( 645150 * )
NEW met1 ( 645150 1600890 ) ( 655730 * )
NEW met2 ( 655730 1600890 ) ( * 1611090 )
NEW met2 ( 645150 1518270 ) ( * 1600890 )
NEW met1 ( 655730 1611090 ) ( 686550 * )
NEW met1 ( 734850 1807950 ) ( 741750 * )
NEW met2 ( 734850 1761370 ) ( * 1807950 )
NEW met2 ( 741750 1807950 ) ( * 1849430 )
NEW met1 ( 759000 1876970 ) ( 772570 * )
NEW met1 ( 755550 1876630 ) ( 759000 * )
NEW met1 ( 759000 1876630 ) ( * 1876970 )
NEW met2 ( 755550 1849430 ) ( * 1876630 )
NEW met2 ( 755550 3256690 ) ( * 3305310 )
NEW met1 ( 755550 3256690 ) ( 762450 * )
NEW met1 ( 727950 3446070 ) ( 738070 * )
NEW met2 ( 738070 3422610 ) ( * 3446070 )
NEW met1 ( 738070 3422610 ) ( 738530 * )
NEW met1 ( 738530 3422270 ) ( * 3422610 )
NEW met1 ( 738530 3422270 ) ( 748650 * )
NEW met2 ( 727950 3446070 ) ( * 3487890 )
NEW met2 ( 748650 3305310 ) ( * 3422270 )
NEW met1 ( 813050 1976930 ) ( 828690 * )
NEW met2 ( 828690 1976930 ) ( * 1988150 )
NEW met2 ( 813050 1940210 ) ( * 1976930 )
NEW met1 ( 828690 1988150 ) ( 865030 * )
NEW met1 ( 845250 3035690 ) ( 852150 * )
NEW met2 ( 852150 2811630 ) ( * 3035690 )
NEW met1 ( 800630 3156730 ) ( 845250 * )
NEW met2 ( 845250 3035690 ) ( * 3156730 )
NEW met2 ( 912410 2001070 ) ( * 2008210 )
NEW met1 ( 912410 2008210 ) ( 920230 * )
NEW met1 ( 869630 2001070 ) ( 912410 * )
NEW met2 ( 920230 2008210 ) ( * 2027250 )
NEW met2 ( 908730 2753150 ) ( * 2784430 )
NEW met1 ( 908730 2753150 ) ( 911030 * )
NEW met1 ( 911030 2752810 ) ( * 2753150 )
NEW met1 ( 911030 2752810 ) ( 940930 * )
NEW met1 ( 893550 2784430 ) ( 908730 * )
NEW met2 ( 940930 2725270 ) ( * 2752810 )
NEW met1 ( 686550 1731790 ) M1M2_PR
NEW met1 ( 704490 1732130 ) M1M2_PR
NEW met1 ( 704490 1752530 ) M1M2_PR
NEW met1 ( 689310 3498090 ) M1M2_PR
NEW met1 ( 699890 3498090 ) M1M2_PR
NEW met1 ( 699890 3487890 ) M1M2_PR
NEW met1 ( 787290 1929330 ) M1M2_PR
NEW met1 ( 800630 1929330 ) M1M2_PR
NEW met1 ( 800630 1940210 ) M1M2_PR
NEW met1 ( 762450 3194810 ) M1M2_PR
NEW met1 ( 779930 3194470 ) M1M2_PR
NEW met1 ( 779930 3174070 ) M1M2_PR
NEW met1 ( 893550 2811630 ) M1M2_PR
NEW met1 ( 953350 2049350 ) M1M2_PR
NEW met1 ( 982330 2618850 ) M1M2_PR
NEW met1 ( 987850 2618850 ) M1M2_PR
NEW met1 ( 965770 2725270 ) M1M2_PR
NEW met1 ( 966230 2697730 ) M1M2_PR
NEW met1 ( 976350 2697730 ) M1M2_PR
NEW met1 ( 613410 1503990 ) M1M2_PR
NEW met1 ( 686550 1611090 ) M1M2_PR
NEW met1 ( 772570 1876970 ) M1M2_PR
NEW met1 ( 773030 1904170 ) M1M2_PR
NEW met1 ( 787290 1904510 ) M1M2_PR
NEW met1 ( 800630 3173730 ) M1M2_PR
NEW met1 ( 800630 3156730 ) M1M2_PR
NEW met1 ( 762450 3256690 ) M1M2_PR
NEW met1 ( 865030 1988150 ) M1M2_PR
NEW met1 ( 865030 2000730 ) M1M2_PR
NEW met1 ( 893550 2784430 ) M1M2_PR
NEW met1 ( 953350 2069750 ) M1M2_PR
NEW met1 ( 987850 2070090 ) M1M2_PR
NEW met1 ( 976350 2649450 ) M1M2_PR
NEW met1 ( 982330 2649450 ) M1M2_PR
NEW met1 ( 720130 1752870 ) M1M2_PR
NEW met1 ( 720130 1761370 ) M1M2_PR
NEW met1 ( 734850 1761370 ) M1M2_PR
NEW met1 ( 741750 1849430 ) M1M2_PR
NEW met1 ( 755550 1849430 ) M1M2_PR
NEW met1 ( 748650 3305310 ) M1M2_PR
NEW met1 ( 755550 3305310 ) M1M2_PR
NEW met1 ( 727950 3487890 ) M1M2_PR
NEW met1 ( 813050 1940210 ) M1M2_PR
NEW met1 ( 852150 2811630 ) M1M2_PR
NEW met1 ( 920230 2027250 ) M1M2_PR
NEW met1 ( 931270 2027250 ) M1M2_PR
NEW met1 ( 931730 2049010 ) M1M2_PR
NEW met1 ( 940930 2725270 ) M1M2_PR
NEW met1 ( 622150 1504330 ) M1M2_PR
NEW met1 ( 622150 1518270 ) M1M2_PR
NEW met1 ( 645150 1518270 ) M1M2_PR
NEW met1 ( 645150 1600890 ) M1M2_PR
NEW met1 ( 655730 1600890 ) M1M2_PR
NEW met1 ( 655730 1611090 ) M1M2_PR
NEW met1 ( 734850 1807950 ) M1M2_PR
NEW met1 ( 741750 1807950 ) M1M2_PR
NEW met1 ( 755550 1876630 ) M1M2_PR
NEW met1 ( 755550 3256690 ) M1M2_PR
NEW met1 ( 727950 3446070 ) M1M2_PR
NEW met1 ( 738070 3446070 ) M1M2_PR
NEW met1 ( 738070 3422610 ) M1M2_PR
NEW met1 ( 748650 3422270 ) M1M2_PR
NEW met1 ( 813050 1976930 ) M1M2_PR
NEW met1 ( 828690 1976930 ) M1M2_PR
NEW met1 ( 828690 1988150 ) M1M2_PR
NEW met1 ( 845250 3035690 ) M1M2_PR
NEW met1 ( 852150 3035690 ) M1M2_PR
NEW met1 ( 845250 3156730 ) M1M2_PR
NEW met1 ( 912410 2001070 ) M1M2_PR
NEW met1 ( 912410 2008210 ) M1M2_PR
NEW met1 ( 920230 2008210 ) M1M2_PR
NEW met1 ( 908730 2784430 ) M1M2_PR
NEW met1 ( 908730 2753150 ) M1M2_PR
NEW met1 ( 940930 2752810 ) M1M2_PR ;
- io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[22] ) + USE SIGNAL
+ ROUTED met2 ( 293250 2093890 ) ( * 3501490 )
NEW met1 ( 293250 3501490 ) ( 365010 * )
NEW met2 ( 365010 3501490 ) ( * 3517980 0 )
NEW met1 ( 293250 2093890 ) ( 628590 * )
NEW met2 ( 628590 1133220 0 ) ( * 2093890 )
NEW met1 ( 293250 3501490 ) M1M2_PR
NEW met1 ( 293250 2093890 ) M1M2_PR
NEW met1 ( 365010 3501490 ) M1M2_PR
NEW met1 ( 628590 2093890 ) M1M2_PR ;
- io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[23] ) + USE SIGNAL
+ ROUTED met1 ( 41170 1155150 ) ( 643310 * )
NEW met2 ( 41170 1155150 ) ( * 3512100 )
NEW met2 ( 40710 3512100 ) ( 41170 * )
NEW met2 ( 40710 3512100 ) ( * 3517980 0 )
NEW met2 ( 643310 1133220 0 ) ( * 1155150 )
NEW met1 ( 41170 1155150 ) M1M2_PR
NEW met1 ( 643310 1155150 ) M1M2_PR ;
- io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[24] ) + USE SIGNAL
+ ROUTED met3 ( 2300 3290860 0 ) ( 17710 * )
NEW met2 ( 17710 1158210 ) ( * 3290860 )
NEW met1 ( 17710 1158210 ) ( 657570 * )
NEW met2 ( 657570 1133220 0 ) ( * 1158210 )
NEW met1 ( 17710 1158210 ) M1M2_PR
NEW met2 ( 17710 3290860 ) M2M3_PR_M
NEW met1 ( 657570 1158210 ) M1M2_PR ;
- io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[25] ) + USE SIGNAL
+ ROUTED met3 ( 2300 3030420 0 ) ( 16790 * )
NEW met2 ( 16790 3029230 ) ( * 3030420 )
NEW met2 ( 93150 1178610 ) ( * 3029230 )
NEW met2 ( 670450 1133220 ) ( 672290 * 0 )
NEW met2 ( 670450 1133220 ) ( * 1178610 )
NEW met1 ( 93150 1178610 ) ( 670450 * )
NEW met1 ( 16790 3029230 ) ( 93150 * )
NEW met1 ( 93150 1178610 ) M1M2_PR
NEW met1 ( 670450 1178610 ) M1M2_PR
NEW met2 ( 16790 3030420 ) M2M3_PR_M
NEW met1 ( 16790 3029230 ) M1M2_PR
NEW met1 ( 93150 3029230 ) M1M2_PR ;
- io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[26] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2769300 0 ) ( 16790 * )
NEW met2 ( 16790 2767090 ) ( * 2769300 )
NEW met2 ( 106950 1178270 ) ( * 2767090 )
NEW met2 ( 685170 1133220 ) ( 687010 * 0 )
NEW met2 ( 685170 1133220 ) ( * 1178270 )
NEW met1 ( 106950 1178270 ) ( 685170 * )
NEW met1 ( 16790 2767090 ) ( 106950 * )
NEW met1 ( 106950 1178270 ) M1M2_PR
NEW met1 ( 685170 1178270 ) M1M2_PR
NEW met2 ( 16790 2769300 ) M2M3_PR_M
NEW met1 ( 16790 2767090 ) M1M2_PR
NEW met1 ( 106950 2767090 ) M1M2_PR ;
- io_oeb[27] ( PIN io_oeb[27] ) ( mprj io_oeb[27] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2508860 0 ) ( 16330 * )
NEW met2 ( 16330 2504950 ) ( * 2508860 )
NEW met2 ( 113850 1177930 ) ( * 2504950 )
NEW met2 ( 699890 1133220 ) ( 701730 * 0 )
NEW met2 ( 699890 1133220 ) ( * 1177930 )
NEW met1 ( 16330 2504950 ) ( 113850 * )
NEW met1 ( 113850 1177930 ) ( 699890 * )
NEW met2 ( 16330 2508860 ) M2M3_PR_M
NEW met1 ( 16330 2504950 ) M1M2_PR
NEW met1 ( 113850 1177930 ) M1M2_PR
NEW met1 ( 113850 2504950 ) M1M2_PR
NEW met1 ( 699890 1177930 ) M1M2_PR ;
- io_oeb[28] ( PIN io_oeb[28] ) ( mprj io_oeb[28] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2247740 0 ) ( 16790 * )
NEW met2 ( 16790 2242810 ) ( * 2247740 )
NEW met2 ( 127650 1177590 ) ( * 2242810 )
NEW met1 ( 16790 2242810 ) ( 127650 * )
NEW met1 ( 127650 1177590 ) ( 710930 * )
NEW met2 ( 714150 1133220 ) ( 715990 * 0 )
NEW met2 ( 714150 1133220 ) ( * 1137470 )
NEW met1 ( 710930 1137470 ) ( 714150 * )
NEW met2 ( 710930 1137470 ) ( * 1177590 )
NEW met2 ( 16790 2247740 ) M2M3_PR_M
NEW met1 ( 16790 2242810 ) M1M2_PR
NEW met1 ( 127650 1177590 ) M1M2_PR
NEW met1 ( 127650 2242810 ) M1M2_PR
NEW met1 ( 710930 1177590 ) M1M2_PR
NEW met1 ( 714150 1137470 ) M1M2_PR
NEW met1 ( 710930 1137470 ) M1M2_PR ;
- io_oeb[29] ( PIN io_oeb[29] ) ( mprj io_oeb[29] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1987300 0 ) ( 16790 * )
NEW met2 ( 16790 1987300 ) ( * 1987470 )
NEW met2 ( 728410 1133220 ) ( 730710 * 0 )
NEW met2 ( 724730 1242000 ) ( 728410 * )
NEW met2 ( 728410 1133220 ) ( * 1242000 )
NEW met1 ( 16790 1987470 ) ( 724730 * )
NEW met2 ( 724730 1242000 ) ( * 1987470 )
NEW met2 ( 16790 1987300 ) M2M3_PR_M
NEW met1 ( 16790 1987470 ) M1M2_PR
NEW met1 ( 724730 1987470 ) M1M2_PR ;
- io_oeb[2] ( PIN io_oeb[2] ) ( mprj io_oeb[2] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 564060 ) ( * 565590 )
NEW met3 ( 2900990 564060 ) ( 2917780 * 0 )
NEW met2 ( 336490 1133220 0 ) ( * 1141210 )
NEW met1 ( 336490 1141210 ) ( 1335150 * )
NEW met2 ( 1335150 565590 ) ( * 1141210 )
NEW met1 ( 1335150 565590 ) ( 2900990 * )
NEW met1 ( 2900990 565590 ) M1M2_PR
NEW met2 ( 2900990 564060 ) M2M3_PR_M
NEW met1 ( 336490 1141210 ) M1M2_PR
NEW met1 ( 1335150 565590 ) M1M2_PR
NEW met1 ( 1335150 1141210 ) M1M2_PR ;
- io_oeb[30] ( PIN io_oeb[30] ) ( mprj io_oeb[30] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1726860 0 ) ( 16790 * )
NEW met2 ( 16790 1725330 ) ( * 1726860 )
NEW met1 ( 16790 1725330 ) ( 745890 * )
NEW met2 ( 745430 1133220 0 ) ( 745890 * )
NEW met2 ( 745890 1133220 ) ( * 1725330 )
NEW met2 ( 16790 1726860 ) M2M3_PR_M
NEW met1 ( 16790 1725330 ) M1M2_PR
NEW met1 ( 745890 1725330 ) M1M2_PR ;
- io_oeb[31] ( PIN io_oeb[31] ) ( mprj io_oeb[31] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1465740 0 ) ( 16790 * )
NEW met2 ( 16790 1462850 ) ( * 1465740 )
NEW met2 ( 759690 1133220 ) ( 760150 * 0 )
NEW met2 ( 759690 1133220 ) ( * 1462850 )
NEW met1 ( 16790 1462850 ) ( 759690 * )
NEW met2 ( 16790 1465740 ) M2M3_PR_M
NEW met1 ( 16790 1462850 ) M1M2_PR
NEW met1 ( 759690 1462850 ) M1M2_PR ;
- io_oeb[32] ( PIN io_oeb[32] ) ( mprj io_oeb[32] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1205300 0 ) ( 16330 * )
NEW met2 ( 16330 1200710 ) ( * 1205300 )
NEW met2 ( 773490 1133220 ) ( 774410 * 0 )
NEW met2 ( 773490 1133220 ) ( * 1200710 )
NEW met1 ( 16330 1200710 ) ( 773490 * )
NEW met2 ( 16330 1205300 ) M2M3_PR_M
NEW met1 ( 16330 1200710 ) M1M2_PR
NEW met1 ( 773490 1200710 ) M1M2_PR ;
- io_oeb[33] ( PIN io_oeb[33] ) ( mprj io_oeb[33] ) + USE SIGNAL
+ ROUTED met3 ( 2300 944180 0 ) ( 17250 * )
NEW met2 ( 17250 944180 ) ( * 1132710 )
NEW met2 ( 787750 1132540 ) ( * 1132710 )
NEW met2 ( 787750 1132540 ) ( 789130 * 0 )
NEW met1 ( 17250 1132710 ) ( 787750 * )
NEW met2 ( 17250 944180 ) M2M3_PR_M
NEW met1 ( 17250 1132710 ) M1M2_PR
NEW met1 ( 787750 1132710 ) M1M2_PR ;
- io_oeb[34] ( PIN io_oeb[34] ) ( mprj io_oeb[34] ) + USE SIGNAL
+ ROUTED met3 ( 2300 683740 0 ) ( 16330 * )
NEW met2 ( 16330 683740 ) ( * 689690 )
NEW met2 ( 182850 689690 ) ( * 1145970 )
NEW met2 ( 803850 1133220 0 ) ( * 1145970 )
NEW met1 ( 16330 689690 ) ( 182850 * )
NEW met1 ( 182850 1145970 ) ( 803850 * )
NEW met2 ( 16330 683740 ) M2M3_PR_M
NEW met1 ( 16330 689690 ) M1M2_PR
NEW met1 ( 182850 689690 ) M1M2_PR
NEW met1 ( 182850 1145970 ) M1M2_PR
NEW met1 ( 803850 1145970 ) M1M2_PR ;
- io_oeb[35] ( PIN io_oeb[35] ) ( mprj io_oeb[35] ) + USE SIGNAL
+ ROUTED met3 ( 2300 423300 0 ) ( 15870 * )
NEW met2 ( 15870 423300 ) ( * 427550 )
NEW met2 ( 196650 427550 ) ( * 1146310 )
NEW met1 ( 196650 1146310 ) ( 818570 * )
NEW met1 ( 15870 427550 ) ( 196650 * )
NEW met2 ( 818570 1133220 0 ) ( * 1146310 )
NEW met1 ( 196650 1146310 ) M1M2_PR
NEW met2 ( 15870 423300 ) M2M3_PR_M
NEW met1 ( 15870 427550 ) M1M2_PR
NEW met1 ( 196650 427550 ) M1M2_PR
NEW met1 ( 818570 1146310 ) M1M2_PR ;
- io_oeb[36] ( PIN io_oeb[36] ) ( mprj io_oeb[36] ) + USE SIGNAL
+ ROUTED met3 ( 2300 227460 0 ) ( 17250 * )
NEW met2 ( 17250 227460 ) ( * 227630 )
NEW met2 ( 217350 227630 ) ( * 1146650 )
NEW met1 ( 17250 227630 ) ( 217350 * )
NEW met1 ( 217350 1146650 ) ( 832830 * )
NEW met2 ( 832830 1133220 0 ) ( * 1146650 )
NEW met2 ( 17250 227460 ) M2M3_PR_M
NEW met1 ( 17250 227630 ) M1M2_PR
NEW met1 ( 217350 227630 ) M1M2_PR
NEW met1 ( 217350 1146650 ) M1M2_PR
NEW met1 ( 832830 1146650 ) M1M2_PR ;
- io_oeb[37] ( PIN io_oeb[37] ) ( mprj io_oeb[37] ) + USE SIGNAL
+ ROUTED met3 ( 2300 32300 0 ) ( 17250 * )
NEW met2 ( 17250 32300 ) ( * 34170 )
NEW met1 ( 17250 34170 ) ( 238050 * )
NEW met1 ( 238050 1146990 ) ( 847550 * )
NEW met2 ( 238050 34170 ) ( * 1146990 )
NEW met2 ( 847550 1133220 0 ) ( * 1146990 )
NEW met2 ( 17250 32300 ) M2M3_PR_M
NEW met1 ( 17250 34170 ) M1M2_PR
NEW met1 ( 238050 34170 ) M1M2_PR
NEW met1 ( 238050 1146990 ) M1M2_PR
NEW met1 ( 847550 1146990 ) M1M2_PR ;
- io_oeb[3] ( PIN io_oeb[3] ) ( mprj io_oeb[3] ) + USE SIGNAL
+ ROUTED met2 ( 2898230 763300 ) ( * 765850 )
NEW met3 ( 2898230 763300 ) ( 2917780 * 0 )
NEW met2 ( 1355850 765850 ) ( * 1140870 )
NEW met1 ( 1355850 765850 ) ( 2898230 * )
NEW met2 ( 350750 1133220 0 ) ( * 1140870 )
NEW met1 ( 350750 1140870 ) ( 1355850 * )
NEW met1 ( 1355850 765850 ) M1M2_PR
NEW met1 ( 2898230 765850 ) M1M2_PR
NEW met2 ( 2898230 763300 ) M2M3_PR_M
NEW met1 ( 1355850 1140870 ) M1M2_PR
NEW met1 ( 350750 1140870 ) M1M2_PR ;
- io_oeb[4] ( PIN io_oeb[4] ) ( mprj io_oeb[4] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 962540 ) ( * 965770 )
NEW met3 ( 2900990 962540 ) ( 2917780 * 0 )
NEW met2 ( 1369650 965770 ) ( * 1132370 )
NEW met1 ( 1369650 965770 ) ( 2900990 * )
NEW met2 ( 366390 1132370 ) ( * 1132540 )
NEW met2 ( 365470 1132540 0 ) ( 366390 * )
NEW met1 ( 366390 1132370 ) ( 1369650 * )
NEW met1 ( 1369650 965770 ) M1M2_PR
NEW met1 ( 2900990 965770 ) M1M2_PR
NEW met2 ( 2900990 962540 ) M2M3_PR_M
NEW met1 ( 1369650 1132370 ) M1M2_PR
NEW met1 ( 366390 1132370 ) M1M2_PR ;
- io_oeb[5] ( PIN io_oeb[5] ) ( mprj io_oeb[5] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1159230 ) ( * 1161780 )
NEW met3 ( 2900990 1161780 ) ( 2917780 * 0 )
NEW met2 ( 380190 1133220 0 ) ( * 1154810 )
NEW met2 ( 924370 1154810 ) ( * 1159230 )
NEW met1 ( 380190 1154810 ) ( 924370 * )
NEW met1 ( 924370 1159230 ) ( 2900990 * )
NEW met1 ( 380190 1154810 ) M1M2_PR
NEW met1 ( 2900990 1159230 ) M1M2_PR
NEW met2 ( 2900990 1161780 ) M2M3_PR_M
NEW met1 ( 924370 1154810 ) M1M2_PR
NEW met1 ( 924370 1159230 ) M1M2_PR ;
- io_oeb[6] ( PIN io_oeb[6] ) ( mprj io_oeb[6] ) + USE SIGNAL
+ ROUTED met1 ( 394910 1152090 ) ( 399970 * )
NEW met2 ( 2900990 1359490 ) ( * 1361020 )
NEW met3 ( 2900990 1361020 ) ( 2917780 * 0 )
NEW met2 ( 394910 1133220 0 ) ( * 1152090 )
NEW met2 ( 399970 1152090 ) ( * 1359490 )
NEW met1 ( 399970 1359490 ) ( 2900990 * )
NEW met1 ( 394910 1152090 ) M1M2_PR
NEW met1 ( 399970 1152090 ) M1M2_PR
NEW met1 ( 399970 1359490 ) M1M2_PR
NEW met1 ( 2900990 1359490 ) M1M2_PR
NEW met2 ( 2900990 1361020 ) M2M3_PR_M ;
- io_oeb[7] ( PIN io_oeb[7] ) ( mprj io_oeb[7] ) + USE SIGNAL
+ ROUTED met1 ( 409170 1152090 ) ( 413770 * )
NEW met2 ( 409170 1133220 0 ) ( * 1152090 )
NEW met2 ( 413770 1152090 ) ( * 1621630 )
NEW met2 ( 2900070 1621630 ) ( * 1626220 )
NEW met3 ( 2900070 1626220 ) ( 2917780 * 0 )
NEW met1 ( 413770 1621630 ) ( 2900070 * )
NEW met1 ( 409170 1152090 ) M1M2_PR
NEW met1 ( 413770 1152090 ) M1M2_PR
NEW met1 ( 413770 1621630 ) M1M2_PR
NEW met1 ( 2900070 1621630 ) M1M2_PR
NEW met2 ( 2900070 1626220 ) M2M3_PR_M ;
- io_oeb[8] ( PIN io_oeb[8] ) ( mprj io_oeb[8] ) + USE SIGNAL
+ ROUTED met2 ( 2898230 1890910 ) ( * 1892100 )
NEW met3 ( 2898230 1892100 ) ( 2917780 * 0 )
NEW met2 ( 423890 1133220 0 ) ( 426190 * )
NEW met2 ( 426190 1133220 ) ( * 1145400 )
NEW met2 ( 426190 1145400 ) ( 427570 * )
NEW met2 ( 427570 1145400 ) ( * 1890910 )
NEW met1 ( 427570 1890910 ) ( 2898230 * )
NEW met1 ( 2898230 1890910 ) M1M2_PR
NEW met2 ( 2898230 1892100 ) M2M3_PR_M
NEW met1 ( 427570 1890910 ) M1M2_PR ;
- io_oeb[9] ( PIN io_oeb[9] ) ( mprj io_oeb[9] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2153050 ) ( * 2157980 )
NEW met3 ( 2900990 2157980 ) ( 2917780 * 0 )
NEW met1 ( 440910 1176230 ) ( 1887150 * )
NEW met1 ( 1887150 2153050 ) ( 2900990 * )
NEW met2 ( 438610 1133220 0 ) ( 440910 * )
NEW met2 ( 440910 1133220 ) ( * 1176230 )
NEW met2 ( 1887150 1176230 ) ( * 2153050 )
NEW met1 ( 2900990 2153050 ) M1M2_PR
NEW met2 ( 2900990 2157980 ) M2M3_PR_M
NEW met1 ( 440910 1176230 ) M1M2_PR
NEW met1 ( 1887150 1176230 ) M1M2_PR
NEW met1 ( 1887150 2153050 ) M1M2_PR ;
- io_out[0] ( PIN io_out[0] ) ( mprj io_out[0] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 98940 ) ( * 103190 )
NEW met3 ( 2900990 98940 ) ( 2917780 * 0 )
NEW met2 ( 312110 1133220 0 ) ( * 1140530 )
NEW met1 ( 1390350 103190 ) ( 2900990 * )
NEW met1 ( 312110 1140530 ) ( 1390350 * )
NEW met2 ( 1390350 103190 ) ( * 1140530 )
NEW met1 ( 2900990 103190 ) M1M2_PR
NEW met2 ( 2900990 98940 ) M2M3_PR_M
NEW met1 ( 312110 1140530 ) M1M2_PR
NEW met1 ( 1390350 103190 ) M1M2_PR
NEW met1 ( 1390350 1140530 ) M1M2_PR ;
- io_out[10] ( PIN io_out[10] ) ( mprj io_out[10] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 2352970 ) ( * 2357220 )
NEW met3 ( 2900070 2357220 ) ( 2917780 * 0 )
NEW met1 ( 457930 1169770 ) ( 1894050 * )
NEW met2 ( 457930 1133220 0 ) ( * 1169770 )
NEW met2 ( 1894050 1169770 ) ( * 2352970 )
NEW met1 ( 1894050 2352970 ) ( 2900070 * )
NEW met1 ( 2900070 2352970 ) M1M2_PR
NEW met2 ( 2900070 2357220 ) M2M3_PR_M
NEW met1 ( 457930 1169770 ) M1M2_PR
NEW met1 ( 1894050 1169770 ) M1M2_PR
NEW met1 ( 1894050 2352970 ) M1M2_PR ;
- io_out[11] ( PIN io_out[11] ) ( mprj io_out[11] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2622250 ) ( * 2622420 )
NEW met3 ( 2900990 2622420 ) ( 2917780 * 0 )
NEW met2 ( 472650 1133220 0 ) ( 474950 * )
NEW met2 ( 474950 1133220 ) ( * 1176570 )
NEW met1 ( 474950 1176570 ) ( 1907850 * )
NEW met1 ( 1907850 2622250 ) ( 2900990 * )
NEW met2 ( 1907850 1176570 ) ( * 2622250 )
NEW met1 ( 474950 1176570 ) M1M2_PR
NEW met1 ( 2900990 2622250 ) M1M2_PR
NEW met2 ( 2900990 2622420 ) M2M3_PR_M
NEW met1 ( 1907850 1176570 ) M1M2_PR
NEW met1 ( 1907850 2622250 ) M1M2_PR ;
- io_out[12] ( PIN io_out[12] ) ( mprj io_out[12] ) + USE SIGNAL
+ ROUTED met2 ( 2900070 2884390 ) ( * 2888300 )
NEW met3 ( 2900070 2888300 ) ( 2917780 * 0 )
NEW met2 ( 487370 1133220 0 ) ( 489670 * )
NEW met2 ( 489670 1133220 ) ( * 1190170 )
NEW met2 ( 2142450 1190170 ) ( * 2884390 )
NEW met1 ( 489670 1190170 ) ( 2142450 * )
NEW met1 ( 2142450 2884390 ) ( 2900070 * )
NEW met1 ( 489670 1190170 ) M1M2_PR
NEW met1 ( 2142450 1190170 ) M1M2_PR
NEW met1 ( 2142450 2884390 ) M1M2_PR
NEW met1 ( 2900070 2884390 ) M1M2_PR
NEW met2 ( 2900070 2888300 ) M2M3_PR_M ;
- io_out[13] ( PIN io_out[13] ) ( mprj io_out[13] ) + USE SIGNAL
+ ROUTED met2 ( 502090 1133220 0 ) ( 503010 * )
NEW met2 ( 503010 1133220 ) ( * 1170450 )
NEW met2 ( 2900990 3153330 ) ( * 3154180 )
NEW met3 ( 2900990 3154180 ) ( 2917780 * 0 )
NEW met1 ( 503010 1170450 ) ( 1914750 * )
NEW met2 ( 1914750 1170450 ) ( * 3153330 )
NEW met1 ( 1914750 3153330 ) ( 2900990 * )
NEW met1 ( 503010 1170450 ) M1M2_PR
NEW met1 ( 2900990 3153330 ) M1M2_PR
NEW met2 ( 2900990 3154180 ) M2M3_PR_M
NEW met1 ( 1914750 1170450 ) M1M2_PR
NEW met1 ( 1914750 3153330 ) M1M2_PR ;
- io_out[14] ( PIN io_out[14] ) ( mprj io_out[14] ) + USE SIGNAL
+ ROUTED met2 ( 516350 1133220 0 ) ( 516810 * )
NEW met2 ( 516810 1133220 ) ( * 1162630 )
NEW met3 ( 2901910 3419380 ) ( 2917780 * 0 )
NEW met2 ( 2901910 1162630 ) ( * 3419380 )
NEW met1 ( 516810 1162630 ) ( 2901910 * )
NEW met1 ( 516810 1162630 ) M1M2_PR
NEW met1 ( 2901910 1162630 ) M1M2_PR
NEW met2 ( 2901910 3419380 ) M2M3_PR_M ;
- io_out[15] ( PIN io_out[15] ) ( mprj io_out[15] ) + USE SIGNAL
+ ROUTED met2 ( 2711930 1162970 ) ( * 3512100 )
NEW met2 ( 2711930 3512100 ) ( 2717450 * )
NEW met2 ( 2717450 3512100 ) ( * 3517980 0 )
NEW met1 ( 530610 1162970 ) ( 2711930 * )
NEW met2 ( 530610 1133220 ) ( 531070 * 0 )
NEW met2 ( 530610 1133220 ) ( * 1162970 )
NEW met1 ( 2711930 1162970 ) M1M2_PR
NEW met1 ( 530610 1162970 ) M1M2_PR ;
- io_out[16] ( PIN io_out[16] ) ( mprj io_out[16] ) + USE SIGNAL
+ ROUTED met1 ( 545790 1163310 ) ( 2387630 * )
NEW met2 ( 545790 1133220 0 ) ( * 1163310 )
NEW met2 ( 2387630 1163310 ) ( * 3512100 )
NEW met2 ( 2387630 3512100 ) ( 2392690 * )
NEW met2 ( 2392690 3512100 ) ( * 3517980 0 )
NEW met1 ( 545790 1163310 ) M1M2_PR
NEW met1 ( 2387630 1163310 ) M1M2_PR ;
- io_out[17] ( PIN io_out[17] ) ( mprj io_out[17] ) + USE SIGNAL
+ ROUTED met1 ( 560510 1163650 ) ( 2063330 * )
NEW met2 ( 560510 1133220 0 ) ( * 1163650 )
NEW met2 ( 2063330 3517980 ) ( 2067470 * )
NEW met2 ( 2067470 3517300 ) ( * 3517980 )
NEW met2 ( 2067470 3517300 ) ( 2068390 * )
NEW met2 ( 2068390 3517300 ) ( * 3517980 0 )
NEW met2 ( 2063330 1163650 ) ( * 3517980 )
NEW met1 ( 560510 1163650 ) M1M2_PR
NEW met1 ( 2063330 1163650 ) M1M2_PR ;
- io_out[18] ( PIN io_out[18] ) ( mprj io_out[18] ) + USE SIGNAL
+ ROUTED met1 ( 574770 1152090 ) ( 579370 * )
NEW met2 ( 574770 1133220 0 ) ( * 1152090 )
NEW met2 ( 579370 1152090 ) ( * 2095250 )
NEW met2 ( 1079850 2095250 ) ( * 3502170 )
NEW met2 ( 1744090 3502170 ) ( * 3517980 0 )
NEW met1 ( 1079850 3502170 ) ( 1744090 * )
NEW met1 ( 579370 2095250 ) ( 1079850 * )
NEW met1 ( 574770 1152090 ) M1M2_PR
NEW met1 ( 579370 1152090 ) M1M2_PR
NEW met1 ( 1079850 3502170 ) M1M2_PR
NEW met1 ( 1744090 3502170 ) M1M2_PR
NEW met1 ( 579370 2095250 ) M1M2_PR
NEW met1 ( 1079850 2095250 ) M1M2_PR ;
- io_out[19] ( PIN io_out[19] ) ( mprj io_out[19] ) + USE SIGNAL
+ ROUTED met2 ( 589490 1133220 0 ) ( * 1154130 )
NEW met1 ( 589490 1154130 ) ( 1045350 * )
NEW met1 ( 1045350 3503190 ) ( 1419330 * )
NEW met2 ( 1045350 1154130 ) ( * 3503190 )
NEW met2 ( 1419330 3503190 ) ( * 3517980 0 )
NEW met1 ( 589490 1154130 ) M1M2_PR
NEW met1 ( 1045350 1154130 ) M1M2_PR
NEW met1 ( 1045350 3503190 ) M1M2_PR
NEW met1 ( 1419330 3503190 ) M1M2_PR ;
- io_out[1] ( PIN io_out[1] ) ( mprj io_out[1] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 298180 ) ( * 303450 )
NEW met3 ( 2900990 298180 ) ( 2917780 * 0 )
NEW met1 ( 1431750 303450 ) ( 2900990 * )
NEW met2 ( 328210 1131860 ) ( * 1132030 )
NEW met2 ( 326370 1131860 0 ) ( 328210 * )
NEW met1 ( 328210 1132030 ) ( 1431750 * )
NEW met2 ( 1431750 303450 ) ( * 1132030 )
NEW met1 ( 2900990 303450 ) M1M2_PR
NEW met2 ( 2900990 298180 ) M2M3_PR_M
NEW met1 ( 1431750 303450 ) M1M2_PR
NEW met1 ( 328210 1132030 ) M1M2_PR
NEW met1 ( 1431750 1132030 ) M1M2_PR ;
- io_out[20] ( PIN io_out[20] ) ( mprj io_out[20] ) + USE SIGNAL
+ ROUTED met2 ( 604210 1133220 0 ) ( 606510 * )
NEW met2 ( 606510 1133220 ) ( * 1161950 )
NEW met2 ( 1090430 3517980 ) ( 1094110 * )
NEW met2 ( 1094110 3517300 ) ( * 3517980 )
NEW met2 ( 1094110 3517300 ) ( 1095030 * )
NEW met2 ( 1095030 3517300 ) ( * 3517980 0 )
NEW met1 ( 606510 1161950 ) ( 1090430 * )
NEW met2 ( 1090430 1161950 ) ( * 3517980 )
NEW met1 ( 606510 1161950 ) M1M2_PR
NEW met1 ( 1090430 1161950 ) M1M2_PR ;
- io_out[21] ( PIN io_out[21] ) ( mprj io_out[21] ) + USE SIGNAL
+ ROUTED met2 ( 690690 1151070 ) ( * 1173170 )
NEW met1 ( 690690 1173170 ) ( 700350 * )
NEW met1 ( 700350 1250690 ) ( 705870 * )
NEW met2 ( 705870 1250690 ) ( * 1265990 )
NEW met1 ( 776250 1362890 ) ( 779930 * )
NEW met1 ( 955650 3001350 ) ( 983710 * )
NEW met2 ( 700350 1173170 ) ( * 1250690 )
NEW met2 ( 767970 1297270 ) ( * 1324810 )
NEW met1 ( 767970 1324810 ) ( 776250 * )
NEW met2 ( 776250 1324810 ) ( * 1362890 )
NEW met1 ( 779930 1398250 ) ( 797410 * )
NEW met2 ( 779930 1362890 ) ( * 1398250 )
NEW met2 ( 797410 1398250 ) ( * 1552270 )
NEW met1 ( 766130 3429070 ) ( 796950 * )
NEW met2 ( 796950 3322310 ) ( * 3429070 )
NEW met2 ( 766130 3429070 ) ( * 3512100 )
NEW met2 ( 766130 3512100 ) ( 770730 * )
NEW met2 ( 770730 3512100 ) ( * 3517980 0 )
NEW met1 ( 866410 1978290 ) ( 878370 * )
NEW met2 ( 878370 1978290 ) ( * 1987810 )
NEW met1 ( 878370 1987810 ) ( 889870 * )
NEW met2 ( 866410 1863030 ) ( * 1978290 )
NEW met2 ( 889870 1987810 ) ( * 2015010 )
NEW met2 ( 865950 3266890 ) ( * 3298170 )
NEW met2 ( 958870 2063290 ) ( * 2074850 )
NEW met1 ( 958870 2074850 ) ( 983710 * )
NEW met2 ( 983710 2074850 ) ( * 3001350 )
NEW met2 ( 955650 3001350 ) ( * 3097910 )
NEW met1 ( 618930 1151070 ) ( 690690 * )
NEW met1 ( 705870 1265990 ) ( 746350 * )
NEW met1 ( 797410 1552270 ) ( 807300 * )
NEW met1 ( 807300 1552270 ) ( * 1552610 )
NEW met1 ( 807300 1552610 ) ( 813050 * )
NEW met2 ( 813050 1552610 ) ( * 1559750 )
NEW met1 ( 813050 1559750 ) ( 818110 * )
NEW met1 ( 818110 1669910 ) ( 825470 * )
NEW met1 ( 855600 1863030 ) ( 866410 * )
NEW met1 ( 845250 1849430 ) ( 848930 * )
NEW met2 ( 848930 1849430 ) ( * 1862690 )
NEW met1 ( 848930 1862690 ) ( 855600 * )
NEW met1 ( 855600 1862690 ) ( * 1863030 )
NEW met1 ( 848930 3298170 ) ( 865950 * )
NEW met2 ( 910570 2015010 ) ( * 2042210 )
NEW met1 ( 910570 2042210 ) ( 911030 * )
NEW met1 ( 911030 2042210 ) ( * 2042550 )
NEW met1 ( 911030 2042550 ) ( 917930 * )
NEW met2 ( 917930 2042550 ) ( * 2062950 )
NEW met1 ( 917930 2062950 ) ( 924830 * )
NEW met1 ( 889870 2015010 ) ( 910570 * )
NEW met1 ( 941850 3097910 ) ( 955650 * )
NEW met2 ( 618930 1133220 0 ) ( * 1151070 )
NEW met2 ( 746350 1265990 ) ( * 1297270 )
NEW met1 ( 746350 1297270 ) ( 767970 * )
NEW met2 ( 818110 1559750 ) ( * 1669910 )
NEW met1 ( 825470 1682830 ) ( 832370 * )
NEW met2 ( 832370 1682830 ) ( * 1718190 )
NEW met1 ( 832370 1718190 ) ( 838350 * )
NEW met2 ( 825470 1669910 ) ( * 1682830 )
NEW met1 ( 838350 1814750 ) ( 845250 * )
NEW met2 ( 838350 1718190 ) ( * 1814750 )
NEW met2 ( 845250 1814750 ) ( * 1849430 )
NEW met1 ( 796950 3322310 ) ( 848930 * )
NEW met2 ( 848930 3298170 ) ( * 3322310 )
NEW met1 ( 924830 2062950 ) ( * 2063290 )
NEW met1 ( 924830 2063290 ) ( 958870 * )
NEW met1 ( 865950 3266890 ) ( 941850 * )
NEW met2 ( 941850 3097910 ) ( * 3266890 )
NEW met1 ( 690690 1151070 ) M1M2_PR
NEW met1 ( 690690 1173170 ) M1M2_PR
NEW met1 ( 700350 1173170 ) M1M2_PR
NEW met1 ( 700350 1250690 ) M1M2_PR
NEW met1 ( 705870 1250690 ) M1M2_PR
NEW met1 ( 705870 1265990 ) M1M2_PR
NEW met1 ( 776250 1362890 ) M1M2_PR
NEW met1 ( 779930 1362890 ) M1M2_PR
NEW met1 ( 797410 1552270 ) M1M2_PR
NEW met1 ( 866410 1863030 ) M1M2_PR
NEW met1 ( 889870 2015010 ) M1M2_PR
NEW met1 ( 865950 3298170 ) M1M2_PR
NEW met1 ( 955650 3001350 ) M1M2_PR
NEW met1 ( 983710 3001350 ) M1M2_PR
NEW met1 ( 955650 3097910 ) M1M2_PR
NEW met1 ( 767970 1297270 ) M1M2_PR
NEW met1 ( 767970 1324810 ) M1M2_PR
NEW met1 ( 776250 1324810 ) M1M2_PR
NEW met1 ( 779930 1398250 ) M1M2_PR
NEW met1 ( 797410 1398250 ) M1M2_PR
NEW met1 ( 796950 3322310 ) M1M2_PR
NEW met1 ( 766130 3429070 ) M1M2_PR
NEW met1 ( 796950 3429070 ) M1M2_PR
NEW met1 ( 866410 1978290 ) M1M2_PR
NEW met1 ( 878370 1978290 ) M1M2_PR
NEW met1 ( 878370 1987810 ) M1M2_PR
NEW met1 ( 889870 1987810 ) M1M2_PR
NEW met1 ( 865950 3266890 ) M1M2_PR
NEW met1 ( 958870 2063290 ) M1M2_PR
NEW met1 ( 958870 2074850 ) M1M2_PR
NEW met1 ( 983710 2074850 ) M1M2_PR
NEW met1 ( 618930 1151070 ) M1M2_PR
NEW met1 ( 746350 1265990 ) M1M2_PR
NEW met1 ( 813050 1552610 ) M1M2_PR
NEW met1 ( 813050 1559750 ) M1M2_PR
NEW met1 ( 818110 1559750 ) M1M2_PR
NEW met1 ( 818110 1669910 ) M1M2_PR
NEW met1 ( 825470 1669910 ) M1M2_PR
NEW met1 ( 845250 1849430 ) M1M2_PR
NEW met1 ( 848930 1849430 ) M1M2_PR
NEW met1 ( 848930 1862690 ) M1M2_PR
NEW met1 ( 848930 3298170 ) M1M2_PR
NEW met1 ( 910570 2015010 ) M1M2_PR
NEW met1 ( 910570 2042210 ) M1M2_PR
NEW met1 ( 917930 2042550 ) M1M2_PR
NEW met1 ( 917930 2062950 ) M1M2_PR
NEW met1 ( 941850 3097910 ) M1M2_PR
NEW met1 ( 746350 1297270 ) M1M2_PR
NEW met1 ( 825470 1682830 ) M1M2_PR
NEW met1 ( 832370 1682830 ) M1M2_PR
NEW met1 ( 832370 1718190 ) M1M2_PR
NEW met1 ( 838350 1718190 ) M1M2_PR
NEW met1 ( 838350 1814750 ) M1M2_PR
NEW met1 ( 845250 1814750 ) M1M2_PR
NEW met1 ( 848930 3322310 ) M1M2_PR
NEW met1 ( 941850 3266890 ) M1M2_PR ;
- io_out[22] ( PIN io_out[22] ) ( mprj io_out[22] ) + USE SIGNAL
+ ROUTED met2 ( 291870 1150730 ) ( * 2529090 )
NEW met1 ( 291870 2529090 ) ( 441830 * )
NEW met1 ( 441830 3498430 ) ( 445970 * )
NEW met1 ( 291870 1150730 ) ( 633190 * )
NEW met2 ( 441830 2529090 ) ( * 3498430 )
NEW met2 ( 445970 3498430 ) ( * 3517980 0 )
NEW met2 ( 633190 1133220 0 ) ( * 1150730 )
NEW met1 ( 291870 1150730 ) M1M2_PR
NEW met1 ( 291870 2529090 ) M1M2_PR
NEW met1 ( 441830 2529090 ) M1M2_PR
NEW met1 ( 441830 3498430 ) M1M2_PR
NEW met1 ( 445970 3498430 ) M1M2_PR
NEW met1 ( 633190 1150730 ) M1M2_PR ;
- io_out[23] ( PIN io_out[23] ) ( mprj io_out[23] ) + USE SIGNAL
+ ROUTED met1 ( 121670 3498430 ) ( 123970 * )
NEW met2 ( 123970 1165690 ) ( * 3498430 )
NEW met2 ( 121670 3498430 ) ( * 3517980 0 )
NEW met1 ( 123970 1165690 ) ( 645610 * )
NEW met2 ( 645610 1133220 ) ( 647910 * 0 )
NEW met2 ( 645610 1133220 ) ( * 1165690 )
NEW met1 ( 123970 1165690 ) M1M2_PR
NEW met1 ( 121670 3498430 ) M1M2_PR
NEW met1 ( 123970 3498430 ) M1M2_PR
NEW met1 ( 645610 1165690 ) M1M2_PR ;
- io_out[24] ( PIN io_out[24] ) ( mprj io_out[24] ) + USE SIGNAL
+ ROUTED met3 ( 2300 3356140 0 ) ( 17250 * )
NEW met2 ( 17250 1165350 ) ( * 3356140 )
NEW met2 ( 662630 1133220 0 ) ( 663090 * )
NEW met2 ( 663090 1133220 ) ( * 1165350 )
NEW met1 ( 17250 1165350 ) ( 663090 * )
NEW met1 ( 17250 1165350 ) M1M2_PR
NEW met1 ( 663090 1165350 ) M1M2_PR
NEW met2 ( 17250 3356140 ) M2M3_PR_M ;
- io_out[25] ( PIN io_out[25] ) ( mprj io_out[25] ) + USE SIGNAL
+ ROUTED met3 ( 2300 3095700 0 ) ( 18170 * )
NEW met2 ( 18170 1165010 ) ( * 3095700 )
NEW met2 ( 676430 1133220 ) ( 677350 * 0 )
NEW met2 ( 676430 1133220 ) ( * 1165010 )
NEW met1 ( 18170 1165010 ) ( 676430 * )
NEW met1 ( 18170 1165010 ) M1M2_PR
NEW met2 ( 18170 3095700 ) M2M3_PR_M
NEW met1 ( 676430 1165010 ) M1M2_PR ;
- io_out[26] ( PIN io_out[26] ) ( mprj io_out[26] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2834580 0 ) ( 18630 * )
NEW met2 ( 18630 1164670 ) ( * 2834580 )
NEW met2 ( 690230 1133220 ) ( 691610 * 0 )
NEW met2 ( 690230 1133220 ) ( * 1164670 )
NEW met1 ( 18630 1164670 ) ( 690230 * )
NEW met1 ( 18630 1164670 ) M1M2_PR
NEW met2 ( 18630 2834580 ) M2M3_PR_M
NEW met1 ( 690230 1164670 ) M1M2_PR ;
- io_out[27] ( PIN io_out[27] ) ( mprj io_out[27] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2574140 0 ) ( 19090 * )
NEW met2 ( 19090 1164330 ) ( * 2574140 )
NEW met2 ( 704490 1133220 ) ( 706330 * 0 )
NEW met2 ( 704490 1133220 ) ( * 1164330 )
NEW met1 ( 19090 1164330 ) ( 704490 * )
NEW met1 ( 19090 1164330 ) M1M2_PR
NEW met1 ( 704490 1164330 ) M1M2_PR
NEW met2 ( 19090 2574140 ) M2M3_PR_M ;
- io_out[28] ( PIN io_out[28] ) ( mprj io_out[28] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2313020 0 ) ( 19550 * )
NEW met2 ( 19550 1163990 ) ( * 2313020 )
NEW met1 ( 19550 1163990 ) ( 719210 * )
NEW met2 ( 719210 1133220 ) ( 721050 * 0 )
NEW met2 ( 719210 1133220 ) ( * 1163990 )
NEW met1 ( 19550 1163990 ) M1M2_PR
NEW met2 ( 19550 2313020 ) M2M3_PR_M
NEW met1 ( 719210 1163990 ) M1M2_PR ;
- io_out[29] ( PIN io_out[29] ) ( mprj io_out[29] ) + USE SIGNAL
+ ROUTED met3 ( 2300 2052580 0 ) ( 16790 * )
NEW met2 ( 16790 2049350 ) ( * 2052580 )
NEW met1 ( 16790 2049350 ) ( 731630 * )
NEW met2 ( 733930 1133220 ) ( 735770 * 0 )
NEW met2 ( 731630 1242000 ) ( 733930 * )
NEW met2 ( 733930 1133220 ) ( * 1242000 )
NEW met2 ( 731630 1242000 ) ( * 2049350 )
NEW met2 ( 16790 2052580 ) M2M3_PR_M
NEW met1 ( 16790 2049350 ) M1M2_PR
NEW met1 ( 731630 2049350 ) M1M2_PR ;
- io_out[2] ( PIN io_out[2] ) ( mprj io_out[2] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 497420 ) ( * 503370 )
NEW met3 ( 2900990 497420 ) ( 2917780 * 0 )
NEW met2 ( 1445550 503370 ) ( * 1140190 )
NEW met1 ( 1445550 503370 ) ( 2900990 * )
NEW met2 ( 341090 1133220 0 ) ( * 1140190 )
NEW met1 ( 341090 1140190 ) ( 1445550 * )
NEW met1 ( 1445550 503370 ) M1M2_PR
NEW met1 ( 2900990 503370 ) M1M2_PR
NEW met2 ( 2900990 497420 ) M2M3_PR_M
NEW met1 ( 1445550 1140190 ) M1M2_PR
NEW met1 ( 341090 1140190 ) M1M2_PR ;
- io_out[30] ( PIN io_out[30] ) ( mprj io_out[30] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1792140 0 ) ( 16790 * )
NEW met2 ( 16790 1787210 ) ( * 1792140 )
NEW met2 ( 748190 1133220 ) ( 750030 * 0 )
NEW met2 ( 748190 1133220 ) ( * 1137470 )
NEW met1 ( 745430 1137470 ) ( 748190 * )
NEW met1 ( 16790 1787210 ) ( 745430 * )
NEW met2 ( 745430 1137470 ) ( * 1787210 )
NEW met2 ( 16790 1792140 ) M2M3_PR_M
NEW met1 ( 16790 1787210 ) M1M2_PR
NEW met1 ( 748190 1137470 ) M1M2_PR
NEW met1 ( 745430 1137470 ) M1M2_PR
NEW met1 ( 745430 1787210 ) M1M2_PR ;
- io_out[31] ( PIN io_out[31] ) ( mprj io_out[31] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1531020 0 ) ( 14490 * )
NEW met2 ( 14490 1525070 ) ( * 1531020 )
NEW met2 ( 762910 1133220 ) ( 764750 * 0 )
NEW met2 ( 762910 1133220 ) ( * 1137470 )
NEW met1 ( 759230 1137470 ) ( 762910 * )
NEW met2 ( 759230 1137470 ) ( * 1525070 )
NEW met1 ( 14490 1525070 ) ( 759230 * )
NEW met2 ( 14490 1531020 ) M2M3_PR_M
NEW met1 ( 14490 1525070 ) M1M2_PR
NEW met1 ( 762910 1137470 ) M1M2_PR
NEW met1 ( 759230 1137470 ) M1M2_PR
NEW met1 ( 759230 1525070 ) M1M2_PR ;
- io_out[32] ( PIN io_out[32] ) ( mprj io_out[32] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1270580 0 ) ( 14490 * )
NEW met2 ( 14490 1269730 ) ( * 1270580 )
NEW met1 ( 773030 1169090 ) ( 777630 * )
NEW met2 ( 777630 1133220 ) ( 779470 * 0 )
NEW met2 ( 777630 1133220 ) ( * 1169090 )
NEW met2 ( 773030 1169090 ) ( * 1269730 )
NEW met1 ( 14490 1269730 ) ( 773030 * )
NEW met2 ( 14490 1270580 ) M2M3_PR_M
NEW met1 ( 14490 1269730 ) M1M2_PR
NEW met1 ( 773030 1169090 ) M1M2_PR
NEW met1 ( 777630 1169090 ) M1M2_PR
NEW met1 ( 773030 1269730 ) M1M2_PR ;
- io_out[33] ( PIN io_out[33] ) ( mprj io_out[33] ) + USE SIGNAL
+ ROUTED met3 ( 2300 1009460 0 ) ( 15410 * )
NEW met2 ( 15410 1009460 ) ( * 1014050 )
NEW met2 ( 794190 1133220 0 ) ( * 1148350 )
NEW met1 ( 251850 1148350 ) ( 794190 * )
NEW met1 ( 15410 1014050 ) ( 251850 * )
NEW met2 ( 251850 1014050 ) ( * 1148350 )
NEW met1 ( 794190 1148350 ) M1M2_PR
NEW met2 ( 15410 1009460 ) M2M3_PR_M
NEW met1 ( 15410 1014050 ) M1M2_PR
NEW met1 ( 251850 1148350 ) M1M2_PR
NEW met1 ( 251850 1014050 ) M1M2_PR ;
- io_out[34] ( PIN io_out[34] ) ( mprj io_out[34] ) + USE SIGNAL
+ ROUTED met3 ( 2300 749020 0 ) ( 17250 * )
NEW met2 ( 17250 749020 ) ( * 751910 )
NEW met1 ( 258750 1148010 ) ( 808450 * )
NEW met1 ( 17250 751910 ) ( 258750 * )
NEW met2 ( 258750 751910 ) ( * 1148010 )
NEW met2 ( 808450 1133220 0 ) ( * 1148010 )
NEW met2 ( 17250 749020 ) M2M3_PR_M
NEW met1 ( 17250 751910 ) M1M2_PR
NEW met1 ( 258750 1148010 ) M1M2_PR
NEW met1 ( 808450 1148010 ) M1M2_PR
NEW met1 ( 258750 751910 ) M1M2_PR ;
- io_out[35] ( PIN io_out[35] ) ( mprj io_out[35] ) + USE SIGNAL
+ ROUTED met3 ( 2300 487900 0 ) ( 17250 * )
NEW met2 ( 17250 487900 ) ( * 489770 )
NEW met1 ( 17250 489770 ) ( 265190 * )
NEW met1 ( 265190 1147670 ) ( 823170 * )
NEW met2 ( 265190 489770 ) ( * 1147670 )
NEW met2 ( 823170 1133220 0 ) ( * 1147670 )
NEW met2 ( 17250 487900 ) M2M3_PR_M
NEW met1 ( 17250 489770 ) M1M2_PR
NEW met1 ( 265190 489770 ) M1M2_PR
NEW met1 ( 265190 1147670 ) M1M2_PR
NEW met1 ( 823170 1147670 ) M1M2_PR ;
- io_out[36] ( PIN io_out[36] ) ( mprj io_out[36] ) + USE SIGNAL
+ ROUTED met3 ( 2300 292740 0 ) ( 17250 * )
NEW met2 ( 17250 292740 ) ( * 296310 )
NEW met1 ( 17250 296310 ) ( 272550 * )
NEW met1 ( 272550 1147330 ) ( 837890 * )
NEW met2 ( 272550 296310 ) ( * 1147330 )
NEW met2 ( 837890 1133220 0 ) ( * 1147330 )
NEW met2 ( 17250 292740 ) M2M3_PR_M
NEW met1 ( 17250 296310 ) M1M2_PR
NEW met1 ( 272550 296310 ) M1M2_PR
NEW met1 ( 272550 1147330 ) M1M2_PR
NEW met1 ( 837890 1147330 ) M1M2_PR ;
- io_out[37] ( PIN io_out[37] ) ( mprj io_out[37] ) + USE SIGNAL
+ ROUTED met3 ( 2300 96900 0 ) ( 14030 * )
NEW met2 ( 14030 96900 ) ( * 101830 )
NEW met1 ( 14030 101830 ) ( 24150 * )
NEW met2 ( 24150 101830 ) ( * 1151410 )
NEW met1 ( 24150 1151410 ) ( 852610 * )
NEW met2 ( 852610 1133220 0 ) ( * 1151410 )
NEW met2 ( 14030 96900 ) M2M3_PR_M
NEW met1 ( 14030 101830 ) M1M2_PR
NEW met1 ( 24150 101830 ) M1M2_PR
NEW met1 ( 24150 1151410 ) M1M2_PR
NEW met1 ( 852610 1151410 ) M1M2_PR ;
- io_out[3] ( PIN io_out[3] ) ( mprj io_out[3] ) + USE SIGNAL
+ ROUTED met3 ( 2901910 696660 ) ( 2917780 * 0 )
NEW met2 ( 800630 1142230 ) ( * 1150050 )
NEW met2 ( 2901910 696660 ) ( * 1142230 )
NEW met1 ( 355810 1150050 ) ( 800630 * )
NEW met2 ( 355810 1133220 0 ) ( * 1150050 )
NEW met1 ( 800630 1142230 ) ( 2901910 * )
NEW met1 ( 800630 1150050 ) M1M2_PR
NEW met2 ( 2901910 696660 ) M2M3_PR_M
NEW met1 ( 800630 1142230 ) M1M2_PR
NEW met1 ( 2901910 1142230 ) M1M2_PR
NEW met1 ( 355810 1150050 ) M1M2_PR ;
- io_out[4] ( PIN io_out[4] ) ( mprj io_out[4] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 895900 ) ( * 896750 )
NEW met3 ( 2900990 895900 ) ( 2917780 * 0 )
NEW met2 ( 1480050 896750 ) ( * 1131690 )
NEW met1 ( 1480050 896750 ) ( 2900990 * )
NEW met2 ( 371450 1131690 ) ( * 1131860 )
NEW met2 ( 370530 1131860 0 ) ( 371450 * )
NEW met1 ( 371450 1131690 ) ( 1480050 * )
NEW met1 ( 1480050 896750 ) M1M2_PR
NEW met1 ( 2900990 896750 ) M1M2_PR
NEW met2 ( 2900990 895900 ) M2M3_PR_M
NEW met1 ( 1480050 1131690 ) M1M2_PR
NEW met1 ( 371450 1131690 ) M1M2_PR ;
- io_out[5] ( PIN io_out[5] ) ( mprj io_out[5] ) + USE SIGNAL
+ ROUTED met3 ( 2902370 1095140 ) ( 2917780 * 0 )
NEW met2 ( 384790 1133220 0 ) ( * 1149710 )
NEW met2 ( 2902370 1095140 ) ( * 1142570 )
NEW met1 ( 384790 1149710 ) ( 848930 * )
NEW met2 ( 848930 1142570 ) ( * 1149710 )
NEW met1 ( 848930 1142570 ) ( 2902370 * )
NEW met1 ( 384790 1149710 ) M1M2_PR
NEW met2 ( 2902370 1095140 ) M2M3_PR_M
NEW met1 ( 2902370 1142570 ) M1M2_PR
NEW met1 ( 848930 1149710 ) M1M2_PR
NEW met1 ( 848930 1142570 ) M1M2_PR ;
- io_out[6] ( PIN io_out[6] ) ( mprj io_out[6] ) + USE SIGNAL
+ ROUTED met2 ( 399510 1133220 0 ) ( * 1290470 )
NEW met2 ( 2898230 1290470 ) ( * 1294380 )
NEW met3 ( 2898230 1294380 ) ( 2917780 * 0 )
NEW met1 ( 399510 1290470 ) ( 2898230 * )
NEW met1 ( 399510 1290470 ) M1M2_PR
NEW met1 ( 2898230 1290470 ) M1M2_PR
NEW met2 ( 2898230 1294380 ) M2M3_PR_M ;
- io_out[7] ( PIN io_out[7] ) ( mprj io_out[7] ) + USE SIGNAL
+ ROUTED met1 ( 414230 1152090 ) ( 420210 * )
NEW met2 ( 2900990 1559410 ) ( * 1560260 )
NEW met3 ( 2900990 1560260 ) ( 2917780 * 0 )
NEW met2 ( 414230 1133220 0 ) ( * 1152090 )
NEW met2 ( 420210 1152090 ) ( * 1559410 )
NEW met1 ( 420210 1559410 ) ( 2900990 * )
NEW met1 ( 414230 1152090 ) M1M2_PR
NEW met1 ( 420210 1152090 ) M1M2_PR
NEW met1 ( 420210 1559410 ) M1M2_PR
NEW met1 ( 2900990 1559410 ) M1M2_PR
NEW met2 ( 2900990 1560260 ) M2M3_PR_M ;
- io_out[8] ( PIN io_out[8] ) ( mprj io_out[8] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 1821890 ) ( * 1825460 )
NEW met3 ( 2900990 1825460 ) ( 2917780 * 0 )
NEW met1 ( 428950 1152090 ) ( 434010 * )
NEW met1 ( 434010 1821890 ) ( 2900990 * )
NEW met2 ( 428950 1133220 0 ) ( * 1152090 )
NEW met2 ( 434010 1152090 ) ( * 1821890 )
NEW met1 ( 2900990 1821890 ) M1M2_PR
NEW met2 ( 2900990 1825460 ) M2M3_PR_M
NEW met1 ( 428950 1152090 ) M1M2_PR
NEW met1 ( 434010 1152090 ) M1M2_PR
NEW met1 ( 434010 1821890 ) M1M2_PR ;
- io_out[9] ( PIN io_out[9] ) ( mprj io_out[9] ) + USE SIGNAL
+ ROUTED met2 ( 2900990 2090830 ) ( * 2091340 )
NEW met3 ( 2900990 2091340 ) ( 2917780 * 0 )
NEW met1 ( 443210 1152090 ) ( 447350 * )
NEW met2 ( 443210 1133220 0 ) ( * 1152090 )
NEW met2 ( 447350 1152090 ) ( * 2090830 )
NEW met1 ( 447350 2090830 ) ( 2900990 * )
NEW met1 ( 2900990 2090830 ) M1M2_PR
NEW met2 ( 2900990 2091340 ) M2M3_PR_M
NEW met1 ( 443210 1152090 ) M1M2_PR
NEW met1 ( 447350 1152090 ) M1M2_PR
NEW met1 ( 447350 2090830 ) M1M2_PR ;
- la_data_in[0] ( PIN la_data_in[0] ) + USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) + USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) + USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) + USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) + USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) + USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) + USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) + USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) + USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) + USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) + USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) + USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) + USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) + USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) + USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) + USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) + USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) + USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) + USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) + USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) + USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) + USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) + USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) + USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) + USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) + USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) + USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) + USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) + USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) + USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) + USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) + USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) + USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) + USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) + USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) + USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) + USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) + USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) + USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) + USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) + USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) + USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) + USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) + USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) + USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) + USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) + USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) + USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) + USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) + USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) + USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) + USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) + USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) + USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) + USE SIGNAL ;
- o_addr1\[0\] ( sram addr1[0] ) ( mprj o_addr1[0] ) + USE SIGNAL
+ ROUTED met4 ( 898590 2516010 0 ) ( * 2517700 )
NEW met4 ( 898380 2517700 ) ( 898590 * )
NEW met4 ( 898380 2517700 ) ( * 2521100 )
NEW met2 ( 833750 285260 ) ( * 300220 0 )
NEW met3 ( 833750 285260 ) ( 1035460 * )
NEW met3 ( 898380 2521100 ) ( 1035460 * )
NEW met4 ( 1035460 285260 ) ( * 2521100 )
NEW met3 ( 898380 2521100 ) M3M4_PR
NEW met2 ( 833750 285260 ) M2M3_PR_M
NEW met3 ( 1035460 285260 ) M3M4_PR
NEW met3 ( 1035460 2521100 ) M3M4_PR ;
- o_addr1\[1\] ( sram addr1[1] ) ( mprj o_addr1[1] ) + USE SIGNAL
+ ROUTED met2 ( 857210 283220 ) ( * 300220 0 )
NEW met4 ( 892470 2516010 0 ) ( * 2517700 )
NEW met4 ( 892470 2517700 ) ( 892860 * )
NEW met4 ( 892860 2517700 ) ( * 2521780 )
NEW met3 ( 857210 283220 ) ( 1007860 * )
NEW met3 ( 892860 2521780 ) ( 1007860 * )
NEW met4 ( 1007860 283220 ) ( * 2521780 )
NEW met2 ( 857210 283220 ) M2M3_PR_M
NEW met3 ( 892860 2521780 ) M3M4_PR
NEW met3 ( 1007860 283220 ) M3M4_PR
NEW met3 ( 1007860 2521780 ) M3M4_PR ;
- o_addr1\[2\] ( sram addr1[2] ) ( mprj o_addr1[2] ) + USE SIGNAL
+ ROUTED met3 ( 291410 391340 ) ( 300380 * 0 )
NEW met2 ( 291410 391340 ) ( * 1129310 )
NEW li1 ( 994750 1129310 ) ( * 1131350 )
NEW met2 ( 994750 1131350 ) ( * 1131860 )
NEW met2 ( 994750 1131860 ) ( 995210 * )
NEW met3 ( 983020 2196750 0 ) ( 985780 * )
NEW met3 ( 985780 2196740 ) ( * 2196750 )
NEW met3 ( 985780 2196740 ) ( 995210 * )
NEW met2 ( 995210 1131860 ) ( * 2196740 )
NEW met1 ( 291410 1129310 ) ( 994750 * )
NEW met2 ( 291410 391340 ) M2M3_PR_M
NEW met1 ( 291410 1129310 ) M1M2_PR
NEW li1 ( 994750 1129310 ) L1M1_PR_MR
NEW li1 ( 994750 1131350 ) L1M1_PR_MR
NEW met1 ( 994750 1131350 ) M1M2_PR
NEW met2 ( 995210 2196740 ) M2M3_PR_M
NEW met1 ( 994750 1131350 ) RECT ( -355 -70 0 70 ) ;
- o_addr1\[3\] ( sram addr1[3] ) ( mprj o_addr1[3] ) + USE SIGNAL
+ ROUTED met3 ( 290950 447780 ) ( 300380 * 0 )
NEW met2 ( 290950 447780 ) ( * 1128970 )
NEW li1 ( 994290 1128970 ) ( * 1132710 )
NEW met1 ( 994290 1132710 ) ( 994750 * )
NEW met2 ( 994290 1242000 ) ( 994750 * )
NEW met2 ( 994750 1132710 ) ( * 1242000 )
NEW met3 ( 983020 2188590 0 ) ( 985780 * )
NEW met3 ( 985780 2188580 ) ( * 2188590 )
NEW met3 ( 985780 2188580 ) ( 994290 * )
NEW met2 ( 994290 1242000 ) ( * 2188580 )
NEW met1 ( 290950 1128970 ) ( 994290 * )
NEW met2 ( 290950 447780 ) M2M3_PR_M
NEW met1 ( 290950 1128970 ) M1M2_PR
NEW li1 ( 994290 1128970 ) L1M1_PR_MR
NEW li1 ( 994290 1132710 ) L1M1_PR_MR
NEW met1 ( 994750 1132710 ) M1M2_PR
NEW met2 ( 994290 2188580 ) M2M3_PR_M ;
- o_addr1\[4\] ( sram addr1[4] ) ( mprj o_addr1[4] ) + USE SIGNAL
+ ROUTED met3 ( 983020 2181790 0 ) ( 985780 * )
NEW met3 ( 985780 2181780 ) ( * 2181790 )
NEW met2 ( 913330 296140 ) ( * 300220 0 )
NEW met3 ( 913330 296140 ) ( 1014300 * )
NEW met4 ( 1014300 1145400 ) ( 1015220 * )
NEW met4 ( 1014300 296140 ) ( * 1145400 )
NEW met3 ( 985780 2181780 ) ( 1015220 * )
NEW met4 ( 1015220 1145400 ) ( * 2181780 )
NEW met2 ( 913330 296140 ) M2M3_PR_M
NEW met3 ( 1014300 296140 ) M3M4_PR
NEW met3 ( 1015220 2181780 ) M3M4_PR ;
- o_addr1\[5\] ( sram addr1[5] ) ( mprj o_addr1[5] ) + USE SIGNAL
+ ROUTED met3 ( 983020 2174310 0 ) ( 985780 * )
NEW met3 ( 985780 2174300 ) ( * 2174310 )
NEW met3 ( 985780 2174300 ) ( 997970 * )
NEW met2 ( 997970 2096950 ) ( * 2174300 )
NEW met3 ( 1122860 574940 0 ) ( 1125850 * )
NEW met1 ( 997970 2096950 ) ( 1125850 * )
NEW met2 ( 1125850 574940 ) ( * 2096950 )
NEW met1 ( 997970 2096950 ) M1M2_PR
NEW met2 ( 997970 2174300 ) M2M3_PR_M
NEW met2 ( 1125850 574940 ) M2M3_PR_M
NEW met1 ( 1125850 2096950 ) M1M2_PR ;
- o_addr1\[6\] ( sram addr1[6] ) ( mprj o_addr1[6] ) + USE SIGNAL
+ ROUTED li1 ( 996130 1128970 ) ( * 1131010 )
NEW met2 ( 996130 1131010 ) ( * 1193700 )
NEW met2 ( 996130 1193700 ) ( 997050 * )
NEW met3 ( 983020 2168190 0 ) ( 985780 * )
NEW met3 ( 985780 2168180 ) ( * 2168190 )
NEW met3 ( 985780 2168180 ) ( 997050 * )
NEW met2 ( 997050 1193700 ) ( * 2168180 )
NEW met3 ( 1120100 604860 ) ( 1120330 * )
NEW met3 ( 1120100 602140 0 ) ( * 604860 )
NEW met1 ( 996130 1128970 ) ( 1120330 * )
NEW met2 ( 1120330 604860 ) ( * 1128970 )
NEW li1 ( 996130 1131010 ) L1M1_PR_MR
NEW met1 ( 996130 1131010 ) M1M2_PR
NEW li1 ( 996130 1128970 ) L1M1_PR_MR
NEW met2 ( 997050 2168180 ) M2M3_PR_M
NEW met2 ( 1120330 604860 ) M2M3_PR_M
NEW met1 ( 1120330 1128970 ) M1M2_PR
NEW met1 ( 996130 1131010 ) RECT ( -355 -70 0 70 ) ;
- o_addr1\[7\] ( sram addr1[7] ) ( mprj o_addr1[7] ) + USE SIGNAL
+ ROUTED met2 ( 946450 283900 ) ( * 300220 0 )
NEW met3 ( 946450 283900 ) ( 1008780 * )
NEW met4 ( 916270 2099500 ) ( * 2100530 0 )
NEW met4 ( 915860 2099500 ) ( 916270 * )
NEW met4 ( 915860 2087940 ) ( * 2099500 )
NEW met3 ( 915860 2087940 ) ( 1008780 * )
NEW met4 ( 1008780 283900 ) ( * 2087940 )
NEW met2 ( 946450 283900 ) M2M3_PR_M
NEW met3 ( 1008780 283900 ) M3M4_PR
NEW met3 ( 915860 2087940 ) M3M4_PR
NEW met3 ( 1008780 2087940 ) M3M4_PR ;
- o_addr1\[8\] ( sram addr1[8] ) ( mprj o_addr1[8] ) + USE SIGNAL
+ ROUTED met3 ( 292330 617780 ) ( 300380 * 0 )
NEW met2 ( 292330 617780 ) ( * 1129650 )
NEW li1 ( 911950 1129650 ) ( * 1134410 )
NEW met1 ( 292330 1129650 ) ( 911950 * )
NEW met4 ( 916950 2099500 ) ( * 2100530 0 )
NEW met4 ( 916950 2099500 ) ( 917700 * )
NEW met4 ( 917700 2083860 ) ( * 2099500 )
NEW met3 ( 911950 2083860 ) ( 917700 * )
NEW met2 ( 911950 1134410 ) ( * 2083860 )
NEW met2 ( 292330 617780 ) M2M3_PR_M
NEW met1 ( 292330 1129650 ) M1M2_PR
NEW li1 ( 911950 1129650 ) L1M1_PR_MR
NEW li1 ( 911950 1134410 ) L1M1_PR_MR
NEW met1 ( 911950 1134410 ) M1M2_PR
NEW met3 ( 917700 2083860 ) M3M4_PR
NEW met2 ( 911950 2083860 ) M2M3_PR_M
NEW met1 ( 911950 1134410 ) RECT ( -355 -70 0 70 ) ;
- o_addr1_1\[0\] ( sram1 addr1[0] ) ( mprj o_addr1_1[0] ) + USE SIGNAL
+ ROUTED met2 ( 866870 1133220 0 ) ( * 1152090 )
NEW met2 ( 991070 1152090 ) ( * 2526030 )
NEW met1 ( 866870 1152090 ) ( 991070 * )
NEW met4 ( 1698590 2516010 0 ) ( * 2517700 )
NEW met4 ( 1698590 2517700 ) ( 1698780 * )
NEW met4 ( 1698780 2517700 ) ( * 2525860 )
NEW met3 ( 1698550 2525860 ) ( 1698780 * )
NEW met2 ( 1698550 2525860 ) ( * 2526030 )
NEW met1 ( 991070 2526030 ) ( 1698550 * )
NEW met1 ( 866870 1152090 ) M1M2_PR
NEW met1 ( 991070 1152090 ) M1M2_PR
NEW met1 ( 991070 2526030 ) M1M2_PR
NEW met3 ( 1698780 2525860 ) M3M4_PR
NEW met2 ( 1698550 2525860 ) M2M3_PR_M
NEW met1 ( 1698550 2526030 ) M1M2_PR
NEW met3 ( 1698780 2525860 ) RECT ( 0 -150 390 150 ) ;
- o_addr1_1\[1\] ( sram1 addr1[1] ) ( mprj o_addr1_1[1] ) + USE SIGNAL
+ ROUTED met3 ( 1122860 372980 0 ) ( 1132290 * )
NEW met2 ( 1132290 372980 ) ( * 379270 )
NEW met4 ( 1692470 2516010 0 ) ( * 2517700 )
NEW met4 ( 1692340 2517700 ) ( 1692470 * )
NEW met4 ( 1692340 2517700 ) ( * 2525860 )
NEW met3 ( 1692340 2525860 ) ( 1692570 * )
NEW met2 ( 1692570 2525690 ) ( * 2525860 )
NEW met1 ( 1132290 379270 ) ( 1787330 * )
NEW met1 ( 1692570 2525690 ) ( 1787330 * )
NEW met2 ( 1787330 379270 ) ( * 2525690 )
NEW met2 ( 1132290 372980 ) M2M3_PR_M
NEW met1 ( 1132290 379270 ) M1M2_PR
NEW met3 ( 1692340 2525860 ) M3M4_PR
NEW met2 ( 1692570 2525860 ) M2M3_PR_M
NEW met1 ( 1692570 2525690 ) M1M2_PR
NEW met1 ( 1787330 379270 ) M1M2_PR
NEW met1 ( 1787330 2525690 ) M1M2_PR
NEW met3 ( 1692340 2525860 ) RECT ( -390 -150 0 150 ) ;
- o_addr1_1\[2\] ( sram1 addr1[2] ) ( mprj o_addr1_1[2] ) + USE SIGNAL
+ ROUTED met2 ( 876990 1133220 0 ) ( * 1157870 )
NEW met1 ( 876990 1157870 ) ( 1794690 * )
NEW met3 ( 1786180 2196740 ) ( 1794690 * )
NEW met3 ( 1786180 2196740 ) ( * 2196750 )
NEW met3 ( 1782960 2196750 0 ) ( 1786180 * )
NEW met2 ( 1794690 1157870 ) ( * 2196740 )
NEW met1 ( 876990 1157870 ) M1M2_PR
NEW met1 ( 1794690 1157870 ) M1M2_PR
NEW met2 ( 1794690 2196740 ) M2M3_PR_M ;
- o_addr1_1\[3\] ( sram1 addr1[3] ) ( mprj o_addr1_1[3] ) + USE SIGNAL
+ ROUTED met2 ( 891250 1133220 0 ) ( * 1148010 )
NEW met1 ( 912410 1148010 ) ( * 1148350 )
NEW met1 ( 912410 1148350 ) ( 921150 * )
NEW met1 ( 891250 1148010 ) ( 912410 * )
NEW met2 ( 921150 1148350 ) ( * 2093890 )
NEW met1 ( 921150 2093890 ) ( 1795150 * )
NEW met3 ( 1786180 2188580 ) ( 1795150 * )
NEW met3 ( 1786180 2188580 ) ( * 2188590 )
NEW met3 ( 1782960 2188590 0 ) ( 1786180 * )
NEW met2 ( 1795150 2093890 ) ( * 2188580 )
NEW met1 ( 891250 1148010 ) M1M2_PR
NEW met1 ( 921150 1148350 ) M1M2_PR
NEW met1 ( 921150 2093890 ) M1M2_PR
NEW met1 ( 1795150 2093890 ) M1M2_PR
NEW met2 ( 1795150 2188580 ) M2M3_PR_M ;
- o_addr1_1\[4\] ( sram1 addr1[4] ) ( mprj o_addr1_1[4] ) + USE SIGNAL
+ ROUTED met2 ( 896310 1133220 0 ) ( * 1148690 )
NEW met1 ( 896310 1148690 ) ( 1788710 * )
NEW met3 ( 1786180 2181780 ) ( 1788710 * )
NEW met3 ( 1786180 2181780 ) ( * 2181790 )
NEW met3 ( 1782960 2181790 0 ) ( 1786180 * )
NEW met2 ( 1788710 1148690 ) ( * 2181780 )
NEW met1 ( 896310 1148690 ) M1M2_PR
NEW met1 ( 1788710 1148690 ) M1M2_PR
NEW met2 ( 1788710 2181780 ) M2M3_PR_M ;
- o_addr1_1\[5\] ( sram1 addr1[5] ) ( mprj o_addr1_1[5] ) + USE SIGNAL
+ ROUTED met3 ( 285890 504220 ) ( 300380 * 0 )
NEW met2 ( 285890 504220 ) ( * 1128290 )
NEW met1 ( 285890 1128290 ) ( 1788250 * )
NEW met3 ( 1785260 2174300 ) ( 1788250 * )
NEW met3 ( 1785260 2174300 ) ( * 2174310 )
NEW met3 ( 1782960 2174310 0 ) ( 1785260 * )
NEW met2 ( 1788250 1128290 ) ( * 2174300 )
NEW met2 ( 285890 504220 ) M2M3_PR_M
NEW met1 ( 285890 1128290 ) M1M2_PR
NEW met1 ( 1788250 1128290 ) M1M2_PR
NEW met2 ( 1788250 2174300 ) M2M3_PR_M ;
- o_addr1_1\[6\] ( sram1 addr1[6] ) ( mprj o_addr1_1[6] ) + USE SIGNAL
+ ROUTED met3 ( 1122860 588540 0 ) ( 1132290 * )
NEW met2 ( 1132290 588540 ) ( * 593130 )
NEW met1 ( 1132290 593130 ) ( 1787790 * )
NEW met3 ( 1786180 2168180 ) ( 1787790 * )
NEW met3 ( 1786180 2168180 ) ( * 2168190 )
NEW met3 ( 1782960 2168190 0 ) ( 1786180 * )
NEW met2 ( 1787790 593130 ) ( * 2168180 )
NEW met2 ( 1132290 588540 ) M2M3_PR_M
NEW met1 ( 1132290 593130 ) M1M2_PR
NEW met1 ( 1787790 593130 ) M1M2_PR
NEW met2 ( 1787790 2168180 ) M2M3_PR_M ;
- o_addr1_1\[7\] ( sram1 addr1[7] ) ( mprj o_addr1_1[7] ) + USE SIGNAL
+ ROUTED met2 ( 1342050 648550 ) ( * 2084370 )
NEW met3 ( 1122860 642260 0 ) ( 1132290 * )
NEW met2 ( 1132290 642260 ) ( * 648550 )
NEW met1 ( 1132290 648550 ) ( 1342050 * )
NEW met4 ( 1716270 2099500 ) ( * 2100530 0 )
NEW met4 ( 1716260 2099500 ) ( 1716270 * )
NEW met4 ( 1716260 2090660 ) ( * 2099500 )
NEW met3 ( 1711890 2090660 ) ( 1716260 * )
NEW met2 ( 1711890 2084370 ) ( * 2090660 )
NEW met1 ( 1342050 2084370 ) ( 1711890 * )
NEW met1 ( 1342050 648550 ) M1M2_PR
NEW met1 ( 1342050 2084370 ) M1M2_PR
NEW met2 ( 1132290 642260 ) M2M3_PR_M
NEW met1 ( 1132290 648550 ) M1M2_PR
NEW met3 ( 1716260 2090660 ) M3M4_PR
NEW met2 ( 1711890 2090660 ) M2M3_PR_M
NEW met1 ( 1711890 2084370 ) M1M2_PR ;
- o_addr1_1\[8\] ( sram1 addr1[8] ) ( mprj o_addr1_1[8] ) + USE SIGNAL
+ ROUTED met1 ( 940010 1150050 ) ( 948750 * )
NEW met2 ( 940010 1133220 0 ) ( * 1150050 )
NEW met2 ( 948750 1150050 ) ( * 2085050 )
NEW met1 ( 1145400 2084710 ) ( * 2085050 )
NEW met4 ( 1716950 2099500 ) ( * 2100530 0 )
NEW met4 ( 1716950 2099500 ) ( 1717180 * )
NEW met4 ( 1717180 2090660 ) ( * 2099500 )
NEW met3 ( 1716950 2090660 ) ( 1717180 * )
NEW met2 ( 1716950 2085050 ) ( * 2090660 )
NEW met1 ( 1145400 2085050 ) ( 1716950 * )
NEW met1 ( 948750 2085050 ) ( 1076400 * )
NEW met1 ( 1076400 2084710 ) ( * 2085050 )
NEW met1 ( 1076400 2084710 ) ( 1145400 * )
NEW met1 ( 940010 1150050 ) M1M2_PR
NEW met1 ( 948750 1150050 ) M1M2_PR
NEW met1 ( 948750 2085050 ) M1M2_PR
NEW met3 ( 1717180 2090660 ) M3M4_PR
NEW met2 ( 1716950 2090660 ) M2M3_PR_M
NEW met1 ( 1716950 2085050 ) M1M2_PR
NEW met3 ( 1717180 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_csb0 ( sram csb0 ) ( mprj o_csb0 ) + USE SIGNAL
+ ROUTED met2 ( 287730 2139110 ) ( * 2140300 )
NEW met3 ( 287730 2140300 ) ( 297620 * )
NEW met3 ( 297620 2140300 ) ( * 2140310 )
NEW met3 ( 297620 2140310 ) ( 300160 * 0 )
NEW met1 ( 267030 2139110 ) ( 287730 * )
NEW met2 ( 814890 296650 ) ( * 300220 0 )
NEW met1 ( 267030 296650 ) ( 814890 * )
NEW met2 ( 267030 296650 ) ( * 2139110 )
NEW met1 ( 287730 2139110 ) M1M2_PR
NEW met2 ( 287730 2140300 ) M2M3_PR_M
NEW met1 ( 267030 296650 ) M1M2_PR
NEW met1 ( 267030 2139110 ) M1M2_PR
NEW met1 ( 814890 296650 ) M1M2_PR ;
- o_csb0_1 ( sram1 csb0 ) ( mprj o_csb0_1 ) + USE SIGNAL
+ ROUTED met1 ( 1052250 2139110 ) ( 1083530 * )
NEW met2 ( 1083530 2139110 ) ( * 2140300 )
NEW met2 ( 857210 1133220 0 ) ( * 1151070 )
NEW met2 ( 1052250 1151070 ) ( * 2139110 )
NEW met1 ( 857210 1151070 ) ( 1052250 * )
NEW met3 ( 1083530 2140300 ) ( 1097100 * )
NEW met3 ( 1097100 2140310 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2140300 ) ( * 2140310 )
NEW met1 ( 857210 1151070 ) M1M2_PR
NEW met1 ( 1052250 1151070 ) M1M2_PR
NEW met1 ( 1052250 2139110 ) M1M2_PR
NEW met1 ( 1083530 2139110 ) M1M2_PR
NEW met2 ( 1083530 2140300 ) M2M3_PR_M ;
- o_csb1 ( sram csb1 ) ( mprj o_csb1 ) + USE SIGNAL
+ ROUTED met3 ( 983020 2495950 0 ) ( 985780 * )
NEW met3 ( 985780 2495940 ) ( * 2495950 )
NEW met3 ( 985780 2495940 ) ( 997050 * )
NEW met2 ( 997050 2176340 ) ( * 2495940 )
NEW met2 ( 819490 285940 ) ( * 300220 0 )
NEW met3 ( 819490 285940 ) ( 1029020 * )
NEW met3 ( 997050 2176340 ) ( 1029020 * )
NEW met4 ( 1029020 285940 ) ( * 2176340 )
NEW met2 ( 997050 2176340 ) M2M3_PR_M
NEW met2 ( 997050 2495940 ) M2M3_PR_M
NEW met2 ( 819490 285940 ) M2M3_PR_M
NEW met3 ( 1029020 285940 ) M3M4_PR
NEW met3 ( 1029020 2176340 ) M3M4_PR ;
- o_csb1_1 ( sram1 csb1 ) ( mprj o_csb1_1 ) + USE SIGNAL
+ ROUTED met3 ( 1122860 306340 0 ) ( 1132290 * )
NEW met2 ( 1132290 306340 ) ( * 310250 )
NEW met1 ( 1132290 310250 ) ( 1794230 * )
NEW met3 ( 1786180 2495940 ) ( 1794230 * )
NEW met3 ( 1786180 2495940 ) ( * 2495950 )
NEW met3 ( 1782960 2495950 0 ) ( 1786180 * )
NEW met2 ( 1794230 310250 ) ( * 2495940 )
NEW met2 ( 1132290 306340 ) M2M3_PR_M
NEW met1 ( 1132290 310250 ) M1M2_PR
NEW met1 ( 1794230 310250 ) M1M2_PR
NEW met2 ( 1794230 2495940 ) M2M3_PR_M ;
- o_din0\[0\] ( sram din0[0] ) ( mprj o_din0[0] ) + USE SIGNAL
+ ROUTED met3 ( 289570 334900 ) ( 300380 * 0 )
NEW met1 ( 289570 1129650 ) ( * 1129990 )
NEW met1 ( 289570 1129990 ) ( 306590 * )
NEW met1 ( 306590 1129990 ) ( * 1130330 )
NEW met2 ( 306590 1130330 ) ( * 1145400 )
NEW met2 ( 306590 1145400 ) ( 307510 * )
NEW met2 ( 289570 334900 ) ( * 1129650 )
NEW met2 ( 307510 1145400 ) ( * 2085730 )
NEW met4 ( 415790 2099500 ) ( * 2100530 0 )
NEW met4 ( 415380 2099500 ) ( 415790 * )
NEW met4 ( 415380 2090660 ) ( * 2099500 )
NEW met3 ( 415150 2090660 ) ( 415380 * )
NEW met2 ( 415150 2085730 ) ( * 2090660 )
NEW met1 ( 307510 2085730 ) ( 415150 * )
NEW met2 ( 289570 334900 ) M2M3_PR_M
NEW met1 ( 289570 1129650 ) M1M2_PR
NEW met1 ( 306590 1130330 ) M1M2_PR
NEW met1 ( 307510 2085730 ) M1M2_PR
NEW met3 ( 415380 2090660 ) M3M4_PR
NEW met2 ( 415150 2090660 ) M2M3_PR_M
NEW met1 ( 415150 2085730 ) M1M2_PR
NEW met3 ( 415380 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0\[10\] ( sram din0[10] ) ( mprj o_din0[10] ) + USE SIGNAL
+ ROUTED met4 ( 472910 2099500 ) ( * 2100530 0 )
NEW met4 ( 472910 2099500 ) ( 473340 * )
NEW met4 ( 473340 2083860 ) ( * 2099500 )
NEW met3 ( 473340 2083860 ) ( 475870 * )
NEW met2 ( 475870 1162290 ) ( * 2083860 )
NEW met2 ( 959330 1133220 ) ( 959790 * 0 )
NEW met2 ( 959330 1133220 ) ( * 1162290 )
NEW met1 ( 475870 1162290 ) ( 959330 * )
NEW met1 ( 475870 1162290 ) M1M2_PR
NEW met1 ( 959330 1162290 ) M1M2_PR
NEW met3 ( 473340 2083860 ) M3M4_PR
NEW met2 ( 475870 2083860 ) M2M3_PR_M ;
- o_din0\[11\] ( sram din0[11] ) ( mprj o_din0[11] ) + USE SIGNAL
+ ROUTED met4 ( 479710 2099500 ) ( * 2100530 0 )
NEW met4 ( 479710 2099500 ) ( 479780 * )
NEW met4 ( 479780 2090660 ) ( * 2099500 )
NEW met3 ( 479780 2090660 ) ( 482770 * )
NEW met2 ( 482770 1166030 ) ( * 2090660 )
NEW met2 ( 967610 1133220 ) ( 969450 * 0 )
NEW met2 ( 967610 1133220 ) ( * 1166030 )
NEW met1 ( 482770 1166030 ) ( 967610 * )
NEW met1 ( 482770 1166030 ) M1M2_PR
NEW met1 ( 967610 1166030 ) M1M2_PR
NEW met3 ( 479780 2090660 ) M3M4_PR
NEW met2 ( 482770 2090660 ) M2M3_PR_M ;
- o_din0\[12\] ( sram din0[12] ) ( mprj o_din0[12] ) + USE SIGNAL
+ ROUTED met2 ( 287730 716380 ) ( * 717570 )
NEW met3 ( 287730 716380 ) ( 300380 * 0 )
NEW li1 ( 483230 1127610 ) ( * 1131350 )
NEW met4 ( 485150 2099500 ) ( * 2100530 0 )
NEW met4 ( 485150 2099500 ) ( 485300 * )
NEW met4 ( 485300 2083860 ) ( * 2099500 )
NEW met3 ( 483230 2083860 ) ( 485300 * )
NEW met2 ( 483230 1131350 ) ( * 2083860 )
NEW met1 ( 264730 717570 ) ( 287730 * )
NEW met2 ( 264730 717570 ) ( * 1127610 )
NEW met1 ( 264730 1127610 ) ( 483230 * )
NEW met1 ( 287730 717570 ) M1M2_PR
NEW met2 ( 287730 716380 ) M2M3_PR_M
NEW li1 ( 483230 1127610 ) L1M1_PR_MR
NEW li1 ( 483230 1131350 ) L1M1_PR_MR
NEW met1 ( 483230 1131350 ) M1M2_PR
NEW met3 ( 485300 2083860 ) M3M4_PR
NEW met2 ( 483230 2083860 ) M2M3_PR_M
NEW met1 ( 264730 717570 ) M1M2_PR
NEW met1 ( 264730 1127610 ) M1M2_PR
NEW met1 ( 483230 1131350 ) RECT ( -355 -70 0 70 ) ;
- o_din0\[13\] ( sram din0[13] ) ( mprj o_din0[13] ) + USE SIGNAL
+ ROUTED met4 ( 490590 2099500 ) ( * 2100530 0 )
NEW met4 ( 490590 2099500 ) ( 490820 * )
NEW met4 ( 490820 2083860 ) ( * 2099500 )
NEW met3 ( 490820 2083860 ) ( 496570 * )
NEW met2 ( 496570 1168410 ) ( * 2083860 )
NEW met2 ( 986930 1133220 ) ( 988770 * 0 )
NEW met2 ( 986930 1133220 ) ( * 1168410 )
NEW met1 ( 496570 1168410 ) ( 986930 * )
NEW met1 ( 496570 1168410 ) M1M2_PR
NEW met1 ( 986930 1168410 ) M1M2_PR
NEW met3 ( 490820 2083860 ) M3M4_PR
NEW met2 ( 496570 2083860 ) M2M3_PR_M ;
- o_din0\[14\] ( sram din0[14] ) ( mprj o_din0[14] ) + USE SIGNAL
+ ROUTED met2 ( 997970 288660 ) ( * 300220 0 )
NEW met4 ( 497390 2099500 ) ( * 2100530 0 )
NEW met4 ( 497260 2099500 ) ( 497390 * )
NEW met4 ( 497260 2090660 ) ( * 2099500 )
NEW met3 ( 497260 2090660 ) ( 502550 * )
NEW met2 ( 502550 1135940 ) ( * 2090660 )
NEW met4 ( 1058460 287300 ) ( * 1135260 )
NEW met2 ( 1024650 287300 ) ( * 288660 )
NEW met3 ( 997970 288660 ) ( 1024650 * )
NEW met3 ( 1024650 287300 ) ( 1058460 * )
NEW met3 ( 565800 1135260 ) ( * 1135940 )
NEW met3 ( 502550 1135940 ) ( 565800 * )
NEW met3 ( 565800 1135260 ) ( 1058460 * )
NEW met2 ( 997970 288660 ) M2M3_PR_M
NEW met3 ( 1058460 287300 ) M3M4_PR
NEW met2 ( 502550 1135940 ) M2M3_PR_M
NEW met3 ( 497260 2090660 ) M3M4_PR
NEW met2 ( 502550 2090660 ) M2M3_PR_M
NEW met3 ( 1058460 1135260 ) M3M4_PR
NEW met2 ( 1024650 288660 ) M2M3_PR_M
NEW met2 ( 1024650 287300 ) M2M3_PR_M ;
- o_din0\[15\] ( sram din0[15] ) ( mprj o_din0[15] ) + USE SIGNAL
+ ROUTED met4 ( 503510 2099500 ) ( * 2100530 0 )
NEW met4 ( 503510 2099500 ) ( 503700 * )
NEW met4 ( 503700 2088620 ) ( * 2099500 )
NEW met3 ( 503700 2088620 ) ( 509450 * )
NEW met2 ( 509450 1162460 ) ( * 2088620 )
NEW met4 ( 1063060 287980 ) ( * 1162460 )
NEW met2 ( 1003030 287980 ) ( * 300220 0 )
NEW met3 ( 1003030 287980 ) ( 1063060 * )
NEW met3 ( 509450 1162460 ) ( 1063060 * )
NEW met2 ( 509450 1162460 ) M2M3_PR_M
NEW met3 ( 1063060 287980 ) M3M4_PR
NEW met3 ( 1063060 1162460 ) M3M4_PR
NEW met3 ( 503700 2088620 ) M3M4_PR
NEW met2 ( 509450 2088620 ) M2M3_PR_M
NEW met2 ( 1003030 287980 ) M2M3_PR_M ;
- o_din0\[16\] ( sram din0[16] ) ( mprj o_din0[16] ) + USE SIGNAL
+ ROUTED met4 ( 508950 2099500 ) ( * 2100530 0 )
NEW met4 ( 508950 2099500 ) ( 509220 * )
NEW met4 ( 509220 2090660 ) ( * 2099500 )
NEW met3 ( 509220 2090660 ) ( 510370 * )
NEW met2 ( 510370 2086410 ) ( * 2090660 )
NEW met1 ( 510370 2086410 ) ( 1118950 * )
NEW met2 ( 1118950 889950 ) ( 1119410 * )
NEW met1 ( 1119410 889950 ) ( 1121710 * )
NEW met2 ( 1121710 873460 ) ( * 889950 )
NEW met3 ( 1121710 873460 ) ( 1121940 * )
NEW met3 ( 1121940 870740 0 ) ( * 873460 )
NEW met2 ( 1118950 889950 ) ( * 2086410 )
NEW met3 ( 509220 2090660 ) M3M4_PR
NEW met2 ( 510370 2090660 ) M2M3_PR_M
NEW met1 ( 510370 2086410 ) M1M2_PR
NEW met1 ( 1118950 2086410 ) M1M2_PR
NEW met1 ( 1119410 889950 ) M1M2_PR
NEW met1 ( 1121710 889950 ) M1M2_PR
NEW met2 ( 1121710 873460 ) M2M3_PR_M ;
- o_din0\[17\] ( sram din0[17] ) ( mprj o_din0[17] ) + USE SIGNAL
+ ROUTED met4 ( 514390 2099500 ) ( * 2100530 0 )
NEW met4 ( 514390 2099500 ) ( 514740 * )
NEW met4 ( 514740 2090660 ) ( * 2099500 )
NEW met3 ( 514740 2090660 ) ( 516350 * )
NEW met2 ( 516350 1135260 ) ( * 2090660 )
NEW met4 ( 1069500 283900 ) ( * 1134580 )
NEW met2 ( 1021890 283900 ) ( * 300220 0 )
NEW met3 ( 1021890 283900 ) ( 1069500 * )
NEW met3 ( 516350 1135260 ) ( 517500 * )
NEW met3 ( 517500 1134580 ) ( * 1135260 )
NEW met3 ( 517500 1134580 ) ( 1069500 * )
NEW met3 ( 1069500 283900 ) M3M4_PR
NEW met2 ( 516350 1135260 ) M2M3_PR_M
NEW met3 ( 514740 2090660 ) M3M4_PR
NEW met2 ( 516350 2090660 ) M2M3_PR_M
NEW met3 ( 1069500 1134580 ) M3M4_PR
NEW met2 ( 1021890 283900 ) M2M3_PR_M ;
- o_din0\[18\] ( sram din0[18] ) ( mprj o_din0[18] ) + USE SIGNAL
+ ROUTED met2 ( 992910 1147330 ) ( * 2084710 )
NEW met1 ( 1007630 1146990 ) ( * 1147330 )
NEW met1 ( 1007630 1146990 ) ( 1018210 * )
NEW met1 ( 992910 1147330 ) ( 1007630 * )
NEW met4 ( 519830 2099500 ) ( * 2100530 0 )
NEW met4 ( 519830 2099500 ) ( 520260 * )
NEW met4 ( 520260 2090660 ) ( * 2099500 )
NEW met3 ( 520260 2090660 ) ( 523710 * )
NEW met2 ( 523710 2084710 ) ( * 2090660 )
NEW met1 ( 523710 2084710 ) ( 992910 * )
NEW met2 ( 1018210 1133220 0 ) ( * 1146990 )
NEW met1 ( 992910 1147330 ) M1M2_PR
NEW met1 ( 992910 2084710 ) M1M2_PR
NEW met1 ( 1018210 1146990 ) M1M2_PR
NEW met3 ( 520260 2090660 ) M3M4_PR
NEW met2 ( 523710 2090660 ) M2M3_PR_M
NEW met1 ( 523710 2084710 ) M1M2_PR ;
- o_din0\[19\] ( sram din0[19] ) ( mprj o_din0[19] ) + USE SIGNAL
+ ROUTED met2 ( 992450 1146650 ) ( * 2088450 )
NEW met1 ( 992450 1146650 ) ( 1027870 * )
NEW met4 ( 526630 2099500 ) ( * 2100530 0 )
NEW met4 ( 526630 2099500 ) ( 526700 * )
NEW met4 ( 526700 2090660 ) ( * 2099500 )
NEW met3 ( 526700 2090660 ) ( 529690 * )
NEW met2 ( 529690 2088450 ) ( * 2090660 )
NEW met1 ( 529690 2088450 ) ( 992450 * )
NEW met2 ( 1027870 1133220 0 ) ( * 1146650 )
NEW met1 ( 992450 1146650 ) M1M2_PR
NEW met1 ( 992450 2088450 ) M1M2_PR
NEW met1 ( 1027870 1146650 ) M1M2_PR
NEW met3 ( 526700 2090660 ) M3M4_PR
NEW met2 ( 529690 2090660 ) M2M3_PR_M
NEW met1 ( 529690 2088450 ) M1M2_PR ;
- o_din0\[1\] ( sram din0[1] ) ( mprj o_din0[1] ) + USE SIGNAL
+ ROUTED met2 ( 866410 288830 ) ( * 300220 0 )
NEW met2 ( 291870 288830 ) ( * 1126250 )
NEW met1 ( 291870 288830 ) ( 866410 * )
NEW li1 ( 422050 1126250 ) ( * 1131350 )
NEW met1 ( 291870 1126250 ) ( 422050 * )
NEW met4 ( 421230 2099500 ) ( * 2100530 0 )
NEW met4 ( 421230 2099500 ) ( 421820 * )
NEW met4 ( 421820 2083860 ) ( * 2099500 )
NEW met3 ( 421820 2083860 ) ( 422050 * )
NEW met2 ( 422050 1131350 ) ( * 2083860 )
NEW met1 ( 291870 288830 ) M1M2_PR
NEW met1 ( 866410 288830 ) M1M2_PR
NEW met1 ( 291870 1126250 ) M1M2_PR
NEW li1 ( 422050 1126250 ) L1M1_PR_MR
NEW li1 ( 422050 1131350 ) L1M1_PR_MR
NEW met1 ( 422050 1131350 ) M1M2_PR
NEW met3 ( 421820 2083860 ) M3M4_PR
NEW met2 ( 422050 2083860 ) M2M3_PR_M
NEW met1 ( 422050 1131350 ) RECT ( -355 -70 0 70 )
NEW met3 ( 421820 2083860 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[20\] ( sram din0[20] ) ( mprj o_din0[20] ) + USE SIGNAL
+ ROUTED met2 ( 997510 1151750 ) ( * 2089130 )
NEW met1 ( 1031090 1151410 ) ( * 1151750 )
NEW met1 ( 1031090 1151410 ) ( 1047190 * )
NEW met1 ( 997510 1151750 ) ( 1031090 * )
NEW met4 ( 532070 2099500 ) ( * 2100530 0 )
NEW met4 ( 532070 2099500 ) ( 532220 * )
NEW met4 ( 532220 2090660 ) ( * 2099500 )
NEW met3 ( 532220 2090660 ) ( 532450 * )
NEW met2 ( 532450 2088790 ) ( * 2090660 )
NEW met1 ( 532450 2088790 ) ( 614100 * )
NEW met1 ( 614100 2088790 ) ( * 2089130 )
NEW met1 ( 614100 2089130 ) ( 997510 * )
NEW met2 ( 1047190 1133220 0 ) ( * 1151410 )
NEW met1 ( 997510 1151750 ) M1M2_PR
NEW met1 ( 997510 2089130 ) M1M2_PR
NEW met1 ( 1047190 1151410 ) M1M2_PR
NEW met3 ( 532220 2090660 ) M3M4_PR
NEW met2 ( 532450 2090660 ) M2M3_PR_M
NEW met1 ( 532450 2088790 ) M1M2_PR
NEW met3 ( 532220 2090660 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[21\] ( sram din0[21] ) ( mprj o_din0[21] ) + USE SIGNAL
+ ROUTED met1 ( 976350 2087770 ) ( * 2088110 )
NEW met2 ( 1056850 1133220 0 ) ( * 1146990 )
NEW met1 ( 1048800 1146990 ) ( 1056850 * )
NEW met1 ( 1004410 1145630 ) ( 1048800 * )
NEW met1 ( 1048800 1145630 ) ( * 1146990 )
NEW met4 ( 538190 2099500 ) ( * 2100530 0 )
NEW met4 ( 537740 2099500 ) ( 538190 * )
NEW met4 ( 537740 2090660 ) ( * 2099500 )
NEW met3 ( 537740 2090660 ) ( 537970 * )
NEW met2 ( 537970 2087770 ) ( * 2090660 )
NEW met1 ( 537970 2087770 ) ( 976350 * )
NEW met1 ( 976350 2088110 ) ( 1004410 * )
NEW met2 ( 1004410 1145630 ) ( * 2088110 )
NEW met1 ( 1056850 1146990 ) M1M2_PR
NEW met1 ( 1004410 1145630 ) M1M2_PR
NEW met3 ( 537740 2090660 ) M3M4_PR
NEW met2 ( 537970 2090660 ) M2M3_PR_M
NEW met1 ( 537970 2087770 ) M1M2_PR
NEW met1 ( 1004410 2088110 ) M1M2_PR
NEW met3 ( 537740 2090660 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[22\] ( sram din0[22] ) ( mprj o_din0[22] ) + USE SIGNAL
+ ROUTED li1 ( 975890 2085730 ) ( * 2088110 )
NEW met2 ( 1071570 1133220 0 ) ( * 1147330 )
NEW met1 ( 1011310 1146310 ) ( 1028790 * )
NEW met1 ( 1028790 1146310 ) ( * 1147330 )
NEW met1 ( 1028790 1147330 ) ( 1071570 * )
NEW met4 ( 543630 2099500 ) ( * 2100530 0 )
NEW met4 ( 543630 2099500 ) ( 544180 * )
NEW met4 ( 544180 2090660 ) ( * 2099500 )
NEW met3 ( 544180 2090660 ) ( 544410 * )
NEW met2 ( 544410 2088110 ) ( * 2090660 )
NEW met1 ( 544410 2088110 ) ( 975890 * )
NEW met1 ( 975890 2085730 ) ( 1011310 * )
NEW met2 ( 1011310 1146310 ) ( * 2085730 )
NEW met1 ( 1071570 1147330 ) M1M2_PR
NEW li1 ( 975890 2088110 ) L1M1_PR_MR
NEW li1 ( 975890 2085730 ) L1M1_PR_MR
NEW met1 ( 1011310 1146310 ) M1M2_PR
NEW met3 ( 544180 2090660 ) M3M4_PR
NEW met2 ( 544410 2090660 ) M2M3_PR_M
NEW met1 ( 544410 2088110 ) M1M2_PR
NEW met1 ( 1011310 2085730 ) M1M2_PR
NEW met3 ( 544180 2090660 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[23\] ( sram din0[23] ) ( mprj o_din0[23] ) + USE SIGNAL
+ ROUTED met2 ( 1059150 296650 ) ( * 300220 0 )
NEW met1 ( 1059150 296650 ) ( 1116650 * )
NEW met4 ( 549070 2099500 ) ( * 2100530 0 )
NEW met4 ( 548780 2099500 ) ( 549070 * )
NEW met4 ( 548780 2090660 ) ( * 2099500 )
NEW met3 ( 548780 2090660 ) ( 549010 * )
NEW met2 ( 549010 2086750 ) ( * 2090660 )
NEW met1 ( 549010 2086750 ) ( 1116650 * )
NEW met2 ( 1116650 296650 ) ( * 2086750 )
NEW met1 ( 1059150 296650 ) M1M2_PR
NEW met1 ( 1116650 296650 ) M1M2_PR
NEW met3 ( 548780 2090660 ) M3M4_PR
NEW met2 ( 549010 2090660 ) M2M3_PR_M
NEW met1 ( 549010 2086750 ) M1M2_PR
NEW met1 ( 1116650 2086750 ) M1M2_PR
NEW met3 ( 548780 2090660 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[24\] ( sram din0[24] ) ( mprj o_din0[24] ) + USE SIGNAL
+ ROUTED met2 ( 576150 1149370 ) ( * 2089130 )
NEW met2 ( 1086290 1133220 0 ) ( * 1149370 )
NEW met1 ( 576150 1149370 ) ( 1086290 * )
NEW met4 ( 555870 2099500 ) ( * 2100530 0 )
NEW met4 ( 555870 2099500 ) ( 556140 * )
NEW met4 ( 556140 2090660 ) ( * 2099500 )
NEW met3 ( 556140 2090660 ) ( 556370 * )
NEW met2 ( 556370 2089130 ) ( * 2090660 )
NEW met1 ( 556370 2089130 ) ( 576150 * )
NEW met1 ( 576150 1149370 ) M1M2_PR
NEW met1 ( 1086290 1149370 ) M1M2_PR
NEW met1 ( 576150 2089130 ) M1M2_PR
NEW met3 ( 556140 2090660 ) M3M4_PR
NEW met2 ( 556370 2090660 ) M2M3_PR_M
NEW met1 ( 556370 2089130 ) M1M2_PR
NEW met3 ( 556140 2090660 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[25\] ( sram din0[25] ) ( mprj o_din0[25] ) + USE SIGNAL
+ ROUTED met3 ( 284510 999260 ) ( 300380 * 0 )
NEW met2 ( 284510 999260 ) ( * 1125230 )
NEW li1 ( 327750 1125230 ) ( * 1132370 )
NEW met1 ( 284510 1125230 ) ( 327750 * )
NEW met2 ( 327750 1132370 ) ( * 2085050 )
NEW met4 ( 561310 2099500 ) ( * 2100530 0 )
NEW met4 ( 560740 2099500 ) ( 561310 * )
NEW met4 ( 560740 2090660 ) ( * 2099500 )
NEW met3 ( 560510 2090660 ) ( 560740 * )
NEW met2 ( 560510 2085050 ) ( * 2090660 )
NEW met1 ( 327750 2085050 ) ( 560510 * )
NEW met2 ( 284510 999260 ) M2M3_PR_M
NEW met1 ( 284510 1125230 ) M1M2_PR
NEW li1 ( 327750 1125230 ) L1M1_PR_MR
NEW li1 ( 327750 1132370 ) L1M1_PR_MR
NEW met1 ( 327750 1132370 ) M1M2_PR
NEW met1 ( 327750 2085050 ) M1M2_PR
NEW met3 ( 560740 2090660 ) M3M4_PR
NEW met2 ( 560510 2090660 ) M2M3_PR_M
NEW met1 ( 560510 2085050 ) M1M2_PR
NEW met1 ( 327750 1132370 ) RECT ( -355 -70 0 70 )
NEW met3 ( 560740 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0\[26\] ( sram din0[26] ) ( mprj o_din0[26] ) + USE SIGNAL
+ ROUTED met2 ( 1073410 283390 ) ( * 300220 0 )
NEW li1 ( 572010 1129990 ) ( * 1131350 )
NEW met4 ( 566750 2099500 ) ( * 2100530 0 )
NEW met4 ( 566750 2099500 ) ( 567180 * )
NEW met4 ( 567180 2090660 ) ( * 2099500 )
NEW met3 ( 567180 2090660 ) ( 572010 * )
NEW met2 ( 572010 1131350 ) ( * 2090660 )
NEW met1 ( 1073410 283390 ) ( 1126770 * )
NEW met1 ( 572010 1129990 ) ( 1126770 * )
NEW met2 ( 1126770 283390 ) ( * 1129990 )
NEW met1 ( 1073410 283390 ) M1M2_PR
NEW li1 ( 572010 1131350 ) L1M1_PR_MR
NEW met1 ( 572010 1131350 ) M1M2_PR
NEW li1 ( 572010 1129990 ) L1M1_PR_MR
NEW met3 ( 567180 2090660 ) M3M4_PR
NEW met2 ( 572010 2090660 ) M2M3_PR_M
NEW met1 ( 1126770 283390 ) M1M2_PR
NEW met1 ( 1126770 1129990 ) M1M2_PR
NEW met1 ( 572010 1131350 ) RECT ( -355 -70 0 70 ) ;
- o_din0\[27\] ( sram din0[27] ) ( mprj o_din0[27] ) + USE SIGNAL
+ ROUTED met2 ( 1082610 295970 ) ( * 300220 0 )
NEW met4 ( 572190 2099500 ) ( * 2100530 0 )
NEW met4 ( 572190 2099500 ) ( 572700 * )
NEW met4 ( 572700 2089980 ) ( * 2099500 )
NEW met3 ( 572470 2089980 ) ( 572700 * )
NEW met2 ( 572470 2087430 ) ( * 2089980 )
NEW met1 ( 1082610 295970 ) ( 1115270 * )
NEW met2 ( 1115270 1097100 ) ( 1115730 * )
NEW met2 ( 1115270 1242000 ) ( 1115730 * )
NEW met2 ( 1115730 1097100 ) ( * 1242000 )
NEW met2 ( 1115270 295970 ) ( * 1097100 )
NEW met1 ( 572470 2087430 ) ( 1115270 * )
NEW met2 ( 1115270 1242000 ) ( * 2087430 )
NEW met1 ( 1082610 295970 ) M1M2_PR
NEW met3 ( 572700 2089980 ) M3M4_PR
NEW met2 ( 572470 2089980 ) M2M3_PR_M
NEW met1 ( 572470 2087430 ) M1M2_PR
NEW met1 ( 1115270 295970 ) M1M2_PR
NEW met1 ( 1115270 2087430 ) M1M2_PR
NEW met3 ( 572700 2089980 ) RECT ( 0 -150 390 150 ) ;
- o_din0\[28\] ( sram din0[28] ) ( mprj o_din0[28] ) + USE SIGNAL
+ ROUTED met3 ( 288650 1069980 ) ( 300380 * 0 )
NEW met2 ( 288650 1069980 ) ( * 1125570 )
NEW met4 ( 578990 2099500 ) ( * 2100530 0 )
NEW met4 ( 578990 2099500 ) ( 579140 * )
NEW met4 ( 579140 2090660 ) ( * 2099500 )
NEW met3 ( 578910 2090660 ) ( 579140 * )
NEW met2 ( 578910 2087090 ) ( * 2090660 )
NEW li1 ( 340630 1125570 ) ( * 1131350 )
NEW met2 ( 340630 1131350 ) ( * 1145400 )
NEW met2 ( 340630 1145400 ) ( 341550 * )
NEW met1 ( 288650 1125570 ) ( 340630 * )
NEW met2 ( 341550 1145400 ) ( * 2087090 )
NEW met1 ( 341550 2087090 ) ( 578910 * )
NEW met2 ( 288650 1069980 ) M2M3_PR_M
NEW met1 ( 288650 1125570 ) M1M2_PR
NEW met3 ( 579140 2090660 ) M3M4_PR
NEW met2 ( 578910 2090660 ) M2M3_PR_M
NEW met1 ( 578910 2087090 ) M1M2_PR
NEW li1 ( 340630 1125570 ) L1M1_PR_MR
NEW li1 ( 340630 1131350 ) L1M1_PR_MR
NEW met1 ( 340630 1131350 ) M1M2_PR
NEW met1 ( 341550 2087090 ) M1M2_PR
NEW met3 ( 579140 2090660 ) RECT ( 0 -150 390 150 )
NEW met1 ( 340630 1131350 ) RECT ( -355 -70 0 70 ) ;
- o_din0\[29\] ( sram din0[29] ) ( mprj o_din0[29] ) + USE SIGNAL
+ ROUTED met4 ( 585110 2099500 ) ( * 2100530 0 )
NEW met4 ( 585110 2099500 ) ( 585580 * )
NEW met4 ( 585580 2090660 ) ( * 2099500 )
NEW met3 ( 585580 2090660 ) ( 585810 * )
NEW met2 ( 585810 2087090 ) ( * 2090660 )
NEW met2 ( 1101470 296310 ) ( * 300220 0 )
NEW met1 ( 1101470 296310 ) ( 1139190 * )
NEW met2 ( 1139190 296310 ) ( * 2089130 )
NEW li1 ( 1110670 2087090 ) ( * 2089130 )
NEW met1 ( 585810 2087090 ) ( 1110670 * )
NEW met1 ( 1110670 2089130 ) ( 1139190 * )
NEW met3 ( 585580 2090660 ) M3M4_PR
NEW met2 ( 585810 2090660 ) M2M3_PR_M
NEW met1 ( 585810 2087090 ) M1M2_PR
NEW met1 ( 1101470 296310 ) M1M2_PR
NEW met1 ( 1139190 296310 ) M1M2_PR
NEW met1 ( 1139190 2089130 ) M1M2_PR
NEW li1 ( 1110670 2087090 ) L1M1_PR_MR
NEW li1 ( 1110670 2089130 ) L1M1_PR_MR
NEW met3 ( 585580 2090660 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[2\] ( sram din0[2] ) ( mprj o_din0[2] ) + USE SIGNAL
+ ROUTED met2 ( 288190 405620 ) ( * 406810 )
NEW met3 ( 288190 405620 ) ( 300380 * 0 )
NEW met1 ( 266110 406810 ) ( 288190 * )
NEW met2 ( 266110 406810 ) ( * 1136790 )
NEW met1 ( 266110 1136790 ) ( 421590 * )
NEW met4 ( 427350 2099500 ) ( * 2100530 0 )
NEW met4 ( 427340 2099500 ) ( 427350 * )
NEW met4 ( 427340 2090660 ) ( * 2099500 )
NEW met3 ( 421590 2090660 ) ( 427340 * )
NEW met2 ( 421590 1136790 ) ( * 2090660 )
NEW met1 ( 288190 406810 ) M1M2_PR
NEW met2 ( 288190 405620 ) M2M3_PR_M
NEW met1 ( 266110 406810 ) M1M2_PR
NEW met1 ( 266110 1136790 ) M1M2_PR
NEW met1 ( 421590 1136790 ) M1M2_PR
NEW met3 ( 427340 2090660 ) M3M4_PR
NEW met2 ( 421590 2090660 ) M2M3_PR_M ;
- o_din0\[30\] ( sram din0[30] ) ( mprj o_din0[30] ) + USE SIGNAL
+ ROUTED met3 ( 297850 1083580 ) ( 300380 * 0 )
NEW met2 ( 297850 1083580 ) ( * 1136450 )
NEW met4 ( 590550 2099500 ) ( * 2100530 0 )
NEW met4 ( 590180 2099500 ) ( 590550 * )
NEW met4 ( 590180 2083860 ) ( * 2099500 )
NEW met3 ( 586730 2083860 ) ( 590180 * )
NEW met2 ( 586730 1136450 ) ( * 2083860 )
NEW met1 ( 297850 1136450 ) ( 586730 * )
NEW met2 ( 297850 1083580 ) M2M3_PR_M
NEW met1 ( 297850 1136450 ) M1M2_PR
NEW met1 ( 586730 1136450 ) M1M2_PR
NEW met3 ( 590180 2083860 ) M3M4_PR
NEW met2 ( 586730 2083860 ) M2M3_PR_M ;
- o_din0\[31\] ( sram din0[31] ) ( mprj o_din0[31] ) + USE SIGNAL
+ ROUTED met2 ( 598690 1136450 ) ( * 1193700 )
NEW met2 ( 598690 1193700 ) ( 599150 * )
NEW met4 ( 595990 2099500 ) ( * 2100530 0 )
NEW met4 ( 595700 2099500 ) ( 595990 * )
NEW met4 ( 595700 2083860 ) ( * 2099500 )
NEW met3 ( 595700 2083860 ) ( 599150 * )
NEW met2 ( 599150 1193700 ) ( * 2083860 )
NEW met2 ( 1117110 1129140 ) ( * 1136450 )
NEW met3 ( 1117110 1129140 ) ( 1119180 * )
NEW met3 ( 1119180 1126420 0 ) ( * 1129140 )
NEW met1 ( 598690 1136450 ) ( 1117110 * )
NEW met1 ( 598690 1136450 ) M1M2_PR
NEW met3 ( 595700 2083860 ) M3M4_PR
NEW met2 ( 599150 2083860 ) M2M3_PR_M
NEW met1 ( 1117110 1136450 ) M1M2_PR
NEW met2 ( 1117110 1129140 ) M2M3_PR_M ;
- o_din0\[3\] ( sram din0[3] ) ( mprj o_din0[3] ) + USE SIGNAL
+ ROUTED met2 ( 288190 462060 ) ( * 462230 )
NEW met3 ( 288190 462060 ) ( 300380 * 0 )
NEW met1 ( 265650 462230 ) ( 288190 * )
NEW met2 ( 265650 462230 ) ( * 1126930 )
NEW li1 ( 428490 1126930 ) ( * 1131350 )
NEW met1 ( 265650 1126930 ) ( 428490 * )
NEW met2 ( 428490 1131350 ) ( * 1193700 )
NEW met2 ( 428490 1193700 ) ( 429410 * )
NEW met4 ( 432790 2099500 ) ( * 2100530 0 )
NEW met4 ( 432790 2099500 ) ( 432860 * )
NEW met4 ( 432860 2083860 ) ( * 2099500 )
NEW met3 ( 429410 2083860 ) ( 432860 * )
NEW met2 ( 429410 1193700 ) ( * 2083860 )
NEW met1 ( 288190 462230 ) M1M2_PR
NEW met2 ( 288190 462060 ) M2M3_PR_M
NEW met1 ( 265650 462230 ) M1M2_PR
NEW met1 ( 265650 1126930 ) M1M2_PR
NEW li1 ( 428490 1126930 ) L1M1_PR_MR
NEW li1 ( 428490 1131350 ) L1M1_PR_MR
NEW met1 ( 428490 1131350 ) M1M2_PR
NEW met3 ( 432860 2083860 ) M3M4_PR
NEW met2 ( 429410 2083860 ) M2M3_PR_M
NEW met1 ( 428490 1131350 ) RECT ( -355 -70 0 70 ) ;
- o_din0\[4\] ( sram din0[4] ) ( mprj o_din0[4] ) + USE SIGNAL
+ ROUTED met4 ( 438230 2099500 ) ( * 2100530 0 )
NEW met4 ( 438230 2099500 ) ( 438380 * )
NEW met4 ( 438380 2090660 ) ( * 2099500 )
NEW met3 ( 438380 2090660 ) ( 439530 * )
NEW met2 ( 439530 2086070 ) ( * 2090660 )
NEW met2 ( 1118490 537540 ) ( 1119870 * )
NEW met3 ( 1119870 537540 ) ( 1120100 * )
NEW met3 ( 1120100 534820 0 ) ( * 537540 )
NEW met1 ( 439530 2086070 ) ( 1076400 * )
NEW met1 ( 1076400 2085730 ) ( * 2086070 )
NEW met1 ( 1076400 2085730 ) ( 1118490 * )
NEW met2 ( 1118490 537540 ) ( * 2085730 )
NEW met3 ( 438380 2090660 ) M3M4_PR
NEW met2 ( 439530 2090660 ) M2M3_PR_M
NEW met1 ( 439530 2086070 ) M1M2_PR
NEW met2 ( 1119870 537540 ) M2M3_PR_M
NEW met1 ( 1118490 2085730 ) M1M2_PR ;
- o_din0\[5\] ( sram din0[5] ) ( mprj o_din0[5] ) + USE SIGNAL
+ ROUTED met1 ( 817650 1148350 ) ( 905970 * )
NEW met4 ( 443670 2099500 ) ( * 2100530 0 )
NEW met4 ( 443670 2099500 ) ( 443900 * )
NEW met4 ( 443900 2090660 ) ( * 2099500 )
NEW met3 ( 443900 2090660 ) ( 444130 * )
NEW met2 ( 444130 2089470 ) ( * 2090660 )
NEW met1 ( 444130 2089470 ) ( 817650 * )
NEW met2 ( 817650 1148350 ) ( * 2089470 )
NEW met2 ( 905970 1133220 0 ) ( * 1148350 )
NEW met1 ( 817650 1148350 ) M1M2_PR
NEW met1 ( 905970 1148350 ) M1M2_PR
NEW met3 ( 443900 2090660 ) M3M4_PR
NEW met2 ( 444130 2090660 ) M2M3_PR_M
NEW met1 ( 444130 2089470 ) M1M2_PR
NEW met1 ( 817650 2089470 ) M1M2_PR
NEW met3 ( 443900 2090660 ) RECT ( -390 -150 0 150 ) ;
- o_din0\[6\] ( sram din0[6] ) ( mprj o_din0[6] ) + USE SIGNAL
+ ROUTED met2 ( 288190 532780 ) ( * 538050 )
NEW met3 ( 288190 532780 ) ( 300380 * 0 )
NEW met1 ( 271630 538050 ) ( 288190 * )
NEW met2 ( 271630 538050 ) ( * 1127270 )
NEW li1 ( 449190 1127270 ) ( * 1131350 )
NEW met1 ( 271630 1127270 ) ( 449190 * )
NEW met2 ( 448730 1242000 ) ( 449190 * )
NEW met2 ( 449190 1131350 ) ( * 1242000 )
NEW met4 ( 450470 2099500 ) ( * 2100530 0 )
NEW met4 ( 450340 2099500 ) ( 450470 * )
NEW met4 ( 450340 2083860 ) ( * 2099500 )
NEW met3 ( 448730 2083860 ) ( 450340 * )
NEW met2 ( 448730 1242000 ) ( * 2083860 )
NEW met1 ( 288190 538050 ) M1M2_PR
NEW met2 ( 288190 532780 ) M2M3_PR_M
NEW met1 ( 271630 538050 ) M1M2_PR
NEW met1 ( 271630 1127270 ) M1M2_PR
NEW li1 ( 449190 1127270 ) L1M1_PR_MR
NEW li1 ( 449190 1131350 ) L1M1_PR_MR
NEW met1 ( 449190 1131350 ) M1M2_PR
NEW met3 ( 450340 2083860 ) M3M4_PR
NEW met2 ( 448730 2083860 ) M2M3_PR_M
NEW met1 ( 449190 1131350 ) RECT ( -355 -70 0 70 ) ;
- o_din0\[7\] ( sram din0[7] ) ( mprj o_din0[7] ) + USE SIGNAL
+ ROUTED met3 ( 296930 574940 ) ( 300380 * 0 )
NEW met2 ( 296930 574940 ) ( * 1136110 )
NEW met1 ( 296930 1136110 ) ( 455630 * )
NEW met4 ( 456590 2099500 ) ( * 2100530 0 )
NEW met4 ( 456590 2099500 ) ( 456780 * )
NEW met4 ( 456780 2083860 ) ( * 2099500 )
NEW met3 ( 455630 2083860 ) ( 456780 * )
NEW met2 ( 455630 1136110 ) ( * 2083860 )
NEW met2 ( 296930 574940 ) M2M3_PR_M
NEW met1 ( 296930 1136110 ) M1M2_PR
NEW met1 ( 455630 1136110 ) M1M2_PR
NEW met3 ( 456780 2083860 ) M3M4_PR
NEW met2 ( 455630 2083860 ) M2M3_PR_M ;
- o_din0\[8\] ( sram din0[8] ) ( mprj o_din0[8] ) + USE SIGNAL
+ ROUTED met3 ( 297390 645660 ) ( 300380 * 0 )
NEW met2 ( 297390 645660 ) ( * 1169430 )
NEW met1 ( 297390 1169430 ) ( 456090 * )
NEW met4 ( 462030 2099500 ) ( * 2100530 0 )
NEW met4 ( 462030 2099500 ) ( 462300 * )
NEW met4 ( 462300 2090660 ) ( * 2099500 )
NEW met3 ( 456090 2090660 ) ( 462300 * )
NEW met2 ( 456090 1169430 ) ( * 2090660 )
NEW met1 ( 297390 1169430 ) M1M2_PR
NEW met2 ( 297390 645660 ) M2M3_PR_M
NEW met1 ( 456090 1169430 ) M1M2_PR
NEW met3 ( 462300 2090660 ) M3M4_PR
NEW met2 ( 456090 2090660 ) M2M3_PR_M ;
- o_din0\[9\] ( sram din0[9] ) ( mprj o_din0[9] ) + USE SIGNAL
+ ROUTED met2 ( 287730 659940 ) ( * 662150 )
NEW met3 ( 287730 659940 ) ( 300380 * 0 )
NEW met1 ( 261970 662150 ) ( 287730 * )
NEW met2 ( 261970 662150 ) ( * 2086410 )
NEW met4 ( 467470 2099500 ) ( * 2100530 0 )
NEW met4 ( 466900 2099500 ) ( 467470 * )
NEW met4 ( 466900 2090660 ) ( * 2099500 )
NEW met3 ( 462990 2090660 ) ( 466900 * )
NEW met2 ( 462990 2086410 ) ( * 2090660 )
NEW met1 ( 261970 2086410 ) ( 462990 * )
NEW met1 ( 287730 662150 ) M1M2_PR
NEW met2 ( 287730 659940 ) M2M3_PR_M
NEW met1 ( 261970 662150 ) M1M2_PR
NEW met1 ( 261970 2086410 ) M1M2_PR
NEW met3 ( 466900 2090660 ) M3M4_PR
NEW met2 ( 462990 2090660 ) M2M3_PR_M
NEW met1 ( 462990 2086410 ) M1M2_PR ;
- o_din0_1\[0\] ( sram1 din0[0] ) ( mprj o_din0_1[0] ) + USE SIGNAL
+ ROUTED met2 ( 838350 294950 ) ( * 300220 0 )
NEW met1 ( 838350 294950 ) ( 1210950 * )
NEW met4 ( 1215790 2099500 ) ( * 2100530 0 )
NEW met4 ( 1215780 2099500 ) ( 1215790 * )
NEW met4 ( 1215780 2090660 ) ( * 2099500 )
NEW met3 ( 1215550 2090660 ) ( 1215780 * )
NEW met2 ( 1215550 2090490 ) ( * 2090660 )
NEW met1 ( 1210950 2090490 ) ( 1215550 * )
NEW met2 ( 1210950 294950 ) ( * 2090490 )
NEW met1 ( 838350 294950 ) M1M2_PR
NEW met1 ( 1210950 294950 ) M1M2_PR
NEW met3 ( 1215780 2090660 ) M3M4_PR
NEW met2 ( 1215550 2090660 ) M2M3_PR_M
NEW met1 ( 1215550 2090490 ) M1M2_PR
NEW met1 ( 1210950 2090490 ) M1M2_PR
NEW met3 ( 1215780 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[10\] ( sram1 din0[10] ) ( mprj o_din0_1[10] ) + USE SIGNAL
+ ROUTED met4 ( 1272910 2099500 ) ( * 2100530 0 )
NEW met4 ( 1272820 2099500 ) ( 1272910 * )
NEW met4 ( 1272820 2084540 ) ( * 2099500 )
NEW met3 ( 1271670 2084540 ) ( 1272820 * )
NEW met2 ( 1271670 2084030 ) ( * 2084540 )
NEW met3 ( 1122860 723180 0 ) ( 1132750 * )
NEW met2 ( 1132750 723180 ) ( * 724370 )
NEW met1 ( 1132750 724370 ) ( 1252350 * )
NEW met2 ( 1252350 724370 ) ( * 2084030 )
NEW met1 ( 1252350 2084030 ) ( 1271670 * )
NEW met1 ( 1252350 724370 ) M1M2_PR
NEW met3 ( 1272820 2084540 ) M3M4_PR
NEW met2 ( 1271670 2084540 ) M2M3_PR_M
NEW met1 ( 1271670 2084030 ) M1M2_PR
NEW met2 ( 1132750 723180 ) M2M3_PR_M
NEW met1 ( 1132750 724370 ) M1M2_PR
NEW met1 ( 1252350 2084030 ) M1M2_PR ;
- o_din0_1\[11\] ( sram1 din0[11] ) ( mprj o_din0_1[11] ) + USE SIGNAL
+ ROUTED met4 ( 1279710 2099500 ) ( * 2100530 0 )
NEW met4 ( 1279260 2099500 ) ( 1279710 * )
NEW met4 ( 1279260 2083860 ) ( * 2099500 )
NEW met3 ( 1276730 2083860 ) ( 1279260 * )
NEW met2 ( 1276730 779450 ) ( * 2083860 )
NEW met3 ( 1122860 776900 0 ) ( 1132750 * )
NEW met2 ( 1132750 776900 ) ( * 779450 )
NEW met1 ( 1132750 779450 ) ( 1276730 * )
NEW met1 ( 1276730 779450 ) M1M2_PR
NEW met3 ( 1279260 2083860 ) M3M4_PR
NEW met2 ( 1276730 2083860 ) M2M3_PR_M
NEW met2 ( 1132750 776900 ) M2M3_PR_M
NEW met1 ( 1132750 779450 ) M1M2_PR ;
- o_din0_1\[12\] ( sram1 din0[12] ) ( mprj o_din0_1[12] ) + USE SIGNAL
+ ROUTED met3 ( 288650 702100 ) ( 300380 * 0 )
NEW met1 ( 288650 993650 ) ( 300610 * )
NEW met2 ( 288650 702100 ) ( * 993650 )
NEW met2 ( 300610 993650 ) ( * 2089810 )
NEW met4 ( 1285150 2099500 ) ( * 2100530 0 )
NEW met4 ( 1284780 2099500 ) ( 1285150 * )
NEW met4 ( 1284780 2090660 ) ( * 2099500 )
NEW met3 ( 1284550 2090660 ) ( 1284780 * )
NEW met2 ( 1284550 2089810 ) ( * 2090660 )
NEW met1 ( 300610 2089810 ) ( 1284550 * )
NEW met2 ( 288650 702100 ) M2M3_PR_M
NEW met1 ( 288650 993650 ) M1M2_PR
NEW met1 ( 300610 993650 ) M1M2_PR
NEW met1 ( 300610 2089810 ) M1M2_PR
NEW met3 ( 1284780 2090660 ) M3M4_PR
NEW met2 ( 1284550 2090660 ) M2M3_PR_M
NEW met1 ( 1284550 2089810 ) M1M2_PR
NEW met3 ( 1284780 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[13\] ( sram1 din0[13] ) ( mprj o_din0_1[13] ) + USE SIGNAL
+ ROUTED met2 ( 988770 293590 ) ( * 300220 0 )
NEW met4 ( 1289380 2090660 ) ( * 2099500 )
NEW met1 ( 988770 293590 ) ( 1290990 * )
NEW met4 ( 1289380 2090660 ) ( 1290300 * )
NEW met3 ( 1290300 2090660 ) ( 1290990 * )
NEW met4 ( 1290590 2099500 ) ( * 2100530 0 )
NEW met4 ( 1289380 2099500 ) ( 1290590 * )
NEW met2 ( 1290990 293590 ) ( * 2090660 )
NEW met1 ( 988770 293590 ) M1M2_PR
NEW met1 ( 1290990 293590 ) M1M2_PR
NEW met3 ( 1290300 2090660 ) M3M4_PR
NEW met2 ( 1290990 2090660 ) M2M3_PR_M ;
- o_din0_1\[14\] ( sram1 din0[14] ) ( mprj o_din0_1[14] ) + USE SIGNAL
+ ROUTED met1 ( 993830 1146990 ) ( 1000270 * )
NEW met2 ( 993830 1133220 0 ) ( * 1146990 )
NEW met2 ( 1000270 1146990 ) ( * 2087770 )
NEW met4 ( 1297390 2099500 ) ( * 2100530 0 )
NEW met4 ( 1297390 2099500 ) ( 1297660 * )
NEW met4 ( 1297660 2090660 ) ( * 2099500 )
NEW met3 ( 1297430 2090660 ) ( 1297660 * )
NEW met2 ( 1297430 2087770 ) ( * 2090660 )
NEW met1 ( 1000270 2087770 ) ( 1297430 * )
NEW met1 ( 993830 1146990 ) M1M2_PR
NEW met1 ( 1000270 1146990 ) M1M2_PR
NEW met1 ( 1000270 2087770 ) M1M2_PR
NEW met3 ( 1297660 2090660 ) M3M4_PR
NEW met2 ( 1297430 2090660 ) M2M3_PR_M
NEW met1 ( 1297430 2087770 ) M1M2_PR
NEW met3 ( 1297660 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[15\] ( sram1 din0[15] ) ( mprj o_din0_1[15] ) + USE SIGNAL
+ ROUTED met1 ( 1008090 1147330 ) ( 1014070 * )
NEW met2 ( 1008090 1133220 0 ) ( * 1147330 )
NEW met2 ( 1014070 1147330 ) ( * 2088110 )
NEW met4 ( 1303510 2099500 ) ( * 2100530 0 )
NEW met4 ( 1303180 2099500 ) ( 1303510 * )
NEW met4 ( 1303180 2090660 ) ( * 2099500 )
NEW met3 ( 1298810 2090660 ) ( 1303180 * )
NEW met2 ( 1298810 2088110 ) ( * 2090660 )
NEW met1 ( 1014070 2088110 ) ( 1298810 * )
NEW met1 ( 1008090 1147330 ) M1M2_PR
NEW met1 ( 1014070 1147330 ) M1M2_PR
NEW met1 ( 1014070 2088110 ) M1M2_PR
NEW met3 ( 1303180 2090660 ) M3M4_PR
NEW met2 ( 1298810 2090660 ) M2M3_PR_M
NEW met1 ( 1298810 2088110 ) M1M2_PR ;
- o_din0_1\[16\] ( sram1 din0[16] ) ( mprj o_din0_1[16] ) + USE SIGNAL
+ ROUTED met2 ( 1279950 286790 ) ( * 2088790 )
NEW met2 ( 1007630 286790 ) ( * 300220 0 )
NEW met1 ( 1007630 286790 ) ( 1279950 * )
NEW met4 ( 1308950 2099500 ) ( * 2100530 0 )
NEW met4 ( 1308700 2099500 ) ( 1308950 * )
NEW met4 ( 1308700 2090660 ) ( * 2099500 )
NEW met3 ( 1308470 2090660 ) ( 1308700 * )
NEW met2 ( 1308470 2088790 ) ( * 2090660 )
NEW met1 ( 1279950 2088790 ) ( 1308470 * )
NEW met1 ( 1279950 286790 ) M1M2_PR
NEW met1 ( 1279950 2088790 ) M1M2_PR
NEW met1 ( 1007630 286790 ) M1M2_PR
NEW met3 ( 1308700 2090660 ) M3M4_PR
NEW met2 ( 1308470 2090660 ) M2M3_PR_M
NEW met1 ( 1308470 2088790 ) M1M2_PR
NEW met3 ( 1308700 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[17\] ( sram1 din0[17] ) ( mprj o_din0_1[17] ) + USE SIGNAL
+ ROUTED met3 ( 1122860 884340 0 ) ( 1133210 * )
NEW met2 ( 1133210 884340 ) ( * 889950 )
NEW met1 ( 1133210 889950 ) ( 1311230 * )
NEW met4 ( 1314390 2099500 ) ( * 2100530 0 )
NEW met4 ( 1314220 2099500 ) ( 1314390 * )
NEW met4 ( 1314220 2083860 ) ( * 2099500 )
NEW met3 ( 1311230 2083860 ) ( 1314220 * )
NEW met2 ( 1311230 889950 ) ( * 2083860 )
NEW met2 ( 1133210 884340 ) M2M3_PR_M
NEW met1 ( 1133210 889950 ) M1M2_PR
NEW met1 ( 1311230 889950 ) M1M2_PR
NEW met3 ( 1314220 2083860 ) M3M4_PR
NEW met2 ( 1311230 2083860 ) M2M3_PR_M ;
- o_din0_1\[18\] ( sram1 din0[18] ) ( mprj o_din0_1[18] ) + USE SIGNAL
+ ROUTED met2 ( 287730 857820 ) ( * 862410 )
NEW met3 ( 287730 857820 ) ( 300380 * 0 )
NEW met1 ( 261510 862410 ) ( 287730 * )
NEW met2 ( 261510 862410 ) ( * 2081310 )
NEW met4 ( 1319830 2099500 ) ( * 2100530 0 )
NEW met4 ( 1319740 2099500 ) ( 1319830 * )
NEW met4 ( 1319740 2090660 ) ( * 2099500 )
NEW met3 ( 1319510 2090660 ) ( 1319740 * )
NEW met2 ( 1319510 2081310 ) ( * 2090660 )
NEW met1 ( 261510 2081310 ) ( 1319510 * )
NEW met1 ( 287730 862410 ) M1M2_PR
NEW met2 ( 287730 857820 ) M2M3_PR_M
NEW met1 ( 261510 862410 ) M1M2_PR
NEW met1 ( 261510 2081310 ) M1M2_PR
NEW met3 ( 1319740 2090660 ) M3M4_PR
NEW met2 ( 1319510 2090660 ) M2M3_PR_M
NEW met1 ( 1319510 2081310 ) M1M2_PR
NEW met3 ( 1319740 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[19\] ( sram1 din0[19] ) ( mprj o_din0_1[19] ) + USE SIGNAL
+ ROUTED met3 ( 1122860 910860 0 ) ( 1133670 * )
NEW met2 ( 1133670 910860 ) ( * 917490 )
NEW met1 ( 1133670 917490 ) ( 1321350 * )
NEW met4 ( 1326630 2099500 ) ( * 2100530 0 )
NEW met4 ( 1326180 2099500 ) ( 1326630 * )
NEW met4 ( 1326180 2090660 ) ( * 2099500 )
NEW met3 ( 1325950 2090660 ) ( 1326180 * )
NEW met2 ( 1325950 2084030 ) ( * 2090660 )
NEW met1 ( 1321350 2084030 ) ( 1325950 * )
NEW met2 ( 1321350 917490 ) ( * 2084030 )
NEW met2 ( 1133670 910860 ) M2M3_PR_M
NEW met1 ( 1133670 917490 ) M1M2_PR
NEW met1 ( 1321350 917490 ) M1M2_PR
NEW met3 ( 1326180 2090660 ) M3M4_PR
NEW met2 ( 1325950 2090660 ) M2M3_PR_M
NEW met1 ( 1325950 2084030 ) M1M2_PR
NEW met1 ( 1321350 2084030 ) M1M2_PR
NEW met3 ( 1326180 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[1\] ( sram1 din0[1] ) ( mprj o_din0_1[1] ) + USE SIGNAL
+ ROUTED met2 ( 861810 285090 ) ( * 300220 0 )
NEW met1 ( 861810 285090 ) ( 1121250 * )
NEW met4 ( 1221230 2099500 ) ( * 2100530 0 )
NEW met4 ( 1221230 2099500 ) ( 1221300 * )
NEW met2 ( 1221530 2086750 ) ( * 2090660 )
NEW met3 ( 1221300 2090660 ) ( 1221530 * )
NEW met4 ( 1221300 2090660 ) ( * 2099500 )
NEW met2 ( 1121250 285090 ) ( * 2086750 )
NEW met1 ( 1121250 2086750 ) ( 1221530 * )
NEW met1 ( 861810 285090 ) M1M2_PR
NEW met1 ( 1121250 285090 ) M1M2_PR
NEW met1 ( 1221530 2086750 ) M1M2_PR
NEW met2 ( 1221530 2090660 ) M2M3_PR_M
NEW met3 ( 1221300 2090660 ) M3M4_PR
NEW met1 ( 1121250 2086750 ) M1M2_PR
NEW met3 ( 1221530 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[20\] ( sram1 din0[20] ) ( mprj o_din0_1[20] ) + USE SIGNAL
+ ROUTED met1 ( 1042590 1151750 ) ( 1122170 * )
NEW met2 ( 1042590 1133220 0 ) ( * 1151750 )
NEW met4 ( 1332070 2099500 ) ( * 2100530 0 )
NEW met4 ( 1331700 2099500 ) ( 1332070 * )
NEW met4 ( 1331700 2090660 ) ( * 2099500 )
NEW met3 ( 1331700 2090660 ) ( 1331930 * )
NEW met2 ( 1331930 2086410 ) ( * 2090660 )
NEW met2 ( 1122170 1151750 ) ( * 2086410 )
NEW met1 ( 1122170 2086410 ) ( 1331930 * )
NEW met1 ( 1042590 1151750 ) M1M2_PR
NEW met1 ( 1122170 1151750 ) M1M2_PR
NEW met3 ( 1331700 2090660 ) M3M4_PR
NEW met2 ( 1331930 2090660 ) M2M3_PR_M
NEW met1 ( 1331930 2086410 ) M1M2_PR
NEW met1 ( 1122170 2086410 ) M1M2_PR
NEW met3 ( 1331700 2090660 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[21\] ( sram1 din0[21] ) ( mprj o_din0_1[21] ) + USE SIGNAL
+ ROUTED met2 ( 1052250 1133220 0 ) ( 1054090 * )
NEW met2 ( 1054090 1133220 ) ( * 1150730 )
NEW met1 ( 1054090 1150730 ) ( 1332390 * )
NEW met4 ( 1338190 2099500 ) ( * 2100530 0 )
NEW met4 ( 1338140 2099500 ) ( 1338190 * )
NEW met4 ( 1338140 2083860 ) ( * 2099500 )
NEW met3 ( 1332390 2083860 ) ( 1338140 * )
NEW met2 ( 1332390 1150730 ) ( * 2083860 )
NEW met1 ( 1054090 1150730 ) M1M2_PR
NEW met1 ( 1332390 1150730 ) M1M2_PR
NEW met3 ( 1338140 2083860 ) M3M4_PR
NEW met2 ( 1332390 2083860 ) M2M3_PR_M ;
- o_din0_1\[22\] ( sram1 din0[22] ) ( mprj o_din0_1[22] ) + USE SIGNAL
+ ROUTED met2 ( 1066510 1133220 0 ) ( * 1146990 )
NEW met4 ( 1343630 2099500 ) ( * 2100530 0 )
NEW met4 ( 1343630 2099500 ) ( 1343660 * )
NEW met4 ( 1343660 2090660 ) ( * 2099500 )
NEW met3 ( 1342510 2090660 ) ( 1343660 * )
NEW met2 ( 1342510 2086070 ) ( * 2090660 )
NEW met1 ( 1066510 1146990 ) ( 1114350 * )
NEW met2 ( 1114350 1146990 ) ( * 2086070 )
NEW met1 ( 1114350 2086070 ) ( 1342510 * )
NEW met1 ( 1066510 1146990 ) M1M2_PR
NEW met3 ( 1343660 2090660 ) M3M4_PR
NEW met2 ( 1342510 2090660 ) M2M3_PR_M
NEW met1 ( 1342510 2086070 ) M1M2_PR
NEW met1 ( 1114350 1146990 ) M1M2_PR
NEW met1 ( 1114350 2086070 ) M1M2_PR ;
- o_din0_1\[23\] ( sram1 din0[23] ) ( mprj o_din0_1[23] ) + USE SIGNAL
+ ROUTED met2 ( 1054550 288150 ) ( * 300220 0 )
NEW met4 ( 1349070 2099500 ) ( * 2100530 0 )
NEW met4 ( 1349070 2099500 ) ( 1349180 * )
NEW met4 ( 1349180 2083860 ) ( * 2099500 )
NEW met3 ( 1345730 2083860 ) ( 1349180 * )
NEW met2 ( 1345730 288150 ) ( * 2083860 )
NEW met1 ( 1054550 288150 ) ( 1345730 * )
NEW met1 ( 1054550 288150 ) M1M2_PR
NEW met1 ( 1345730 288150 ) M1M2_PR
NEW met3 ( 1349180 2083860 ) M3M4_PR
NEW met2 ( 1345730 2083860 ) M2M3_PR_M ;
- o_din0_1\[24\] ( sram1 din0[24] ) ( mprj o_din0_1[24] ) + USE SIGNAL
+ ROUTED met2 ( 1081230 1133220 0 ) ( * 1151070 )
NEW met4 ( 1355870 2099500 ) ( * 2100530 0 )
NEW met4 ( 1355870 2099500 ) ( 1356540 * )
NEW met4 ( 1356540 2090660 ) ( * 2099500 )
NEW met3 ( 1356310 2090660 ) ( 1356540 * )
NEW met2 ( 1356310 2088450 ) ( * 2090660 )
NEW met1 ( 1081230 1151070 ) ( 1266150 * )
NEW met2 ( 1266150 1151070 ) ( * 2088450 )
NEW met1 ( 1266150 2088450 ) ( 1356310 * )
NEW met1 ( 1081230 1151070 ) M1M2_PR
NEW met1 ( 1266150 1151070 ) M1M2_PR
NEW met3 ( 1356540 2090660 ) M3M4_PR
NEW met2 ( 1356310 2090660 ) M2M3_PR_M
NEW met1 ( 1356310 2088450 ) M1M2_PR
NEW met1 ( 1266150 2088450 ) M1M2_PR
NEW met3 ( 1356540 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[25\] ( sram1 din0[25] ) ( mprj o_din0_1[25] ) + USE SIGNAL
+ ROUTED met4 ( 1361310 2099500 ) ( * 2100530 0 )
NEW met4 ( 1361140 2099500 ) ( 1361310 * )
NEW met4 ( 1361140 2090660 ) ( * 2099500 )
NEW met3 ( 1360910 2090660 ) ( 1361140 * )
NEW met2 ( 1360910 2087430 ) ( * 2090660 )
NEW met3 ( 1122860 1018980 0 ) ( 1131830 * )
NEW met2 ( 1131830 1018980 ) ( * 1021190 )
NEW met1 ( 1131830 1021190 ) ( 1225210 * )
NEW met2 ( 1225210 1021190 ) ( * 2087430 )
NEW met1 ( 1225210 2087430 ) ( 1360910 * )
NEW met3 ( 1361140 2090660 ) M3M4_PR
NEW met2 ( 1360910 2090660 ) M2M3_PR_M
NEW met1 ( 1360910 2087430 ) M1M2_PR
NEW met2 ( 1131830 1018980 ) M2M3_PR_M
NEW met1 ( 1131830 1021190 ) M1M2_PR
NEW met1 ( 1225210 1021190 ) M1M2_PR
NEW met1 ( 1225210 2087430 ) M1M2_PR
NEW met3 ( 1361140 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[26\] ( sram1 din0[26] ) ( mprj o_din0_1[26] ) + USE SIGNAL
+ ROUTED met2 ( 1095950 1133220 0 ) ( * 1149370 )
NEW met4 ( 1366750 2099500 ) ( * 2100530 0 )
NEW met4 ( 1366660 2099500 ) ( 1366750 * )
NEW met4 ( 1366660 2090660 ) ( * 2099500 )
NEW met3 ( 1366430 2090660 ) ( 1366660 * )
NEW met2 ( 1366430 2089130 ) ( * 2090660 )
NEW met1 ( 1095950 1149370 ) ( 1293750 * )
NEW met2 ( 1293750 1149370 ) ( * 2089130 )
NEW met1 ( 1293750 2089130 ) ( 1366430 * )
NEW met1 ( 1095950 1149370 ) M1M2_PR
NEW met3 ( 1366660 2090660 ) M3M4_PR
NEW met2 ( 1366430 2090660 ) M2M3_PR_M
NEW met1 ( 1366430 2089130 ) M1M2_PR
NEW met1 ( 1293750 1149370 ) M1M2_PR
NEW met1 ( 1293750 2089130 ) M1M2_PR
NEW met3 ( 1366660 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[27\] ( sram1 din0[27] ) ( mprj o_din0_1[27] ) + USE SIGNAL
+ ROUTED met3 ( 283590 1027140 ) ( 300380 * 0 )
NEW met1 ( 283590 1119110 ) ( 299690 * )
NEW met2 ( 283590 1027140 ) ( * 1119110 )
NEW met2 ( 299690 1119110 ) ( * 2090150 )
NEW met4 ( 1372190 2099500 ) ( * 2100530 0 )
NEW met4 ( 1372180 2099500 ) ( 1372190 * )
NEW met4 ( 1372180 2090660 ) ( * 2099500 )
NEW met3 ( 1371950 2090660 ) ( 1372180 * )
NEW met2 ( 1371950 2090150 ) ( * 2090660 )
NEW met1 ( 299690 2090150 ) ( 1371950 * )
NEW met2 ( 283590 1027140 ) M2M3_PR_M
NEW met1 ( 283590 1119110 ) M1M2_PR
NEW met1 ( 299690 1119110 ) M1M2_PR
NEW met1 ( 299690 2090150 ) M1M2_PR
NEW met3 ( 1372180 2090660 ) M3M4_PR
NEW met2 ( 1371950 2090660 ) M2M3_PR_M
NEW met1 ( 1371950 2090150 ) M1M2_PR
NEW met3 ( 1372180 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[28\] ( sram1 din0[28] ) ( mprj o_din0_1[28] ) + USE SIGNAL
+ ROUTED met4 ( 1378990 2099500 ) ( * 2100530 0 )
NEW met4 ( 1378620 2099500 ) ( 1378990 * )
NEW met4 ( 1378620 2090660 ) ( * 2099500 )
NEW met3 ( 1373790 2090660 ) ( 1378620 * )
NEW met2 ( 1373790 2087090 ) ( * 2090660 )
NEW met1 ( 1105610 1151410 ) ( 1232110 * )
NEW met2 ( 1105610 1133220 0 ) ( * 1151410 )
NEW met1 ( 1290300 2086750 ) ( * 2087090 )
NEW met1 ( 1290300 2087090 ) ( 1373790 * )
NEW met2 ( 1232110 1151410 ) ( * 2086750 )
NEW met1 ( 1232110 2086750 ) ( 1290300 * )
NEW met3 ( 1378620 2090660 ) M3M4_PR
NEW met2 ( 1373790 2090660 ) M2M3_PR_M
NEW met1 ( 1373790 2087090 ) M1M2_PR
NEW met1 ( 1105610 1151410 ) M1M2_PR
NEW met1 ( 1232110 1151410 ) M1M2_PR
NEW met1 ( 1232110 2086750 ) M1M2_PR ;
- o_din0_1\[29\] ( sram1 din0[29] ) ( mprj o_din0_1[29] ) + USE SIGNAL
+ ROUTED met2 ( 1096870 287130 ) ( * 300220 0 )
NEW met4 ( 1385110 2099500 ) ( * 2100530 0 )
NEW met4 ( 1385060 2099500 ) ( 1385110 * )
NEW met4 ( 1385060 2083860 ) ( * 2099500 )
NEW met3 ( 1380230 2083860 ) ( 1385060 * )
NEW met2 ( 1380230 287130 ) ( * 2083860 )
NEW met1 ( 1096870 287130 ) ( 1380230 * )
NEW met1 ( 1096870 287130 ) M1M2_PR
NEW met1 ( 1380230 287130 ) M1M2_PR
NEW met3 ( 1385060 2083860 ) M3M4_PR
NEW met2 ( 1380230 2083860 ) M2M3_PR_M ;
- o_din0_1\[2\] ( sram1 din0[2] ) ( mprj o_din0_1[2] ) + USE SIGNAL
+ ROUTED met1 ( 881590 1151410 ) ( 886650 * )
NEW met2 ( 881590 1133220 0 ) ( * 1151410 )
NEW met2 ( 886650 1151410 ) ( * 2089470 )
NEW met4 ( 1227350 2099500 ) ( * 2100530 0 )
NEW met4 ( 1226820 2099500 ) ( 1227350 * )
NEW met2 ( 1224290 2089470 ) ( * 2089980 )
NEW met3 ( 1224290 2089980 ) ( 1226820 * )
NEW met4 ( 1226820 2089980 ) ( * 2099500 )
NEW met1 ( 886650 2089470 ) ( 1224290 * )
NEW met1 ( 881590 1151410 ) M1M2_PR
NEW met1 ( 886650 1151410 ) M1M2_PR
NEW met1 ( 886650 2089470 ) M1M2_PR
NEW met1 ( 1224290 2089470 ) M1M2_PR
NEW met2 ( 1224290 2089980 ) M2M3_PR_M
NEW met3 ( 1226820 2089980 ) M3M4_PR ;
- o_din0_1\[30\] ( sram1 din0[30] ) ( mprj o_din0_1[30] ) + USE SIGNAL
+ ROUTED met2 ( 1111130 286450 ) ( * 300220 0 )
NEW met1 ( 1111130 286450 ) ( 1217850 * )
NEW met2 ( 1217850 286450 ) ( * 2090490 )
NEW met4 ( 1390550 2099500 ) ( * 2100530 0 )
NEW met4 ( 1390550 2099500 ) ( 1390580 * )
NEW met4 ( 1390580 2090660 ) ( * 2099500 )
NEW met3 ( 1390350 2090660 ) ( 1390580 * )
NEW met2 ( 1390350 2090490 ) ( * 2090660 )
NEW met1 ( 1217850 2090490 ) ( 1390350 * )
NEW met1 ( 1111130 286450 ) M1M2_PR
NEW met1 ( 1217850 286450 ) M1M2_PR
NEW met1 ( 1217850 2090490 ) M1M2_PR
NEW met3 ( 1390580 2090660 ) M3M4_PR
NEW met2 ( 1390350 2090660 ) M2M3_PR_M
NEW met1 ( 1390350 2090490 ) M1M2_PR
NEW met3 ( 1390580 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[31\] ( sram1 din0[31] ) ( mprj o_din0_1[31] ) + USE SIGNAL
+ ROUTED met3 ( 303140 1126420 0 ) ( * 1130500 )
NEW met3 ( 303140 1130500 ) ( 307970 * )
NEW met2 ( 307970 1130500 ) ( * 2080970 )
NEW met4 ( 1395990 2099500 ) ( * 2100530 0 )
NEW met4 ( 1395990 2099500 ) ( 1396100 * )
NEW met4 ( 1396100 2090660 ) ( * 2099500 )
NEW met3 ( 1395870 2090660 ) ( 1396100 * )
NEW met2 ( 1395870 2080970 ) ( * 2090660 )
NEW met1 ( 307970 2080970 ) ( 1395870 * )
NEW met2 ( 307970 1130500 ) M2M3_PR_M
NEW met1 ( 307970 2080970 ) M1M2_PR
NEW met3 ( 1396100 2090660 ) M3M4_PR
NEW met2 ( 1395870 2090660 ) M2M3_PR_M
NEW met1 ( 1395870 2080970 ) M1M2_PR
NEW met3 ( 1396100 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_din0_1\[3\] ( sram1 din0[3] ) ( mprj o_din0_1[3] ) + USE SIGNAL
+ ROUTED met2 ( 899530 284410 ) ( * 300220 0 )
NEW met1 ( 899530 284410 ) ( 1224750 * )
NEW met4 ( 1232790 2099500 ) ( * 2100530 0 )
NEW met4 ( 1232340 2099500 ) ( 1232790 * )
NEW met3 ( 1224750 2083860 ) ( 1232340 * )
NEW met2 ( 1224750 284410 ) ( * 2083860 )
NEW met4 ( 1232340 2083860 ) ( * 2099500 )
NEW met1 ( 899530 284410 ) M1M2_PR
NEW met1 ( 1224750 284410 ) M1M2_PR
NEW met2 ( 1224750 2083860 ) M2M3_PR_M
NEW met3 ( 1232340 2083860 ) M3M4_PR ;
- o_din0_1\[4\] ( sram1 din0[4] ) ( mprj o_din0_1[4] ) + USE SIGNAL
+ ROUTED met3 ( 286350 476340 ) ( 300380 * 0 )
NEW met2 ( 286350 476340 ) ( * 1125910 )
NEW li1 ( 355350 1125910 ) ( * 1131350 )
NEW met1 ( 286350 1125910 ) ( 355350 * )
NEW met2 ( 355350 1131350 ) ( * 2084370 )
NEW met4 ( 1238230 2099500 ) ( * 2100530 0 )
NEW met4 ( 1237860 2099500 ) ( 1238230 * )
NEW met1 ( 1193700 2084030 ) ( * 2084370 )
NEW met1 ( 1193700 2084030 ) ( 1221300 * )
NEW met1 ( 1221300 2084030 ) ( * 2084370 )
NEW met1 ( 1221300 2084370 ) ( 1235330 * )
NEW met2 ( 1235330 2084370 ) ( * 2090660 )
NEW met3 ( 1235330 2090660 ) ( 1237860 * )
NEW met4 ( 1237860 2090660 ) ( * 2099500 )
NEW met1 ( 355350 2084370 ) ( 1193700 * )
NEW met2 ( 286350 476340 ) M2M3_PR_M
NEW met1 ( 286350 1125910 ) M1M2_PR
NEW li1 ( 355350 1125910 ) L1M1_PR_MR
NEW li1 ( 355350 1131350 ) L1M1_PR_MR
NEW met1 ( 355350 1131350 ) M1M2_PR
NEW met1 ( 355350 2084370 ) M1M2_PR
NEW met1 ( 1235330 2084370 ) M1M2_PR
NEW met2 ( 1235330 2090660 ) M2M3_PR_M
NEW met3 ( 1237860 2090660 ) M3M4_PR
NEW met1 ( 355350 1131350 ) RECT ( -355 -70 0 70 ) ;
- o_din0_1\[5\] ( sram1 din0[5] ) ( mprj o_din0_1[5] ) + USE SIGNAL
+ ROUTED met4 ( 1243670 2099500 ) ( * 2100530 0 )
NEW met4 ( 1243380 2099500 ) ( 1243670 * )
NEW met2 ( 927590 283730 ) ( * 300220 0 )
NEW met1 ( 927590 283730 ) ( 1242230 * )
NEW met3 ( 1242230 2083860 ) ( 1243380 * )
NEW met2 ( 1242230 283730 ) ( * 2083860 )
NEW met4 ( 1243380 2083860 ) ( * 2099500 )
NEW met1 ( 1242230 283730 ) M1M2_PR
NEW met1 ( 927590 283730 ) M1M2_PR
NEW met2 ( 1242230 2083860 ) M2M3_PR_M
NEW met3 ( 1243380 2083860 ) M3M4_PR ;
- o_din0_1\[6\] ( sram1 din0[6] ) ( mprj o_din0_1[6] ) + USE SIGNAL
+ ROUTED met4 ( 1250470 2099500 ) ( * 2100530 0 )
NEW met4 ( 1250470 2099500 ) ( 1250740 * )
NEW met1 ( 920690 1148010 ) ( 950130 * )
NEW li1 ( 950130 1148010 ) ( * 1149710 )
NEW met1 ( 950130 1149710 ) ( 1249590 * )
NEW met2 ( 920690 1133220 0 ) ( * 1148010 )
NEW met3 ( 1249590 2083860 ) ( 1250740 * )
NEW met2 ( 1249590 1149710 ) ( * 2083860 )
NEW met4 ( 1250740 2083860 ) ( * 2099500 )
NEW met1 ( 1249590 1149710 ) M1M2_PR
NEW met1 ( 920690 1148010 ) M1M2_PR
NEW li1 ( 950130 1148010 ) L1M1_PR_MR
NEW li1 ( 950130 1149710 ) L1M1_PR_MR
NEW met2 ( 1249590 2083860 ) M2M3_PR_M
NEW met3 ( 1250740 2083860 ) M3M4_PR ;
- o_din0_1\[7\] ( sram1 din0[7] ) ( mprj o_din0_1[7] ) + USE SIGNAL
+ ROUTED met4 ( 1256590 2099500 ) ( * 2100530 0 )
NEW met4 ( 1256260 2099500 ) ( 1256590 * )
NEW met1 ( 935410 1149710 ) ( 949210 * )
NEW met1 ( 949210 1149710 ) ( * 1150050 )
NEW met1 ( 949210 1150050 ) ( 1256030 * )
NEW met2 ( 935410 1133220 0 ) ( * 1149710 )
NEW met3 ( 1256030 2083860 ) ( 1256260 * )
NEW met2 ( 1256030 1150050 ) ( * 2083860 )
NEW met4 ( 1256260 2083860 ) ( * 2099500 )
NEW met1 ( 1256030 1150050 ) M1M2_PR
NEW met1 ( 935410 1149710 ) M1M2_PR
NEW met2 ( 1256030 2083860 ) M2M3_PR_M
NEW met3 ( 1256260 2083860 ) M3M4_PR
NEW met3 ( 1256030 2083860 ) RECT ( -390 -150 0 150 ) ;
- o_din0_1\[8\] ( sram1 din0[8] ) ( mprj o_din0_1[8] ) + USE SIGNAL
+ ROUTED met3 ( 285430 631380 ) ( 300380 * 0 )
NEW met2 ( 285430 631380 ) ( * 1135770 )
NEW met1 ( 983250 2087770 ) ( 992910 * )
NEW li1 ( 992910 2087770 ) ( * 2088450 )
NEW met2 ( 983250 1135770 ) ( * 2087770 )
NEW met4 ( 1262030 2099500 ) ( * 2100530 0 )
NEW met4 ( 1261780 2099500 ) ( 1262030 * )
NEW met1 ( 285430 1135770 ) ( 983250 * )
NEW met2 ( 1256030 2088450 ) ( * 2088620 )
NEW met3 ( 1256030 2088620 ) ( 1261780 * )
NEW met4 ( 1261780 2088620 ) ( * 2099500 )
NEW met1 ( 992910 2088450 ) ( 1256030 * )
NEW met2 ( 285430 631380 ) M2M3_PR_M
NEW met1 ( 285430 1135770 ) M1M2_PR
NEW met1 ( 983250 1135770 ) M1M2_PR
NEW met1 ( 983250 2087770 ) M1M2_PR
NEW li1 ( 992910 2087770 ) L1M1_PR_MR
NEW li1 ( 992910 2088450 ) L1M1_PR_MR
NEW met1 ( 1256030 2088450 ) M1M2_PR
NEW met2 ( 1256030 2088620 ) M2M3_PR_M
NEW met3 ( 1261780 2088620 ) M3M4_PR ;
- o_din0_1\[9\] ( sram1 din0[9] ) ( mprj o_din0_1[9] ) + USE SIGNAL
+ ROUTED met4 ( 1267470 2099500 ) ( * 2100530 0 )
NEW met4 ( 1267300 2099500 ) ( 1267470 * )
NEW met3 ( 1122860 709580 0 ) ( 1132750 * )
NEW met2 ( 1132750 709580 ) ( * 710430 )
NEW met1 ( 1132750 710430 ) ( 1263390 * )
NEW met3 ( 1263390 2083860 ) ( 1267300 * )
NEW met2 ( 1263390 710430 ) ( * 2083860 )
NEW met4 ( 1267300 2083860 ) ( * 2099500 )
NEW met1 ( 1263390 710430 ) M1M2_PR
NEW met2 ( 1132750 709580 ) M2M3_PR_M
NEW met1 ( 1132750 710430 ) M1M2_PR
NEW met2 ( 1263390 2083860 ) M2M3_PR_M
NEW met3 ( 1267300 2083860 ) M3M4_PR ;
- o_waddr0\[0\] ( sram addr0[0] ) ( mprj o_waddr0[0] ) + USE SIGNAL
+ ROUTED met4 ( 380430 2099500 ) ( * 2100530 0 )
NEW met4 ( 380420 2099500 ) ( 380430 * )
NEW met4 ( 380420 2083860 ) ( * 2099500 )
NEW met3 ( 380420 2083860 ) ( 385710 * )
NEW met2 ( 385710 1135090 ) ( * 2083860 )
NEW met3 ( 1122860 332860 0 ) ( 1131830 * )
NEW met2 ( 1131830 332860 ) ( * 335410 )
NEW met1 ( 1131830 335410 ) ( 1141490 * )
NEW met1 ( 385710 1135090 ) ( 1141490 * )
NEW met2 ( 1141490 335410 ) ( * 1135090 )
NEW met1 ( 385710 1135090 ) M1M2_PR
NEW met3 ( 380420 2083860 ) M3M4_PR
NEW met2 ( 385710 2083860 ) M2M3_PR_M
NEW met2 ( 1131830 332860 ) M2M3_PR_M
NEW met1 ( 1131830 335410 ) M1M2_PR
NEW met1 ( 1141490 335410 ) M1M2_PR
NEW met1 ( 1141490 1135090 ) M1M2_PR ;
- o_waddr0\[1\] ( sram addr0[1] ) ( mprj o_waddr0[1] ) + USE SIGNAL
+ ROUTED met1 ( 287730 589050 ) ( 300150 * )
NEW met3 ( 287730 363460 ) ( 300380 * 0 )
NEW met2 ( 287730 363460 ) ( * 589050 )
NEW met2 ( 300150 589050 ) ( * 2084710 )
NEW met4 ( 385870 2099500 ) ( * 2100530 0 )
NEW met4 ( 385870 2099500 ) ( 385940 * )
NEW met4 ( 385940 2090660 ) ( * 2099500 )
NEW met3 ( 380190 2090660 ) ( 385940 * )
NEW met2 ( 380190 2084710 ) ( * 2090660 )
NEW met1 ( 300150 2084710 ) ( 380190 * )
NEW met1 ( 287730 589050 ) M1M2_PR
NEW met1 ( 300150 589050 ) M1M2_PR
NEW met2 ( 287730 363460 ) M2M3_PR_M
NEW met1 ( 300150 2084710 ) M1M2_PR
NEW met3 ( 385940 2090660 ) M3M4_PR
NEW met2 ( 380190 2090660 ) M2M3_PR_M
NEW met1 ( 380190 2084710 ) M1M2_PR ;
- o_waddr0\[2\] ( sram addr0[2] ) ( mprj o_waddr0[2] ) + USE SIGNAL
+ ROUTED met3 ( 286810 2240940 ) ( 297620 * )
NEW met3 ( 297620 2240940 ) ( * 2240950 )
NEW met3 ( 297620 2240950 ) ( 300160 * 0 )
NEW met2 ( 885270 289170 ) ( * 300220 0 )
NEW met1 ( 286810 945710 ) ( 293710 * )
NEW met2 ( 293710 289170 ) ( * 945710 )
NEW met2 ( 286810 945710 ) ( * 2240940 )
NEW met1 ( 293710 289170 ) ( 885270 * )
NEW met1 ( 293710 289170 ) M1M2_PR
NEW met2 ( 286810 2240940 ) M2M3_PR_M
NEW met1 ( 885270 289170 ) M1M2_PR
NEW met1 ( 286810 945710 ) M1M2_PR
NEW met1 ( 293710 945710 ) M1M2_PR ;
- o_waddr0\[3\] ( sram addr0[3] ) ( mprj o_waddr0[3] ) + USE SIGNAL
+ ROUTED met3 ( 288650 2249780 ) ( 297620 * )
NEW met3 ( 297620 2249780 ) ( * 2249790 )
NEW met3 ( 297620 2249790 ) ( 300160 * 0 )
NEW met2 ( 288650 2094230 ) ( * 2249780 )
NEW met3 ( 1122860 467500 0 ) ( 1132290 * )
NEW met2 ( 1132290 467500 ) ( * 467670 )
NEW met1 ( 1132290 467670 ) ( 1140110 * )
NEW met1 ( 288650 2094230 ) ( 1140110 * )
NEW met2 ( 1140110 467670 ) ( * 2094230 )
NEW met2 ( 288650 2249780 ) M2M3_PR_M
NEW met1 ( 288650 2094230 ) M1M2_PR
NEW met2 ( 1132290 467500 ) M2M3_PR_M
NEW met1 ( 1132290 467670 ) M1M2_PR
NEW met1 ( 1140110 467670 ) M1M2_PR
NEW met1 ( 1140110 2094230 ) M1M2_PR ;
- o_waddr0\[4\] ( sram addr0[4] ) ( mprj o_waddr0[4] ) + USE SIGNAL
+ ROUTED met3 ( 287270 2255220 ) ( 297620 * )
NEW met3 ( 297620 2255220 ) ( * 2255230 )
NEW met3 ( 297620 2255230 ) ( 300160 * 0 )
NEW met1 ( 287270 842350 ) ( 294170 * )
NEW met2 ( 294170 283050 ) ( * 842350 )
NEW met2 ( 287270 842350 ) ( * 2255220 )
NEW met2 ( 922990 283050 ) ( * 300220 0 )
NEW met1 ( 294170 283050 ) ( 922990 * )
NEW met1 ( 294170 283050 ) M1M2_PR
NEW met2 ( 287270 2255220 ) M2M3_PR_M
NEW met1 ( 287270 842350 ) M1M2_PR
NEW met1 ( 294170 842350 ) M1M2_PR
NEW met1 ( 922990 283050 ) M1M2_PR ;
- o_waddr0\[5\] ( sram addr0[5] ) ( mprj o_waddr0[5] ) + USE SIGNAL
+ ROUTED met2 ( 493350 288150 ) ( * 293250 )
NEW met3 ( 289110 2264060 ) ( 297620 * )
NEW met3 ( 297620 2264060 ) ( * 2264070 )
NEW met3 ( 297620 2264070 ) ( 300160 * 0 )
NEW met2 ( 289110 293250 ) ( * 2264060 )
NEW met1 ( 289110 293250 ) ( 493350 * )
NEW met2 ( 937250 288150 ) ( * 300220 0 )
NEW met1 ( 493350 288150 ) ( 937250 * )
NEW met1 ( 289110 293250 ) M1M2_PR
NEW met1 ( 493350 293250 ) M1M2_PR
NEW met1 ( 493350 288150 ) M1M2_PR
NEW met2 ( 289110 2264060 ) M2M3_PR_M
NEW met1 ( 937250 288150 ) M1M2_PR ;
- o_waddr0\[6\] ( sram addr0[6] ) ( mprj o_waddr0[6] ) + USE SIGNAL
+ ROUTED met3 ( 288190 547060 ) ( 300380 * 0 )
NEW met3 ( 288190 2268820 ) ( 297620 * )
NEW met3 ( 297620 2268820 ) ( * 2268830 )
NEW met3 ( 297620 2268830 ) ( 300160 * 0 )
NEW met2 ( 288190 547060 ) ( * 2268820 )
NEW met2 ( 288190 547060 ) M2M3_PR_M
NEW met2 ( 288190 2268820 ) M2M3_PR_M ;
- o_waddr0\[7\] ( sram addr0[7] ) ( mprj o_waddr0[7] ) + USE SIGNAL
+ ROUTED met3 ( 283130 589220 ) ( 300380 * 0 )
NEW met3 ( 283130 2279700 ) ( 297620 * )
NEW met3 ( 297620 2279700 ) ( * 2279710 )
NEW met3 ( 297620 2279710 ) ( 300160 * 0 )
NEW met2 ( 283130 589220 ) ( * 2279700 )
NEW met2 ( 283130 589220 ) M2M3_PR_M
NEW met2 ( 283130 2279700 ) M2M3_PR_M ;
- o_waddr0\[8\] ( sram addr0[8] ) ( mprj o_waddr0[8] ) + USE SIGNAL
+ ROUTED met3 ( 285890 2284460 ) ( 297620 * )
NEW met3 ( 297620 2284460 ) ( * 2284470 )
NEW met3 ( 297620 2284470 ) ( 300160 * 0 )
NEW met2 ( 285890 2094570 ) ( * 2284460 )
NEW met3 ( 1122860 682380 0 ) ( 1132750 * )
NEW met2 ( 1132750 682380 ) ( * 682550 )
NEW met1 ( 1132750 682550 ) ( 1141030 * )
NEW met1 ( 285890 2094570 ) ( 1141030 * )
NEW met2 ( 1141030 682550 ) ( * 2094570 )
NEW met1 ( 285890 2094570 ) M1M2_PR
NEW met2 ( 285890 2284460 ) M2M3_PR_M
NEW met2 ( 1132750 682380 ) M2M3_PR_M
NEW met1 ( 1132750 682550 ) M1M2_PR
NEW met1 ( 1141030 682550 ) M1M2_PR
NEW met1 ( 1141030 2094570 ) M1M2_PR ;
- o_waddr0_1\[0\] ( sram1 addr0[0] ) ( mprj o_waddr0_1[0] ) + USE SIGNAL
+ ROUTED met4 ( 1180430 2099500 ) ( * 2100530 0 )
NEW met4 ( 1179900 2099500 ) ( 1180430 * )
NEW met4 ( 1179900 2090660 ) ( * 2099500 )
NEW met3 ( 1179900 2090660 ) ( 1180130 * )
NEW met2 ( 1180130 2090490 ) ( * 2090660 )
NEW met1 ( 1162650 2090490 ) ( 1180130 * )
NEW met2 ( 1162650 287810 ) ( * 2090490 )
NEW met2 ( 842950 287810 ) ( * 300220 0 )
NEW met1 ( 842950 287810 ) ( 1162650 * )
NEW met1 ( 1162650 287810 ) M1M2_PR
NEW met3 ( 1179900 2090660 ) M3M4_PR
NEW met2 ( 1180130 2090660 ) M2M3_PR_M
NEW met1 ( 1180130 2090490 ) M1M2_PR
NEW met1 ( 1162650 2090490 ) M1M2_PR
NEW met1 ( 842950 287810 ) M1M2_PR
NEW met3 ( 1179900 2090660 ) RECT ( -390 -150 0 150 ) ;
- o_waddr0_1\[1\] ( sram1 addr0[1] ) ( mprj o_waddr0_1[1] ) + USE SIGNAL
+ ROUTED met4 ( 1185870 2099500 ) ( * 2100530 0 )
NEW met4 ( 1185420 2099500 ) ( 1185870 * )
NEW met4 ( 1185420 2084540 ) ( * 2099500 )
NEW met3 ( 1180590 2084540 ) ( 1185420 * )
NEW met2 ( 1180590 2084030 ) ( * 2084540 )
NEW met1 ( 1156210 2084030 ) ( 1180590 * )
NEW met2 ( 1156210 389810 ) ( * 2084030 )
NEW met3 ( 1122860 386580 0 ) ( 1132290 * )
NEW met2 ( 1132290 386580 ) ( * 389810 )
NEW met1 ( 1132290 389810 ) ( 1156210 * )
NEW met1 ( 1156210 389810 ) M1M2_PR
NEW met3 ( 1185420 2084540 ) M3M4_PR
NEW met2 ( 1180590 2084540 ) M2M3_PR_M
NEW met1 ( 1180590 2084030 ) M1M2_PR
NEW met1 ( 1156210 2084030 ) M1M2_PR
NEW met2 ( 1132290 386580 ) M2M3_PR_M
NEW met1 ( 1132290 389810 ) M1M2_PR ;
- o_waddr0_1\[2\] ( sram1 addr0[2] ) ( mprj o_waddr0_1[2] ) + USE SIGNAL
+ ROUTED met2 ( 1089970 2096270 ) ( * 2240940 )
NEW met3 ( 1089970 2240940 ) ( 1097100 * )
NEW met3 ( 1097100 2240950 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2240940 ) ( * 2240950 )
NEW met3 ( 1122860 426700 0 ) ( 1139650 * )
NEW met1 ( 1089970 2096270 ) ( 1139650 * )
NEW met2 ( 1139650 426700 ) ( * 2096270 )
NEW met2 ( 1089970 2240940 ) M2M3_PR_M
NEW met1 ( 1089970 2096270 ) M1M2_PR
NEW met2 ( 1139650 426700 ) M2M3_PR_M
NEW met1 ( 1139650 2096270 ) M1M2_PR ;
- o_waddr0_1\[3\] ( sram1 addr0[3] ) ( mprj o_waddr0_1[3] ) + USE SIGNAL
+ ROUTED li1 ( 1089050 1127270 ) ( * 1131010 )
NEW met3 ( 1089050 2249780 ) ( 1097100 * )
NEW met3 ( 1097100 2249790 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2249780 ) ( * 2249790 )
NEW met3 ( 1122860 453900 0 ) ( 1132290 * )
NEW met2 ( 1132290 453900 ) ( * 454070 )
NEW met1 ( 1132290 454070 ) ( 1142410 * )
NEW met1 ( 1089050 1127270 ) ( 1142410 * )
NEW met2 ( 1142410 454070 ) ( * 1127270 )
NEW met2 ( 1089050 1131010 ) ( * 2249780 )
NEW met2 ( 1089050 2249780 ) M2M3_PR_M
NEW li1 ( 1089050 1131010 ) L1M1_PR_MR
NEW met1 ( 1089050 1131010 ) M1M2_PR
NEW li1 ( 1089050 1127270 ) L1M1_PR_MR
NEW met2 ( 1132290 453900 ) M2M3_PR_M
NEW met1 ( 1132290 454070 ) M1M2_PR
NEW met1 ( 1142410 454070 ) M1M2_PR
NEW met1 ( 1142410 1127270 ) M1M2_PR
NEW met1 ( 1089050 1131010 ) RECT ( -355 -70 0 70 ) ;
- o_waddr0_1\[4\] ( sram1 addr0[4] ) ( mprj o_waddr0_1[4] ) + USE SIGNAL
+ ROUTED met2 ( 1087210 2095590 ) ( * 2255220 )
NEW met2 ( 918390 284750 ) ( * 300220 0 )
NEW met1 ( 918390 284750 ) ( 1138730 * )
NEW met3 ( 1087210 2255220 ) ( 1097100 * )
NEW met3 ( 1097100 2255230 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2255220 ) ( * 2255230 )
NEW met1 ( 1087210 2095590 ) ( 1138730 * )
NEW met2 ( 1138730 284750 ) ( * 2095590 )
NEW met2 ( 1087210 2255220 ) M2M3_PR_M
NEW met1 ( 1087210 2095590 ) M1M2_PR
NEW met1 ( 918390 284750 ) M1M2_PR
NEW met1 ( 1138730 284750 ) M1M2_PR
NEW met1 ( 1138730 2095590 ) M1M2_PR ;
- o_waddr0_1\[5\] ( sram1 addr0[5] ) ( mprj o_waddr0_1[5] ) + USE SIGNAL
+ ROUTED met2 ( 1087670 2093210 ) ( * 2264060 )
NEW met2 ( 932190 284070 ) ( * 300220 0 )
NEW met1 ( 932190 284070 ) ( 1129990 * )
NEW met1 ( 1087670 2093210 ) ( 1129990 * )
NEW met2 ( 1129990 284070 ) ( * 2093210 )
NEW met3 ( 1087670 2264060 ) ( 1097100 * )
NEW met3 ( 1097100 2264070 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2264060 ) ( * 2264070 )
NEW met1 ( 1087670 2093210 ) M1M2_PR
NEW met2 ( 1087670 2264060 ) M2M3_PR_M
NEW met1 ( 932190 284070 ) M1M2_PR
NEW met1 ( 1129990 284070 ) M1M2_PR
NEW met1 ( 1129990 2093210 ) M1M2_PR ;
- o_waddr0_1\[6\] ( sram1 addr0[6] ) ( mprj o_waddr0_1[6] ) + USE SIGNAL
+ ROUTED li1 ( 1084910 1127610 ) ( * 1131010 )
NEW met3 ( 1122860 615060 0 ) ( 1132290 * )
NEW met2 ( 1132290 615060 ) ( * 616250 )
NEW met1 ( 1132290 616250 ) ( 1142870 * )
NEW met1 ( 1084910 1127610 ) ( 1142870 * )
NEW met2 ( 1142870 616250 ) ( * 1127610 )
NEW met3 ( 1084910 2268820 ) ( 1097100 * )
NEW met3 ( 1097100 2268830 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2268820 ) ( * 2268830 )
NEW met2 ( 1084910 1131010 ) ( * 2268820 )
NEW li1 ( 1084910 1131010 ) L1M1_PR_MR
NEW met1 ( 1084910 1131010 ) M1M2_PR
NEW li1 ( 1084910 1127610 ) L1M1_PR_MR
NEW met2 ( 1084910 2268820 ) M2M3_PR_M
NEW met2 ( 1132290 615060 ) M2M3_PR_M
NEW met1 ( 1132290 616250 ) M1M2_PR
NEW met1 ( 1142870 616250 ) M1M2_PR
NEW met1 ( 1142870 1127610 ) M1M2_PR
NEW met1 ( 1084910 1131010 ) RECT ( -355 -70 0 70 ) ;
- o_waddr0_1\[7\] ( sram1 addr0[7] ) ( mprj o_waddr0_1[7] ) + USE SIGNAL
+ ROUTED li1 ( 1085370 1125570 ) ( * 1131010 )
NEW met2 ( 951050 286110 ) ( * 300220 0 )
NEW met1 ( 951050 286110 ) ( 1122170 * )
NEW met1 ( 1085370 1125570 ) ( 1122170 * )
NEW met3 ( 1085370 2279700 ) ( 1097100 * )
NEW met3 ( 1097100 2279710 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2279700 ) ( * 2279710 )
NEW met2 ( 1122170 286110 ) ( * 1125570 )
NEW met2 ( 1085370 1131010 ) ( * 2279700 )
NEW li1 ( 1085370 1131010 ) L1M1_PR_MR
NEW met1 ( 1085370 1131010 ) M1M2_PR
NEW li1 ( 1085370 1125570 ) L1M1_PR_MR
NEW met2 ( 1085370 2279700 ) M2M3_PR_M
NEW met1 ( 951050 286110 ) M1M2_PR
NEW met1 ( 1122170 286110 ) M1M2_PR
NEW met1 ( 1122170 1125570 ) M1M2_PR
NEW met1 ( 1085370 1131010 ) RECT ( 0 -70 355 70 ) ;
- o_waddr0_1\[8\] ( sram1 addr0[8] ) ( mprj o_waddr0_1[8] ) + USE SIGNAL
+ ROUTED met2 ( 1088130 2095250 ) ( * 2284460 )
NEW met3 ( 1122860 668780 0 ) ( 1132750 * )
NEW met2 ( 1132750 668780 ) ( * 668950 )
NEW met1 ( 1132750 668950 ) ( 1140570 * )
NEW met1 ( 1088130 2095250 ) ( 1140570 * )
NEW met2 ( 1140570 668950 ) ( * 2095250 )
NEW met3 ( 1088130 2284460 ) ( 1097100 * )
NEW met3 ( 1097100 2284470 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2284460 ) ( * 2284470 )
NEW met1 ( 1088130 2095250 ) M1M2_PR
NEW met2 ( 1088130 2284460 ) M2M3_PR_M
NEW met2 ( 1132750 668780 ) M2M3_PR_M
NEW met1 ( 1132750 668950 ) M1M2_PR
NEW met1 ( 1140570 668950 ) M1M2_PR
NEW met1 ( 1140570 2095250 ) M1M2_PR ;
- o_web0 ( sram web0 ) ( mprj o_web0 ) + USE SIGNAL
+ ROUTED met3 ( 284050 2149820 ) ( 297620 * )
NEW met3 ( 297620 2149820 ) ( * 2149830 )
NEW met3 ( 297620 2149830 ) ( 300160 * 0 )
NEW met2 ( 489670 287810 ) ( * 293590 )
NEW met2 ( 284050 293590 ) ( * 2149820 )
NEW met1 ( 284050 293590 ) ( 489670 * )
NEW met1 ( 489670 287810 ) ( 807300 * )
NEW met1 ( 807300 287470 ) ( * 287810 )
NEW met1 ( 807300 287470 ) ( 824090 * )
NEW met2 ( 824090 287470 ) ( * 300220 0 )
NEW met1 ( 284050 293590 ) M1M2_PR
NEW met2 ( 284050 2149820 ) M2M3_PR_M
NEW met1 ( 489670 293590 ) M1M2_PR
NEW met1 ( 489670 287810 ) M1M2_PR
NEW met1 ( 824090 287470 ) M1M2_PR ;
- o_web0_1 ( sram1 web0 ) ( mprj o_web0_1 ) + USE SIGNAL
+ ROUTED met2 ( 1089510 2094910 ) ( * 2149820 )
NEW met2 ( 1145630 287470 ) ( * 2094910 )
NEW met2 ( 828690 287470 ) ( * 300220 0 )
NEW met1 ( 828690 287470 ) ( 1145630 * )
NEW met3 ( 1089510 2149820 ) ( 1097100 * )
NEW met3 ( 1097100 2149830 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2149820 ) ( * 2149830 )
NEW met1 ( 1089510 2094910 ) ( 1145630 * )
NEW met2 ( 1089510 2149820 ) M2M3_PR_M
NEW met1 ( 1145630 287470 ) M1M2_PR
NEW met1 ( 1089510 2094910 ) M1M2_PR
NEW met1 ( 1145630 2094910 ) M1M2_PR
NEW met1 ( 828690 287470 ) M1M2_PR ;
- o_wmask0\[0\] ( sram wmask0[0] ) ( mprj o_wmask0[0] ) + USE SIGNAL
+ ROUTED met4 ( 391310 2099500 ) ( * 2100530 0 )
NEW met4 ( 391310 2099500 ) ( 391460 * )
NEW met4 ( 391460 2090660 ) ( * 2099500 )
NEW met3 ( 391460 2090660 ) ( 391690 * )
NEW met2 ( 391690 2085390 ) ( * 2090660 )
NEW met1 ( 1121710 976310 ) ( 1131830 * )
NEW met3 ( 1122860 346460 0 ) ( 1131830 * )
NEW met2 ( 1131830 346460 ) ( * 976310 )
NEW li1 ( 1110210 2085390 ) ( * 2086410 )
NEW li1 ( 1110210 2086410 ) ( 1111130 * )
NEW li1 ( 1111130 2086410 ) ( * 2087090 )
NEW met1 ( 1111130 2087090 ) ( 1121710 * )
NEW met1 ( 391690 2085390 ) ( 1110210 * )
NEW met2 ( 1121710 976310 ) ( * 2087090 )
NEW met3 ( 391460 2090660 ) M3M4_PR
NEW met2 ( 391690 2090660 ) M2M3_PR_M
NEW met1 ( 391690 2085390 ) M1M2_PR
NEW met1 ( 1121710 976310 ) M1M2_PR
NEW met1 ( 1131830 976310 ) M1M2_PR
NEW met2 ( 1131830 346460 ) M2M3_PR_M
NEW li1 ( 1110210 2085390 ) L1M1_PR_MR
NEW li1 ( 1111130 2087090 ) L1M1_PR_MR
NEW met1 ( 1121710 2087090 ) M1M2_PR
NEW met3 ( 391460 2090660 ) RECT ( -390 -150 0 150 ) ;
- o_wmask0\[1\] ( sram wmask0[1] ) ( mprj o_wmask0[1] ) + USE SIGNAL
+ ROUTED met2 ( 876070 288490 ) ( * 300220 0 )
NEW met2 ( 301070 288490 ) ( * 2084030 )
NEW met4 ( 398110 2099500 ) ( * 2100530 0 )
NEW met4 ( 397900 2099500 ) ( 398110 * )
NEW met4 ( 397900 2090660 ) ( * 2099500 )
NEW met3 ( 393990 2090660 ) ( 397900 * )
NEW met2 ( 393990 2084030 ) ( * 2090660 )
NEW met1 ( 301070 288490 ) ( 876070 * )
NEW met1 ( 301070 2084030 ) ( 393990 * )
NEW met1 ( 301070 288490 ) M1M2_PR
NEW met1 ( 876070 288490 ) M1M2_PR
NEW met1 ( 301070 2084030 ) M1M2_PR
NEW met3 ( 397900 2090660 ) M3M4_PR
NEW met2 ( 393990 2090660 ) M2M3_PR_M
NEW met1 ( 393990 2084030 ) M1M2_PR ;
- o_wmask0\[2\] ( sram wmask0[2] ) ( mprj o_wmask0[2] ) + USE SIGNAL
+ ROUTED met4 ( 402870 2099500 ) ( * 2100530 0 )
NEW met4 ( 402870 2099500 ) ( 403420 * )
NEW met4 ( 403420 2083860 ) ( * 2099500 )
NEW met3 ( 403420 2083860 ) ( 406870 * )
NEW met2 ( 406870 1135430 ) ( * 2083860 )
NEW met2 ( 1146090 440470 ) ( * 1135430 )
NEW met3 ( 1122860 440300 0 ) ( 1132290 * )
NEW met2 ( 1132290 440300 ) ( * 440470 )
NEW met1 ( 1132290 440470 ) ( 1146090 * )
NEW met1 ( 406870 1135430 ) ( 1146090 * )
NEW met1 ( 406870 1135430 ) M1M2_PR
NEW met3 ( 403420 2083860 ) M3M4_PR
NEW met2 ( 406870 2083860 ) M2M3_PR_M
NEW met1 ( 1146090 440470 ) M1M2_PR
NEW met1 ( 1146090 1135430 ) M1M2_PR
NEW met2 ( 1132290 440300 ) M2M3_PR_M
NEW met1 ( 1132290 440470 ) M1M2_PR ;
- o_wmask0\[3\] ( sram wmask0[3] ) ( mprj o_wmask0[3] ) + USE SIGNAL
+ ROUTED met4 ( 408990 2099500 ) ( * 2100530 0 )
NEW met4 ( 408940 2099500 ) ( 408990 * )
NEW met4 ( 408940 2090660 ) ( * 2099500 )
NEW met3 ( 408940 2090660 ) ( 409170 * )
NEW met2 ( 409170 2084030 ) ( * 2090660 )
NEW met3 ( 1122630 482460 ) ( 1122860 * )
NEW met3 ( 1122860 481100 0 ) ( * 482460 )
NEW met1 ( 409170 2084030 ) ( 1122630 * )
NEW met2 ( 1122630 482460 ) ( * 2084030 )
NEW met3 ( 408940 2090660 ) M3M4_PR
NEW met2 ( 409170 2090660 ) M2M3_PR_M
NEW met1 ( 409170 2084030 ) M1M2_PR
NEW met2 ( 1122630 482460 ) M2M3_PR_M
NEW met1 ( 1122630 2084030 ) M1M2_PR
NEW met3 ( 408940 2090660 ) RECT ( -390 -150 0 150 ) ;
- o_wmask0_1\[0\] ( sram1 wmask0[0] ) ( mprj o_wmask0_1[0] ) + USE SIGNAL
+ ROUTED met4 ( 1191310 2099500 ) ( * 2100530 0 )
NEW met4 ( 1190940 2099500 ) ( 1191310 * )
NEW met4 ( 1190940 2085220 ) ( * 2099500 )
NEW met3 ( 1187030 2085220 ) ( 1190940 * )
NEW met2 ( 1187030 2084710 ) ( * 2085220 )
NEW met1 ( 1155750 2084710 ) ( 1187030 * )
NEW met2 ( 1155750 294270 ) ( * 2084710 )
NEW met2 ( 847550 294270 ) ( * 300220 0 )
NEW met1 ( 847550 294270 ) ( 1155750 * )
NEW met1 ( 1155750 294270 ) M1M2_PR
NEW met3 ( 1190940 2085220 ) M3M4_PR
NEW met2 ( 1187030 2085220 ) M2M3_PR_M
NEW met1 ( 1187030 2084710 ) M1M2_PR
NEW met1 ( 1155750 2084710 ) M1M2_PR
NEW met1 ( 847550 294270 ) M1M2_PR ;
- o_wmask0_1\[1\] ( sram1 wmask0[1] ) ( mprj o_wmask0_1[1] ) + USE SIGNAL
+ ROUTED met2 ( 871010 293930 ) ( * 300220 0 )
NEW met2 ( 1176450 293930 ) ( * 2088790 )
NEW met1 ( 871010 293930 ) ( 1176450 * )
NEW met4 ( 1198110 2099500 ) ( * 2100530 0 )
NEW met4 ( 1198110 2099500 ) ( 1198300 * )
NEW met4 ( 1198300 2090660 ) ( * 2099500 )
NEW met3 ( 1195770 2090660 ) ( 1198300 * )
NEW met2 ( 1195770 2088790 ) ( * 2090660 )
NEW met1 ( 1176450 2088790 ) ( 1195770 * )
NEW met1 ( 871010 293930 ) M1M2_PR
NEW met1 ( 1176450 293930 ) M1M2_PR
NEW met1 ( 1176450 2088790 ) M1M2_PR
NEW met3 ( 1198300 2090660 ) M3M4_PR
NEW met2 ( 1195770 2090660 ) M2M3_PR_M
NEW met1 ( 1195770 2088790 ) M1M2_PR ;
- o_wmask0_1\[2\] ( sram1 wmask0[2] ) ( mprj o_wmask0_1[2] ) + USE SIGNAL
+ ROUTED met2 ( 886650 1133220 0 ) ( 888490 * )
NEW met2 ( 888490 1133220 ) ( * 1150390 )
NEW met2 ( 1190250 1150390 ) ( * 2087430 )
NEW met1 ( 888490 1150390 ) ( 1190250 * )
NEW met4 ( 1202870 2099500 ) ( * 2100530 0 )
NEW met4 ( 1202870 2099500 ) ( 1202900 * )
NEW met4 ( 1202900 2090660 ) ( * 2099500 )
NEW met3 ( 1202670 2090660 ) ( 1202900 * )
NEW met2 ( 1202670 2087430 ) ( * 2090660 )
NEW met1 ( 1190250 2087430 ) ( 1202670 * )
NEW met1 ( 888490 1150390 ) M1M2_PR
NEW met1 ( 1190250 1150390 ) M1M2_PR
NEW met1 ( 1190250 2087430 ) M1M2_PR
NEW met3 ( 1202900 2090660 ) M3M4_PR
NEW met2 ( 1202670 2090660 ) M2M3_PR_M
NEW met1 ( 1202670 2087430 ) M1M2_PR
NEW met3 ( 1202900 2090660 ) RECT ( 0 -150 390 150 ) ;
- o_wmask0_1\[3\] ( sram1 wmask0[3] ) ( mprj o_wmask0_1[3] ) + USE SIGNAL
+ ROUTED met2 ( 904130 292910 ) ( * 300220 0 )
NEW met1 ( 904130 292910 ) ( 1204050 * )
NEW met4 ( 1208990 2099500 ) ( * 2100530 0 )
NEW met4 ( 1208420 2099500 ) ( 1208990 * )
NEW met4 ( 1208420 2084540 ) ( * 2099500 )
NEW met3 ( 1208190 2084540 ) ( 1208420 * )
NEW met2 ( 1208190 2084370 ) ( * 2084540 )
NEW met1 ( 1204050 2084370 ) ( 1208190 * )
NEW met2 ( 1204050 292910 ) ( * 2084370 )
NEW met1 ( 904130 292910 ) M1M2_PR
NEW met1 ( 1204050 292910 ) M1M2_PR
NEW met3 ( 1208420 2084540 ) M3M4_PR
NEW met2 ( 1208190 2084540 ) M2M3_PR_M
NEW met1 ( 1208190 2084370 ) M1M2_PR
NEW met1 ( 1204050 2084370 ) M1M2_PR
NEW met3 ( 1208420 2084540 ) RECT ( 0 -150 390 150 ) ;
- user_clock2 ( PIN user_clock2 ) + USE SIGNAL ;
- user_irq[0] ( PIN user_irq[0] ) ( mprj irq[0] ) + USE SIGNAL
+ ROUTED met1 ( 800630 287470 ) ( 806610 * )
NEW met2 ( 800630 287470 ) ( * 300220 0 )
NEW met2 ( 2905130 2380 0 ) ( * 17170 )
NEW met2 ( 806610 17170 ) ( * 287470 )
NEW met1 ( 806610 17170 ) ( 2905130 * )
NEW met1 ( 806610 17170 ) M1M2_PR
NEW met1 ( 806610 287470 ) M1M2_PR
NEW met1 ( 800630 287470 ) M1M2_PR
NEW met1 ( 2905130 17170 ) M1M2_PR ;
- user_irq[1] ( PIN user_irq[1] ) ( mprj irq[1] ) + USE SIGNAL
+ ROUTED met2 ( 805230 287980 ) ( 807070 * )
NEW met2 ( 805230 287980 ) ( * 300220 0 )
NEW met2 ( 2911110 2380 0 ) ( * 17850 )
NEW met2 ( 807070 17850 ) ( * 287980 )
NEW met1 ( 807070 17850 ) ( 2911110 * )
NEW met1 ( 807070 17850 ) M1M2_PR
NEW met1 ( 2911110 17850 ) M1M2_PR ;
- user_irq[2] ( PIN user_irq[2] ) ( mprj irq[2] ) + USE SIGNAL
+ ROUTED met2 ( 2917090 2380 0 ) ( * 17510 )
NEW met1 ( 810290 287810 ) ( 813970 * )
NEW met2 ( 810290 287810 ) ( * 300220 0 )
NEW met1 ( 813970 17510 ) ( 2917090 * )
NEW met2 ( 813970 17510 ) ( * 287810 )
NEW met1 ( 2917090 17510 ) M1M2_PR
NEW met1 ( 813970 17510 ) M1M2_PR
NEW met1 ( 813970 287810 ) M1M2_PR
NEW met1 ( 810290 287810 ) M1M2_PR ;
- wb_clk_i ( PIN wb_clk_i ) ( sram1 clk1 ) ( sram1 clk0 ) ( sram clk1 ) ( sram clk0 ) ( mprj wb_clk_i ) + USE CLOCK
+ ROUTED met2 ( 2990 2380 0 ) ( * 20570 )
NEW met2 ( 302450 279310 ) ( * 300220 0 )
NEW met1 ( 293250 289510 ) ( 302450 * )
NEW met3 ( 289570 2141660 ) ( 297620 * )
NEW met3 ( 297620 2141660 ) ( * 2141670 )
NEW met3 ( 297620 2141670 ) ( 300160 * 0 )
NEW met4 ( 954350 2516010 0 ) ( * 2517700 )
NEW met4 ( 954350 2517700 ) ( 954500 * )
NEW met4 ( 954500 2517700 ) ( * 2525860 )
NEW met3 ( 954500 2525860 ) ( 956570 * )
NEW met2 ( 956570 2525860 ) ( * 2528750 )
NEW met2 ( 1083530 2528750 ) ( * 2532490 )
NEW met1 ( 1083530 2528750 ) ( 1086750 * )
NEW met4 ( 1754350 2516010 0 ) ( * 2517700 )
NEW met4 ( 1753980 2517700 ) ( 1754350 * )
NEW met4 ( 1753980 2517700 ) ( * 2525860 )
NEW met3 ( 1753750 2525860 ) ( 1753980 * )
NEW met2 ( 1753750 2525860 ) ( * 2532150 )
NEW met1 ( 289570 1130330 ) ( 293250 * )
NEW met2 ( 293250 289510 ) ( * 1130330 )
NEW met2 ( 289570 1130330 ) ( * 2141660 )
NEW met2 ( 1086750 2141660 ) ( * 2528750 )
NEW met1 ( 2990 20570 ) ( 37950 * )
NEW met1 ( 37950 279310 ) ( 302450 * )
NEW met1 ( 956570 2528750 ) ( 1083530 * )
NEW met3 ( 1086750 2141660 ) ( 1097100 * )
NEW met3 ( 1097100 2141670 ) ( 1100320 * 0 )
NEW met3 ( 1097100 2141660 ) ( * 2141670 )
NEW met1 ( 1083530 2532490 ) ( 1483500 * )
NEW met1 ( 1483500 2532150 ) ( * 2532490 )
NEW met1 ( 1483500 2532150 ) ( 1753750 * )
NEW met2 ( 37950 20570 ) ( * 279310 )
NEW met1 ( 289570 2090490 ) ( 1086750 * )
NEW met2 ( 1086750 2090490 ) ( * 2141660 )
NEW met1 ( 2990 20570 ) M1M2_PR
NEW met1 ( 302450 279310 ) M1M2_PR
NEW met1 ( 293250 289510 ) M1M2_PR
NEW met1 ( 302450 289510 ) M1M2_PR
NEW met2 ( 289570 2141660 ) M2M3_PR_M
NEW met3 ( 954500 2525860 ) M3M4_PR
NEW met2 ( 956570 2525860 ) M2M3_PR_M
NEW met1 ( 956570 2528750 ) M1M2_PR
NEW met2 ( 1086750 2141660 ) M2M3_PR_M
NEW met1 ( 1083530 2532490 ) M1M2_PR
NEW met1 ( 1083530 2528750 ) M1M2_PR
NEW met1 ( 1086750 2528750 ) M1M2_PR
NEW met3 ( 1753980 2525860 ) M3M4_PR
NEW met2 ( 1753750 2525860 ) M2M3_PR_M
NEW met1 ( 1753750 2532150 ) M1M2_PR
NEW met1 ( 289570 1130330 ) M1M2_PR
NEW met1 ( 293250 1130330 ) M1M2_PR
NEW met1 ( 289570 2090490 ) M1M2_PR
NEW met1 ( 37950 20570 ) M1M2_PR
NEW met1 ( 37950 279310 ) M1M2_PR
NEW met1 ( 1086750 2090490 ) M1M2_PR
NEW met2 ( 302450 289510 ) RECT ( -70 -485 70 0 )
NEW met3 ( 1753980 2525860 ) RECT ( 0 -150 390 150 )
NEW met2 ( 289570 2090490 ) RECT ( -70 -485 70 0 ) ;
- wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i ) + USE SIGNAL
+ ROUTED met2 ( 8510 2380 0 ) ( * 18870 )
NEW met2 ( 307050 281010 ) ( * 300220 0 )
NEW met1 ( 8510 18870 ) ( 58650 * )
NEW met1 ( 58650 281010 ) ( 307050 * )
NEW met2 ( 58650 18870 ) ( * 281010 )
NEW met1 ( 8510 18870 ) M1M2_PR
NEW met1 ( 307050 281010 ) M1M2_PR
NEW met1 ( 58650 18870 ) M1M2_PR
NEW met1 ( 58650 281010 ) M1M2_PR ;
- wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o ) + USE SIGNAL
+ ROUTED met2 ( 14490 2380 0 ) ( * 17850 )
NEW met2 ( 311650 282710 ) ( * 300220 0 )
NEW met2 ( 93150 17850 ) ( * 282710 )
NEW met1 ( 14490 17850 ) ( 93150 * )
NEW met1 ( 93150 282710 ) ( 311650 * )
NEW met1 ( 14490 17850 ) M1M2_PR
NEW met1 ( 93150 17850 ) M1M2_PR
NEW met1 ( 93150 282710 ) M1M2_PR
NEW met1 ( 311650 282710 ) M1M2_PR ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 106950 19550 ) ( * 278970 )
NEW met2 ( 38410 2380 0 ) ( * 19550 )
NEW met1 ( 38410 19550 ) ( 106950 * )
NEW met2 ( 330510 278970 ) ( * 300220 0 )
NEW met1 ( 106950 278970 ) ( 330510 * )
NEW met1 ( 106950 19550 ) M1M2_PR
NEW met1 ( 106950 278970 ) M1M2_PR
NEW met1 ( 38410 19550 ) M1M2_PR
NEW met1 ( 330510 278970 ) M1M2_PR ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 490130 30770 ) ( * 300220 0 )
NEW met2 ( 239430 2380 0 ) ( * 30770 )
NEW met1 ( 239430 30770 ) ( 490130 * )
NEW met1 ( 490130 30770 ) M1M2_PR
NEW met1 ( 239430 30770 ) M1M2_PR ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] ) + USE SIGNAL
+ ROUTED met2 ( 504390 282030 ) ( * 300220 0 )
NEW met2 ( 256910 2380 0 ) ( * 15810 )
NEW met1 ( 256910 15810 ) ( 265650 * )
NEW met1 ( 265650 282030 ) ( 504390 * )
NEW met2 ( 265650 15810 ) ( * 282030 )
NEW met1 ( 504390 282030 ) M1M2_PR
NEW met1 ( 256910 15810 ) M1M2_PR
NEW met1 ( 265650 15810 ) M1M2_PR
NEW met1 ( 265650 282030 ) M1M2_PR ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] ) + USE SIGNAL
+ ROUTED met2 ( 518650 281690 ) ( * 300220 0 )
NEW met1 ( 275770 281690 ) ( 518650 * )
NEW met2 ( 274850 2380 0 ) ( * 34500 )
NEW met2 ( 274850 34500 ) ( 275770 * )
NEW met2 ( 275770 34500 ) ( * 281690 )
NEW met1 ( 275770 281690 ) M1M2_PR
NEW met1 ( 518650 281690 ) M1M2_PR ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 292330 2380 0 ) ( * 17510 )
NEW met1 ( 292330 17510 ) ( 296470 * )
NEW met2 ( 296470 17510 ) ( * 282370 )
NEW met2 ( 532450 282370 ) ( * 300220 0 )
NEW met1 ( 296470 282370 ) ( 532450 * )
NEW met1 ( 292330 17510 ) M1M2_PR
NEW met1 ( 296470 17510 ) M1M2_PR
NEW met1 ( 296470 282370 ) M1M2_PR
NEW met1 ( 532450 282370 ) M1M2_PR ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 310270 2380 0 ) ( * 279310 )
NEW met2 ( 546710 279310 ) ( * 300220 0 )
NEW met1 ( 310270 279310 ) ( 546710 * )
NEW met1 ( 310270 279310 ) M1M2_PR
NEW met1 ( 546710 279310 ) M1M2_PR ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] ) + USE SIGNAL
+ ROUTED met2 ( 327750 2380 0 ) ( * 17510 )
NEW met1 ( 327750 17510 ) ( 330970 * )
NEW met2 ( 560970 279650 ) ( * 300220 0 )
NEW met1 ( 330970 279650 ) ( 560970 * )
NEW met2 ( 330970 17510 ) ( * 279650 )
NEW met1 ( 327750 17510 ) M1M2_PR
NEW met1 ( 330970 17510 ) M1M2_PR
NEW met1 ( 330970 279650 ) M1M2_PR
NEW met1 ( 560970 279650 ) M1M2_PR ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 574770 24650 ) ( * 300220 0 )
NEW met2 ( 345690 2380 0 ) ( * 24650 )
NEW met1 ( 345690 24650 ) ( 574770 * )
NEW met1 ( 574770 24650 ) M1M2_PR
NEW met1 ( 345690 24650 ) M1M2_PR ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 589030 281010 ) ( * 300220 0 )
NEW met2 ( 363170 2380 0 ) ( * 17170 )
NEW met1 ( 363170 17170 ) ( 365470 * )
NEW met1 ( 365470 281010 ) ( 589030 * )
NEW met2 ( 365470 17170 ) ( * 281010 )
NEW met1 ( 589030 281010 ) M1M2_PR
NEW met1 ( 363170 17170 ) M1M2_PR
NEW met1 ( 365470 17170 ) M1M2_PR
NEW met1 ( 365470 281010 ) M1M2_PR ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 381110 2380 0 ) ( * 17850 )
NEW met1 ( 381110 17850 ) ( 386170 * )
NEW met2 ( 603290 281350 ) ( * 300220 0 )
NEW met2 ( 386170 17850 ) ( * 281350 )
NEW met1 ( 386170 281350 ) ( 603290 * )
NEW met1 ( 381110 17850 ) M1M2_PR
NEW met1 ( 386170 17850 ) M1M2_PR
NEW met1 ( 386170 281350 ) M1M2_PR
NEW met1 ( 603290 281350 ) M1M2_PR ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 398590 2380 0 ) ( * 23970 )
NEW met1 ( 398590 23970 ) ( 617090 * )
NEW met2 ( 617090 23970 ) ( * 300220 0 )
NEW met1 ( 398590 23970 ) M1M2_PR
NEW met1 ( 617090 23970 ) M1M2_PR ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 61870 2380 0 ) ( * 18530 )
NEW met1 ( 61870 18530 ) ( 148350 * )
NEW met2 ( 349370 278290 ) ( * 300220 0 )
NEW met1 ( 148350 278290 ) ( 349370 * )
NEW met2 ( 148350 18530 ) ( * 278290 )
NEW met1 ( 61870 18530 ) M1M2_PR
NEW met1 ( 148350 18530 ) M1M2_PR
NEW met1 ( 148350 278290 ) M1M2_PR
NEW met1 ( 349370 278290 ) M1M2_PR ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 416530 2380 0 ) ( * 19550 )
NEW met1 ( 416530 19550 ) ( 451950 * )
NEW met2 ( 630890 278970 ) ( * 287980 )
NEW met2 ( 630890 287980 ) ( 631350 * )
NEW met2 ( 631350 287980 ) ( * 300220 0 )
NEW met1 ( 451950 278970 ) ( 630890 * )
NEW met2 ( 451950 19550 ) ( * 278970 )
NEW met1 ( 416530 19550 ) M1M2_PR
NEW met1 ( 451950 19550 ) M1M2_PR
NEW met1 ( 451950 278970 ) M1M2_PR
NEW met1 ( 630890 278970 ) M1M2_PR ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] ) + USE SIGNAL
+ ROUTED met2 ( 645610 279990 ) ( * 300220 0 )
NEW met1 ( 434470 279990 ) ( 645610 * )
NEW met2 ( 434470 2380 0 ) ( * 279990 )
NEW met1 ( 434470 279990 ) M1M2_PR
NEW met1 ( 645610 279990 ) M1M2_PR ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] ) + USE SIGNAL
+ ROUTED met2 ( 486450 16490 ) ( * 278630 )
NEW met2 ( 451950 2380 0 ) ( * 16490 )
NEW met1 ( 451950 16490 ) ( 486450 * )
NEW met2 ( 659410 278630 ) ( * 300220 0 )
NEW met1 ( 486450 278630 ) ( 659410 * )
NEW met1 ( 486450 16490 ) M1M2_PR
NEW met1 ( 486450 278630 ) M1M2_PR
NEW met1 ( 451950 16490 ) M1M2_PR
NEW met1 ( 659410 278630 ) M1M2_PR ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 469890 2380 0 ) ( * 16830 )
NEW met1 ( 469890 16830 ) ( 475410 * )
NEW met2 ( 673670 280670 ) ( * 300220 0 )
NEW met2 ( 475410 16830 ) ( * 280670 )
NEW met1 ( 475410 280670 ) ( 673670 * )
NEW met1 ( 469890 16830 ) M1M2_PR
NEW met1 ( 475410 16830 ) M1M2_PR
NEW met1 ( 475410 280670 ) M1M2_PR
NEW met1 ( 673670 280670 ) M1M2_PR ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 487370 2380 0 ) ( * 18870 )
NEW met2 ( 687930 278290 ) ( * 300220 0 )
NEW met1 ( 487370 18870 ) ( 520950 * )
NEW met1 ( 520950 278290 ) ( 687930 * )
NEW met2 ( 520950 18870 ) ( * 278290 )
NEW met1 ( 487370 18870 ) M1M2_PR
NEW met1 ( 687930 278290 ) M1M2_PR
NEW met1 ( 520950 18870 ) M1M2_PR
NEW met1 ( 520950 278290 ) M1M2_PR ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 505310 2380 0 ) ( * 19210 )
NEW met2 ( 701730 282030 ) ( * 300220 0 )
NEW li1 ( 517730 18530 ) ( * 19210 )
NEW li1 ( 517730 18530 ) ( 518650 * )
NEW met1 ( 518650 18530 ) ( 527850 * )
NEW met1 ( 505310 19210 ) ( 517730 * )
NEW met1 ( 527850 282030 ) ( 701730 * )
NEW met2 ( 527850 18530 ) ( * 282030 )
NEW met1 ( 505310 19210 ) M1M2_PR
NEW met1 ( 701730 282030 ) M1M2_PR
NEW li1 ( 517730 19210 ) L1M1_PR_MR
NEW li1 ( 518650 18530 ) L1M1_PR_MR
NEW met1 ( 527850 18530 ) M1M2_PR
NEW met1 ( 527850 282030 ) M1M2_PR ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 522790 2380 0 ) ( * 12580 )
NEW met2 ( 522790 12580 ) ( 523710 * )
NEW met2 ( 523710 12580 ) ( * 24310 )
NEW met1 ( 523710 24310 ) ( 715990 * )
NEW met2 ( 715990 24310 ) ( * 300220 0 )
NEW met1 ( 523710 24310 ) M1M2_PR
NEW met1 ( 715990 24310 ) M1M2_PR ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 540730 2380 0 ) ( * 17510 )
NEW met1 ( 540730 17510 ) ( 544870 * )
NEW met2 ( 730250 281690 ) ( * 300220 0 )
NEW met1 ( 544870 281690 ) ( 730250 * )
NEW met2 ( 544870 17510 ) ( * 281690 )
NEW met1 ( 540730 17510 ) M1M2_PR
NEW met1 ( 544870 17510 ) M1M2_PR
NEW met1 ( 544870 281690 ) M1M2_PR
NEW met1 ( 730250 281690 ) M1M2_PR ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] ) + USE SIGNAL
+ ROUTED met2 ( 558210 2380 0 ) ( * 13940 )
NEW met2 ( 558210 13940 ) ( 559130 * )
NEW met2 ( 559130 13940 ) ( * 26350 )
NEW met1 ( 559130 26350 ) ( 744050 * )
NEW met2 ( 744050 26350 ) ( * 300220 0 )
NEW met1 ( 559130 26350 ) M1M2_PR
NEW met1 ( 744050 26350 ) M1M2_PR ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 576150 2380 0 ) ( * 24650 )
NEW met1 ( 576150 24650 ) ( 758310 * )
NEW met2 ( 758310 24650 ) ( * 300220 0 )
NEW met1 ( 576150 24650 ) M1M2_PR
NEW met1 ( 758310 24650 ) M1M2_PR ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 85330 2380 0 ) ( * 19210 )
NEW met1 ( 85330 19210 ) ( 175950 * )
NEW met2 ( 368230 277950 ) ( * 300220 0 )
NEW met1 ( 175950 277950 ) ( 368230 * )
NEW met2 ( 175950 19210 ) ( * 277950 )
NEW met1 ( 85330 19210 ) M1M2_PR
NEW met1 ( 175950 19210 ) M1M2_PR
NEW met1 ( 175950 277950 ) M1M2_PR
NEW met1 ( 368230 277950 ) M1M2_PR ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 594090 2380 0 ) ( * 13260 )
NEW met2 ( 594090 13260 ) ( 594550 * )
NEW met2 ( 594550 13260 ) ( * 26690 )
NEW met1 ( 762450 287130 ) ( 772570 * )
NEW met2 ( 772570 287130 ) ( * 300220 0 )
NEW met2 ( 762450 26690 ) ( * 287130 )
NEW met1 ( 594550 26690 ) ( 762450 * )
NEW met1 ( 594550 26690 ) M1M2_PR
NEW met1 ( 762450 26690 ) M1M2_PR
NEW met1 ( 762450 287130 ) M1M2_PR
NEW met1 ( 772570 287130 ) M1M2_PR ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 611570 2380 0 ) ( * 30770 )
NEW met1 ( 776250 287130 ) ( 786370 * )
NEW met2 ( 786370 287130 ) ( * 300220 0 )
NEW met2 ( 776250 30770 ) ( * 287130 )
NEW met1 ( 611570 30770 ) ( 776250 * )
NEW met1 ( 611570 30770 ) M1M2_PR
NEW met1 ( 776250 30770 ) M1M2_PR
NEW met1 ( 776250 287130 ) M1M2_PR
NEW met1 ( 786370 287130 ) M1M2_PR ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 109250 2380 0 ) ( * 16490 )
NEW met2 ( 387090 278630 ) ( * 300220 0 )
NEW met2 ( 182850 16490 ) ( * 278630 )
NEW met1 ( 109250 16490 ) ( 182850 * )
NEW met1 ( 182850 278630 ) ( 387090 * )
NEW met1 ( 109250 16490 ) M1M2_PR
NEW met1 ( 182850 16490 ) M1M2_PR
NEW met1 ( 182850 278630 ) M1M2_PR
NEW met1 ( 387090 278630 ) M1M2_PR ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 405490 277610 ) ( * 300220 0 )
NEW met2 ( 217810 19890 ) ( * 277610 )
NEW met2 ( 132710 2380 0 ) ( * 19890 )
NEW met1 ( 132710 19890 ) ( 217810 * )
NEW met1 ( 217810 277610 ) ( 405490 * )
NEW met1 ( 217810 19890 ) M1M2_PR
NEW met1 ( 217810 277610 ) M1M2_PR
NEW met1 ( 405490 277610 ) M1M2_PR
NEW met1 ( 132710 19890 ) M1M2_PR ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 419750 279990 ) ( * 300220 0 )
NEW met1 ( 151570 279990 ) ( 419750 * )
NEW met2 ( 150650 2380 0 ) ( * 34500 )
NEW met2 ( 150650 34500 ) ( 151570 * )
NEW met2 ( 151570 34500 ) ( * 279990 )
NEW met1 ( 419750 279990 ) M1M2_PR
NEW met1 ( 151570 279990 ) M1M2_PR ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 168130 2380 0 ) ( * 16830 )
NEW met1 ( 168130 16830 ) ( 172270 * )
NEW met2 ( 434010 280330 ) ( * 300220 0 )
NEW met1 ( 172270 280330 ) ( 434010 * )
NEW met2 ( 172270 16830 ) ( * 280330 )
NEW met1 ( 168130 16830 ) M1M2_PR
NEW met1 ( 172270 16830 ) M1M2_PR
NEW met1 ( 172270 280330 ) M1M2_PR
NEW met1 ( 434010 280330 ) M1M2_PR ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 186070 2380 0 ) ( * 15130 )
NEW met1 ( 186070 15130 ) ( 355350 * )
NEW met2 ( 447810 282710 ) ( * 300220 0 )
NEW met1 ( 355350 282710 ) ( 447810 * )
NEW met2 ( 355350 15130 ) ( * 282710 )
NEW met1 ( 186070 15130 ) M1M2_PR
NEW met1 ( 355350 15130 ) M1M2_PR
NEW met1 ( 355350 282710 ) M1M2_PR
NEW met1 ( 447810 282710 ) M1M2_PR ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 203550 2380 0 ) ( * 16830 )
NEW met1 ( 203550 16830 ) ( 206770 * )
NEW met2 ( 206770 16830 ) ( * 280670 )
NEW met2 ( 462070 280670 ) ( * 300220 0 )
NEW met1 ( 206770 280670 ) ( 462070 * )
NEW met1 ( 203550 16830 ) M1M2_PR
NEW met1 ( 206770 16830 ) M1M2_PR
NEW met1 ( 206770 280670 ) M1M2_PR
NEW met1 ( 462070 280670 ) M1M2_PR ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 221490 2380 0 ) ( * 18530 )
NEW met2 ( 476330 18530 ) ( * 300220 0 )
NEW met1 ( 221490 18530 ) ( 476330 * )
NEW met1 ( 221490 18530 ) M1M2_PR
NEW met1 ( 476330 18530 ) M1M2_PR ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i ) + USE SIGNAL
+ ROUTED met2 ( 316250 279650 ) ( * 300220 0 )
NEW met2 ( 20470 2380 0 ) ( * 279650 )
NEW met1 ( 20470 279650 ) ( 316250 * )
NEW met1 ( 20470 279650 ) M1M2_PR
NEW met1 ( 316250 279650 ) M1M2_PR ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 86710 19890 ) ( * 281350 )
NEW met2 ( 43930 2380 0 ) ( * 19890 )
NEW met1 ( 43930 19890 ) ( 86710 * )
NEW met2 ( 335110 281350 ) ( * 300220 0 )
NEW met1 ( 86710 281350 ) ( 335110 * )
NEW met1 ( 86710 19890 ) M1M2_PR
NEW met1 ( 86710 281350 ) M1M2_PR
NEW met1 ( 43930 19890 ) M1M2_PR
NEW met1 ( 335110 281350 ) M1M2_PR ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] ) + USE SIGNAL
+ ROUTED met2 ( 495190 273190 ) ( * 300220 0 )
NEW met2 ( 244950 2380 0 ) ( * 19210 )
NEW met1 ( 244950 19210 ) ( 258750 * )
NEW met2 ( 258750 19210 ) ( * 273190 )
NEW met1 ( 258750 273190 ) ( 495190 * )
NEW met1 ( 495190 273190 ) M1M2_PR
NEW met1 ( 244950 19210 ) M1M2_PR
NEW met1 ( 258750 19210 ) M1M2_PR
NEW met1 ( 258750 273190 ) M1M2_PR ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] ) + USE SIGNAL
+ ROUTED met1 ( 489210 19210 ) ( * 19550 )
NEW met1 ( 489210 19550 ) ( 508990 * )
NEW met2 ( 508990 19550 ) ( * 300220 0 )
NEW met2 ( 262890 2380 0 ) ( * 19210 )
NEW met1 ( 262890 19210 ) ( 489210 * )
NEW met1 ( 508990 19550 ) M1M2_PR
NEW met1 ( 262890 19210 ) M1M2_PR ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] ) + USE SIGNAL
+ ROUTED met2 ( 280370 2380 0 ) ( * 14110 )
NEW met1 ( 280370 14110 ) ( 283130 * )
NEW met2 ( 283130 14110 ) ( * 24310 )
NEW met1 ( 283130 24310 ) ( 523250 * )
NEW met2 ( 523250 24310 ) ( * 300220 0 )
NEW met1 ( 280370 14110 ) M1M2_PR
NEW met1 ( 283130 14110 ) M1M2_PR
NEW met1 ( 283130 24310 ) M1M2_PR
NEW met1 ( 523250 24310 ) M1M2_PR ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] ) + USE SIGNAL
+ ROUTED met2 ( 298310 2380 0 ) ( * 15810 )
NEW li1 ( 488290 19890 ) ( 489210 * )
NEW li1 ( 339250 15810 ) ( * 19890 )
NEW met1 ( 298310 15810 ) ( 339250 * )
NEW met1 ( 339250 19890 ) ( 488290 * )
NEW met1 ( 489210 19890 ) ( 537510 * )
NEW met2 ( 537510 19890 ) ( * 300220 0 )
NEW met1 ( 298310 15810 ) M1M2_PR
NEW li1 ( 488290 19890 ) L1M1_PR_MR
NEW li1 ( 489210 19890 ) L1M1_PR_MR
NEW li1 ( 339250 15810 ) L1M1_PR_MR
NEW li1 ( 339250 19890 ) L1M1_PR_MR
NEW met1 ( 537510 19890 ) M1M2_PR ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] ) + USE SIGNAL
+ ROUTED met2 ( 316250 2380 0 ) ( * 14110 )
NEW li1 ( 386630 14110 ) ( * 17850 )
NEW met1 ( 386630 17850 ) ( 396750 * )
NEW met2 ( 396750 17850 ) ( * 277950 )
NEW met1 ( 316250 14110 ) ( 386630 * )
NEW met2 ( 551310 277950 ) ( * 300220 0 )
NEW met1 ( 396750 277950 ) ( 551310 * )
NEW met1 ( 316250 14110 ) M1M2_PR
NEW li1 ( 386630 14110 ) L1M1_PR_MR
NEW li1 ( 386630 17850 ) L1M1_PR_MR
NEW met1 ( 396750 17850 ) M1M2_PR
NEW met1 ( 396750 277950 ) M1M2_PR
NEW met1 ( 551310 277950 ) M1M2_PR ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] ) + USE SIGNAL
+ ROUTED met2 ( 333730 2380 0 ) ( * 17510 )
NEW li1 ( 540270 17510 ) ( * 18870 )
NEW met1 ( 540270 18870 ) ( 565570 * )
NEW met1 ( 333730 17510 ) ( 540270 * )
NEW met2 ( 565570 18870 ) ( * 300220 0 )
NEW met1 ( 333730 17510 ) M1M2_PR
NEW li1 ( 540270 17510 ) L1M1_PR_MR
NEW li1 ( 540270 18870 ) L1M1_PR_MR
NEW met1 ( 565570 18870 ) M1M2_PR ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] ) + USE SIGNAL
+ ROUTED met2 ( 579830 273530 ) ( * 300220 0 )
NEW met2 ( 351210 82800 ) ( 351670 * )
NEW met2 ( 351670 2380 0 ) ( * 82800 )
NEW met2 ( 351210 82800 ) ( * 273530 )
NEW met1 ( 351210 273530 ) ( 579830 * )
NEW met1 ( 579830 273530 ) M1M2_PR
NEW met1 ( 351210 273530 ) M1M2_PR ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] ) + USE SIGNAL
+ ROUTED met2 ( 593630 276000 ) ( * 300220 0 )
NEW met2 ( 593630 276000 ) ( 594090 * )
NEW met2 ( 594090 17170 ) ( * 276000 )
NEW met2 ( 369150 2380 0 ) ( * 17170 )
NEW met1 ( 369150 17170 ) ( 594090 * )
NEW met1 ( 594090 17170 ) M1M2_PR
NEW met1 ( 369150 17170 ) M1M2_PR ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] ) + USE SIGNAL
+ ROUTED met2 ( 387090 2380 0 ) ( * 14110 )
NEW met1 ( 387090 14110 ) ( 396290 * )
NEW met2 ( 396290 14110 ) ( * 24990 )
NEW met2 ( 607890 24990 ) ( * 300220 0 )
NEW met1 ( 396290 24990 ) ( 607890 * )
NEW met1 ( 387090 14110 ) M1M2_PR
NEW met1 ( 396290 14110 ) M1M2_PR
NEW met1 ( 396290 24990 ) M1M2_PR
NEW met1 ( 607890 24990 ) M1M2_PR ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] ) + USE SIGNAL
+ ROUTED met2 ( 404570 2380 0 ) ( * 17850 )
NEW met2 ( 428950 17850 ) ( * 25330 )
NEW met1 ( 404570 17850 ) ( 428950 * )
NEW met1 ( 428950 25330 ) ( 622150 * )
NEW met2 ( 622150 25330 ) ( * 300220 0 )
NEW met1 ( 404570 17850 ) M1M2_PR
NEW met1 ( 428950 17850 ) M1M2_PR
NEW met1 ( 428950 25330 ) M1M2_PR
NEW met1 ( 622150 25330 ) M1M2_PR ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 67850 2380 0 ) ( * 13940 )
NEW met2 ( 67850 13940 ) ( 69230 * )
NEW met2 ( 69230 13940 ) ( * 23970 )
NEW met1 ( 69230 23970 ) ( 353970 * )
NEW met2 ( 353970 23970 ) ( * 300220 0 )
NEW met1 ( 69230 23970 ) M1M2_PR
NEW met1 ( 353970 23970 ) M1M2_PR ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] ) + USE SIGNAL
+ ROUTED met2 ( 422510 2380 0 ) ( * 18190 )
NEW met1 ( 422510 18190 ) ( 631350 * )
NEW met1 ( 631350 287470 ) ( 635950 * )
NEW met2 ( 635950 287470 ) ( * 300220 0 )
NEW met2 ( 631350 18190 ) ( * 287470 )
NEW met1 ( 422510 18190 ) M1M2_PR
NEW met1 ( 631350 18190 ) M1M2_PR
NEW met1 ( 631350 287470 ) M1M2_PR
NEW met1 ( 635950 287470 ) M1M2_PR ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] ) + USE SIGNAL
+ ROUTED met2 ( 439990 2380 0 ) ( * 17850 )
NEW li1 ( 623070 17850 ) ( * 18870 )
NEW met1 ( 623070 18870 ) ( 650210 * )
NEW met1 ( 439990 17850 ) ( 623070 * )
NEW met2 ( 650210 18870 ) ( * 300220 0 )
NEW met1 ( 439990 17850 ) M1M2_PR
NEW li1 ( 623070 17850 ) L1M1_PR_MR
NEW li1 ( 623070 18870 ) L1M1_PR_MR
NEW met1 ( 650210 18870 ) M1M2_PR ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] ) + USE SIGNAL
+ ROUTED met1 ( 488750 19550 ) ( * 20230 )
NEW met2 ( 664470 283390 ) ( * 300220 0 )
NEW met2 ( 457930 2380 0 ) ( * 19550 )
NEW met1 ( 457930 19550 ) ( 488750 * )
NEW met1 ( 488750 20230 ) ( 645150 * )
NEW met1 ( 645150 283390 ) ( 664470 * )
NEW met2 ( 645150 20230 ) ( * 283390 )
NEW met1 ( 664470 283390 ) M1M2_PR
NEW met1 ( 457930 19550 ) M1M2_PR
NEW met1 ( 645150 20230 ) M1M2_PR
NEW met1 ( 645150 283390 ) M1M2_PR ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] ) + USE SIGNAL
+ ROUTED met2 ( 678270 280330 ) ( * 300220 0 )
NEW met2 ( 475870 2380 0 ) ( * 280330 )
NEW met1 ( 475870 280330 ) ( 678270 * )
NEW met1 ( 475870 280330 ) M1M2_PR
NEW met1 ( 678270 280330 ) M1M2_PR ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] ) + USE SIGNAL
+ ROUTED met2 ( 493350 2380 0 ) ( * 16830 )
NEW met1 ( 493350 16830 ) ( 496570 * )
NEW met2 ( 692530 282710 ) ( * 300220 0 )
NEW met2 ( 496570 16830 ) ( * 282710 )
NEW met1 ( 496570 282710 ) ( 692530 * )
NEW met1 ( 493350 16830 ) M1M2_PR
NEW met1 ( 496570 16830 ) M1M2_PR
NEW met1 ( 496570 282710 ) M1M2_PR
NEW met1 ( 692530 282710 ) M1M2_PR ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] ) + USE SIGNAL
+ ROUTED met2 ( 511290 2380 0 ) ( * 25670 )
NEW met2 ( 706790 25670 ) ( * 300220 0 )
NEW met1 ( 511290 25670 ) ( 706790 * )
NEW met1 ( 511290 25670 ) M1M2_PR
NEW met1 ( 706790 25670 ) M1M2_PR ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] ) + USE SIGNAL
+ ROUTED met2 ( 528770 2380 0 ) ( * 26010 )
NEW met1 ( 528770 26010 ) ( 720590 * )
NEW met2 ( 720590 26010 ) ( * 300220 0 )
NEW met1 ( 528770 26010 ) M1M2_PR
NEW met1 ( 720590 26010 ) M1M2_PR ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] ) + USE SIGNAL
+ ROUTED met2 ( 579370 16150 ) ( * 31110 )
NEW met2 ( 546710 2380 0 ) ( * 16150 )
NEW met1 ( 546710 16150 ) ( 579370 * )
NEW met1 ( 579370 31110 ) ( 731630 * )
NEW met2 ( 731630 287980 ) ( 734850 * )
NEW met2 ( 734850 287980 ) ( * 300220 0 )
NEW met2 ( 731630 31110 ) ( * 287980 )
NEW met1 ( 579370 16150 ) M1M2_PR
NEW met1 ( 579370 31110 ) M1M2_PR
NEW met1 ( 546710 16150 ) M1M2_PR
NEW met1 ( 731630 31110 ) M1M2_PR ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] ) + USE SIGNAL
+ ROUTED met2 ( 564190 2380 0 ) ( * 15810 )
NEW met2 ( 617090 15810 ) ( * 16660 )
NEW met2 ( 617090 16660 ) ( 617550 * )
NEW met1 ( 564190 15810 ) ( 617090 * )
NEW met2 ( 749110 279310 ) ( * 300220 0 )
NEW met1 ( 617550 279310 ) ( 749110 * )
NEW met2 ( 617550 16660 ) ( * 279310 )
NEW met1 ( 564190 15810 ) M1M2_PR
NEW met1 ( 617090 15810 ) M1M2_PR
NEW met1 ( 617550 279310 ) M1M2_PR
NEW met1 ( 749110 279310 ) M1M2_PR ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] ) + USE SIGNAL
+ ROUTED met2 ( 582130 2380 0 ) ( * 16830 )
NEW met2 ( 762910 289510 ) ( * 300220 0 )
NEW met2 ( 686550 16830 ) ( * 289510 )
NEW met1 ( 582130 16830 ) ( 686550 * )
NEW met1 ( 686550 289510 ) ( 762910 * )
NEW met1 ( 582130 16830 ) M1M2_PR
NEW met1 ( 686550 16830 ) M1M2_PR
NEW met1 ( 686550 289510 ) M1M2_PR
NEW met1 ( 762910 289510 ) M1M2_PR ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 91310 2380 0 ) ( * 15470 )
NEW met1 ( 91310 15470 ) ( 96370 * )
NEW met2 ( 96370 15470 ) ( * 272510 )
NEW met2 ( 372830 272510 ) ( * 300220 0 )
NEW met1 ( 96370 272510 ) ( 372830 * )
NEW met1 ( 91310 15470 ) M1M2_PR
NEW met1 ( 96370 15470 ) M1M2_PR
NEW met1 ( 96370 272510 ) M1M2_PR
NEW met1 ( 372830 272510 ) M1M2_PR ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] ) + USE SIGNAL
+ ROUTED met2 ( 599610 2380 0 ) ( * 16490 )
NEW met2 ( 777170 287470 ) ( * 300220 0 )
NEW met2 ( 687010 16490 ) ( * 287130 )
NEW met1 ( 599610 16490 ) ( 687010 * )
NEW met1 ( 687010 287130 ) ( 710700 * )
NEW met1 ( 710700 287130 ) ( * 287470 )
NEW met1 ( 710700 287470 ) ( 777170 * )
NEW met1 ( 599610 16490 ) M1M2_PR
NEW met1 ( 687010 16490 ) M1M2_PR
NEW met1 ( 687010 287130 ) M1M2_PR
NEW met1 ( 777170 287470 ) M1M2_PR ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] ) + USE SIGNAL
+ ROUTED met2 ( 791430 286450 ) ( * 300220 0 )
NEW met2 ( 693450 16150 ) ( * 286450 )
NEW met2 ( 617550 2380 0 ) ( * 16150 )
NEW met1 ( 617550 16150 ) ( 693450 * )
NEW met1 ( 693450 286450 ) ( 791430 * )
NEW met1 ( 693450 16150 ) M1M2_PR
NEW met1 ( 693450 286450 ) M1M2_PR
NEW met1 ( 791430 286450 ) M1M2_PR
NEW met1 ( 617550 16150 ) M1M2_PR ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] ) + USE SIGNAL
+ ROUTED met1 ( 379730 287470 ) ( 391690 * )
NEW met2 ( 391690 287470 ) ( * 300220 0 )
NEW met2 ( 115230 2380 0 ) ( * 34500 )
NEW met2 ( 115230 34500 ) ( 117070 * )
NEW met2 ( 117070 34500 ) ( * 272850 )
NEW met2 ( 379730 272850 ) ( * 287470 )
NEW met1 ( 117070 272850 ) ( 379730 * )
NEW met1 ( 379730 287470 ) M1M2_PR
NEW met1 ( 391690 287470 ) M1M2_PR
NEW met1 ( 117070 272850 ) M1M2_PR
NEW met1 ( 379730 272850 ) M1M2_PR ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] ) + USE SIGNAL
+ ROUTED met2 ( 410550 286450 ) ( * 300220 0 )
NEW met2 ( 138690 2380 0 ) ( * 20230 )
NEW met1 ( 138690 20230 ) ( 369150 * )
NEW met1 ( 369150 286450 ) ( 410550 * )
NEW met2 ( 369150 20230 ) ( * 286450 )
NEW met1 ( 410550 286450 ) M1M2_PR
NEW met1 ( 138690 20230 ) M1M2_PR
NEW met1 ( 369150 20230 ) M1M2_PR
NEW met1 ( 369150 286450 ) M1M2_PR ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] ) + USE SIGNAL
+ ROUTED met2 ( 424350 288150 ) ( * 300220 0 )
NEW met1 ( 158470 288150 ) ( 424350 * )
NEW met2 ( 156630 2380 0 ) ( * 34500 )
NEW met2 ( 156630 34500 ) ( 158470 * )
NEW met2 ( 158470 34500 ) ( * 288150 )
NEW met1 ( 158470 288150 ) M1M2_PR
NEW met1 ( 424350 288150 ) M1M2_PR ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] ) + USE SIGNAL
+ ROUTED met2 ( 403650 20570 ) ( * 289510 )
NEW met2 ( 174110 2380 0 ) ( * 20570 )
NEW met1 ( 174110 20570 ) ( 403650 * )
NEW met2 ( 438610 289510 ) ( * 300220 0 )
NEW met1 ( 403650 289510 ) ( 438610 * )
NEW met1 ( 403650 20570 ) M1M2_PR
NEW met1 ( 403650 289510 ) M1M2_PR
NEW met1 ( 174110 20570 ) M1M2_PR
NEW met1 ( 438610 289510 ) M1M2_PR ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] ) + USE SIGNAL
+ ROUTED met2 ( 192050 2380 0 ) ( * 34500 )
NEW met2 ( 192050 34500 ) ( 192970 * )
NEW met2 ( 192970 34500 ) ( * 285430 )
NEW met2 ( 452870 285430 ) ( * 300220 0 )
NEW met1 ( 192970 285430 ) ( 452870 * )
NEW met1 ( 192970 285430 ) M1M2_PR
NEW met1 ( 452870 285430 ) M1M2_PR ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] ) + USE SIGNAL
+ ROUTED met2 ( 209530 2380 0 ) ( * 16490 )
NEW met2 ( 417450 16490 ) ( * 287130 )
NEW met1 ( 209530 16490 ) ( 417450 * )
NEW met2 ( 466670 287130 ) ( * 300220 0 )
NEW met1 ( 417450 287130 ) ( 466670 * )
NEW met1 ( 209530 16490 ) M1M2_PR
NEW met1 ( 417450 16490 ) M1M2_PR
NEW met1 ( 417450 287130 ) M1M2_PR
NEW met1 ( 466670 287130 ) M1M2_PR ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] ) + USE SIGNAL
+ ROUTED met2 ( 480930 284750 ) ( * 300220 0 )
NEW met2 ( 227470 2380 0 ) ( * 284750 )
NEW met1 ( 227470 284750 ) ( 480930 * )
NEW met1 ( 227470 284750 ) M1M2_PR
NEW met1 ( 480930 284750 ) M1M2_PR ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] ) + USE SIGNAL
+ ROUTED met2 ( 49910 2380 0 ) ( * 17170 )
NEW met1 ( 49910 17170 ) ( 334650 * )
NEW met1 ( 334650 286790 ) ( 339710 * )
NEW met2 ( 339710 286790 ) ( * 300220 0 )
NEW met2 ( 334650 17170 ) ( * 286790 )
NEW met1 ( 49910 17170 ) M1M2_PR
NEW met1 ( 334650 17170 ) M1M2_PR
NEW met1 ( 334650 286790 ) M1M2_PR
NEW met1 ( 339710 286790 ) M1M2_PR ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] ) + USE SIGNAL
+ ROUTED met2 ( 499790 284410 ) ( * 300220 0 )
NEW met2 ( 250930 2380 0 ) ( * 15810 )
NEW met1 ( 250930 15810 ) ( 255070 * )
NEW met1 ( 255070 284410 ) ( 499790 * )
NEW met2 ( 255070 15810 ) ( * 284410 )
NEW met1 ( 499790 284410 ) M1M2_PR
NEW met1 ( 250930 15810 ) M1M2_PR
NEW met1 ( 255070 15810 ) M1M2_PR
NEW met1 ( 255070 284410 ) M1M2_PR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] ) + USE SIGNAL
+ ROUTED met2 ( 514050 287470 ) ( * 300220 0 )
NEW met2 ( 268870 2380 0 ) ( * 14790 )
NEW met1 ( 268870 14790 ) ( 438150 * )
NEW met1 ( 438150 287470 ) ( 514050 * )
NEW met2 ( 438150 14790 ) ( * 287470 )
NEW met1 ( 514050 287470 ) M1M2_PR
NEW met1 ( 268870 14790 ) M1M2_PR
NEW met1 ( 438150 14790 ) M1M2_PR
NEW met1 ( 438150 287470 ) M1M2_PR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] ) + USE SIGNAL
+ ROUTED met2 ( 286350 2380 0 ) ( * 17510 )
NEW met1 ( 286350 17510 ) ( 289570 * )
NEW met2 ( 289570 17510 ) ( * 284070 )
NEW met2 ( 527850 284070 ) ( * 300220 0 )
NEW met1 ( 289570 284070 ) ( 527850 * )
NEW met1 ( 286350 17510 ) M1M2_PR
NEW met1 ( 289570 17510 ) M1M2_PR
NEW met1 ( 289570 284070 ) M1M2_PR
NEW met1 ( 527850 284070 ) M1M2_PR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] ) + USE SIGNAL
+ ROUTED met2 ( 304290 2380 0 ) ( * 14450 )
NEW met1 ( 304290 14450 ) ( 458850 * )
NEW met2 ( 542110 286790 ) ( * 300220 0 )
NEW met1 ( 458850 286790 ) ( 542110 * )
NEW met2 ( 458850 14450 ) ( * 286790 )
NEW met1 ( 304290 14450 ) M1M2_PR
NEW met1 ( 458850 14450 ) M1M2_PR
NEW met1 ( 458850 286790 ) M1M2_PR
NEW met1 ( 542110 286790 ) M1M2_PR ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] ) + USE SIGNAL
+ ROUTED met2 ( 321770 2380 0 ) ( * 15470 )
NEW met2 ( 507150 15470 ) ( * 285430 )
NEW met1 ( 321770 15470 ) ( 507150 * )
NEW met2 ( 556370 285430 ) ( * 300220 0 )
NEW met1 ( 507150 285430 ) ( 556370 * )
NEW met1 ( 321770 15470 ) M1M2_PR
NEW met1 ( 507150 15470 ) M1M2_PR
NEW met1 ( 507150 285430 ) M1M2_PR
NEW met1 ( 556370 285430 ) M1M2_PR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] ) + USE SIGNAL
+ ROUTED met2 ( 570170 283730 ) ( * 300220 0 )
NEW met2 ( 339710 2380 0 ) ( * 15810 )
NEW met1 ( 339710 15810 ) ( 541650 * )
NEW met1 ( 541650 283730 ) ( 570170 * )
NEW met2 ( 541650 15810 ) ( * 283730 )
NEW met1 ( 570170 283730 ) M1M2_PR
NEW met1 ( 339710 15810 ) M1M2_PR
NEW met1 ( 541650 15810 ) M1M2_PR
NEW met1 ( 541650 283730 ) M1M2_PR ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] ) + USE SIGNAL
+ ROUTED met2 ( 584430 283390 ) ( * 300220 0 )
NEW met2 ( 357650 2380 0 ) ( * 18870 )
NEW met1 ( 357650 18870 ) ( 465750 * )
NEW met1 ( 465750 283390 ) ( 584430 * )
NEW met2 ( 465750 18870 ) ( * 283390 )
NEW met1 ( 584430 283390 ) M1M2_PR
NEW met1 ( 357650 18870 ) M1M2_PR
NEW met1 ( 465750 18870 ) M1M2_PR
NEW met1 ( 465750 283390 ) M1M2_PR ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] ) + USE SIGNAL
+ ROUTED met2 ( 375130 2380 0 ) ( * 17850 )
NEW met1 ( 375130 17850 ) ( 379270 * )
NEW met2 ( 598690 286110 ) ( * 300220 0 )
NEW met2 ( 379270 17850 ) ( * 286110 )
NEW met1 ( 379270 286110 ) ( 598690 * )
NEW met1 ( 375130 17850 ) M1M2_PR
NEW met1 ( 379270 17850 ) M1M2_PR
NEW met1 ( 379270 286110 ) M1M2_PR
NEW met1 ( 598690 286110 ) M1M2_PR ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] ) + USE SIGNAL
+ ROUTED met2 ( 393070 2380 0 ) ( * 15130 )
NEW met2 ( 612490 285770 ) ( * 300220 0 )
NEW met1 ( 393070 15130 ) ( 548550 * )
NEW met1 ( 548550 285770 ) ( 612490 * )
NEW met2 ( 548550 15130 ) ( * 285770 )
NEW met1 ( 393070 15130 ) M1M2_PR
NEW met1 ( 612490 285770 ) M1M2_PR
NEW met1 ( 548550 15130 ) M1M2_PR
NEW met1 ( 548550 285770 ) M1M2_PR ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] ) + USE SIGNAL
+ ROUTED met2 ( 410550 2380 0 ) ( * 14110 )
NEW met1 ( 410550 14110 ) ( 555450 * )
NEW met2 ( 626750 287470 ) ( * 300220 0 )
NEW met1 ( 555450 287470 ) ( 626750 * )
NEW met2 ( 555450 14110 ) ( * 287470 )
NEW met1 ( 410550 14110 ) M1M2_PR
NEW met1 ( 555450 14110 ) M1M2_PR
NEW met1 ( 555450 287470 ) M1M2_PR
NEW met1 ( 626750 287470 ) M1M2_PR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] ) + USE SIGNAL
+ ROUTED met1 ( 334190 286450 ) ( * 286790 )
NEW met1 ( 334190 286450 ) ( 358570 * )
NEW met2 ( 358570 286450 ) ( * 300220 0 )
NEW met1 ( 75670 286790 ) ( 334190 * )
NEW met2 ( 73830 2380 0 ) ( * 34500 )
NEW met2 ( 73830 34500 ) ( 75670 * )
NEW met2 ( 75670 34500 ) ( * 286790 )
NEW met1 ( 75670 286790 ) M1M2_PR
NEW met1 ( 358570 286450 ) M1M2_PR ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] ) + USE SIGNAL
+ ROUTED met2 ( 583050 20570 ) ( * 287130 )
NEW met2 ( 428490 2380 0 ) ( * 20570 )
NEW met1 ( 428490 20570 ) ( 583050 * )
NEW met2 ( 641010 287130 ) ( * 300220 0 )
NEW met1 ( 583050 287130 ) ( 641010 * )
NEW met1 ( 583050 20570 ) M1M2_PR
NEW met1 ( 583050 287130 ) M1M2_PR
NEW met1 ( 428490 20570 ) M1M2_PR
NEW met1 ( 641010 287130 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] ) + USE SIGNAL
+ ROUTED met2 ( 445970 2380 0 ) ( * 16830 )
NEW met1 ( 445970 16830 ) ( 448270 * )
NEW met2 ( 654810 286450 ) ( * 300220 0 )
NEW met1 ( 448270 286450 ) ( 654810 * )
NEW met2 ( 448270 16830 ) ( * 286450 )
NEW met1 ( 445970 16830 ) M1M2_PR
NEW met1 ( 448270 16830 ) M1M2_PR
NEW met1 ( 448270 286450 ) M1M2_PR
NEW met1 ( 654810 286450 ) M1M2_PR ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] ) + USE SIGNAL
+ ROUTED met2 ( 669070 14790 ) ( * 300220 0 )
NEW met2 ( 463910 2380 0 ) ( * 14790 )
NEW met1 ( 463910 14790 ) ( 669070 * )
NEW met1 ( 669070 14790 ) M1M2_PR
NEW met1 ( 463910 14790 ) M1M2_PR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] ) + USE SIGNAL
+ ROUTED met2 ( 481390 2380 0 ) ( * 18530 )
NEW met1 ( 481390 18530 ) ( 509450 * )
NEW li1 ( 509450 18530 ) ( * 19550 )
NEW met1 ( 672750 287470 ) ( 683330 * )
NEW met2 ( 683330 287470 ) ( * 300220 0 )
NEW met2 ( 672750 19550 ) ( * 287470 )
NEW met1 ( 509450 19550 ) ( 672750 * )
NEW met1 ( 481390 18530 ) M1M2_PR
NEW li1 ( 509450 18530 ) L1M1_PR_MR
NEW li1 ( 509450 19550 ) L1M1_PR_MR
NEW met1 ( 672750 19550 ) M1M2_PR
NEW met1 ( 672750 287470 ) M1M2_PR
NEW met1 ( 683330 287470 ) M1M2_PR ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] ) + USE SIGNAL
+ ROUTED met2 ( 499330 2380 0 ) ( * 16830 )
NEW met2 ( 697130 18530 ) ( * 300220 0 )
NEW li1 ( 541650 16830 ) ( * 18530 )
NEW met1 ( 499330 16830 ) ( 541650 * )
NEW met1 ( 541650 18530 ) ( 697130 * )
NEW met1 ( 499330 16830 ) M1M2_PR
NEW met1 ( 697130 18530 ) M1M2_PR
NEW li1 ( 541650 16830 ) L1M1_PR_MR
NEW li1 ( 541650 18530 ) L1M1_PR_MR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] ) + USE SIGNAL
+ ROUTED met2 ( 516810 2380 0 ) ( * 18530 )
NEW met2 ( 707250 19210 ) ( * 283390 )
NEW met2 ( 518190 18530 ) ( * 19210 )
NEW met1 ( 516810 18530 ) ( 518190 * )
NEW met1 ( 518190 19210 ) ( 707250 * )
NEW met2 ( 711390 283390 ) ( * 300220 0 )
NEW met1 ( 707250 283390 ) ( 711390 * )
NEW met1 ( 516810 18530 ) M1M2_PR
NEW met1 ( 707250 19210 ) M1M2_PR
NEW met1 ( 707250 283390 ) M1M2_PR
NEW met1 ( 518190 18530 ) M1M2_PR
NEW met1 ( 518190 19210 ) M1M2_PR
NEW met1 ( 711390 283390 ) M1M2_PR ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] ) + USE SIGNAL
+ ROUTED met2 ( 534750 2380 0 ) ( * 15470 )
NEW met1 ( 534750 15470 ) ( 714150 * )
NEW met1 ( 714150 287130 ) ( 725650 * )
NEW met2 ( 725650 287130 ) ( * 300220 0 )
NEW met2 ( 714150 15470 ) ( * 287130 )
NEW met1 ( 534750 15470 ) M1M2_PR
NEW met1 ( 714150 15470 ) M1M2_PR
NEW met1 ( 714150 287130 ) M1M2_PR
NEW met1 ( 725650 287130 ) M1M2_PR ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] ) + USE SIGNAL
+ ROUTED met2 ( 552690 2380 0 ) ( * 17510 )
NEW met1 ( 552690 17510 ) ( 558670 * )
NEW met2 ( 739450 286790 ) ( * 300220 0 )
NEW met1 ( 558670 286790 ) ( 739450 * )
NEW met2 ( 558670 17510 ) ( * 286790 )
NEW met1 ( 552690 17510 ) M1M2_PR
NEW met1 ( 558670 17510 ) M1M2_PR
NEW met1 ( 558670 286790 ) M1M2_PR
NEW met1 ( 739450 286790 ) M1M2_PR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] ) + USE SIGNAL
+ ROUTED met2 ( 570170 2380 0 ) ( * 17510 )
NEW met1 ( 570170 17510 ) ( 721050 * )
NEW met1 ( 721050 285090 ) ( 753710 * )
NEW met2 ( 753710 285090 ) ( * 300220 0 )
NEW met2 ( 721050 17510 ) ( * 285090 )
NEW met1 ( 570170 17510 ) M1M2_PR
NEW met1 ( 721050 17510 ) M1M2_PR
NEW met1 ( 721050 285090 ) M1M2_PR
NEW met1 ( 753710 285090 ) M1M2_PR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] ) + USE SIGNAL
+ ROUTED met2 ( 588110 2380 0 ) ( * 20570 )
NEW met2 ( 767970 283730 ) ( * 300220 0 )
NEW met1 ( 588110 20570 ) ( 734850 * )
NEW met1 ( 734850 283730 ) ( 767970 * )
NEW met2 ( 734850 20570 ) ( * 283730 )
NEW met1 ( 588110 20570 ) M1M2_PR
NEW met1 ( 767970 283730 ) M1M2_PR
NEW met1 ( 734850 20570 ) M1M2_PR
NEW met1 ( 734850 283730 ) M1M2_PR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] ) + USE SIGNAL
+ ROUTED met2 ( 97290 2380 0 ) ( * 18870 )
NEW met2 ( 377430 283390 ) ( * 300220 0 )
NEW met1 ( 97290 18870 ) ( 341550 * )
NEW met1 ( 341550 283390 ) ( 377430 * )
NEW met2 ( 341550 18870 ) ( * 283390 )
NEW met1 ( 97290 18870 ) M1M2_PR
NEW met1 ( 377430 283390 ) M1M2_PR
NEW met1 ( 341550 18870 ) M1M2_PR
NEW met1 ( 341550 283390 ) M1M2_PR ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] ) + USE SIGNAL
+ ROUTED met2 ( 605590 2380 0 ) ( * 17170 )
NEW met2 ( 781770 286790 ) ( * 300220 0 )
NEW met1 ( 605590 17170 ) ( 741750 * )
NEW met1 ( 741750 286790 ) ( 781770 * )
NEW met2 ( 741750 17170 ) ( * 286790 )
NEW met1 ( 605590 17170 ) M1M2_PR
NEW met1 ( 781770 286790 ) M1M2_PR
NEW met1 ( 741750 17170 ) M1M2_PR
NEW met1 ( 741750 286790 ) M1M2_PR ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] ) + USE SIGNAL
+ ROUTED met2 ( 796030 286110 ) ( * 300220 0 )
NEW met2 ( 623530 2380 0 ) ( * 17850 )
NEW met1 ( 623530 17850 ) ( 627670 * )
NEW met1 ( 627670 286110 ) ( 796030 * )
NEW met2 ( 627670 17850 ) ( * 286110 )
NEW met1 ( 796030 286110 ) M1M2_PR
NEW met1 ( 623530 17850 ) M1M2_PR
NEW met1 ( 627670 17850 ) M1M2_PR
NEW met1 ( 627670 286110 ) M1M2_PR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] ) + USE SIGNAL
+ ROUTED met2 ( 121210 2380 0 ) ( * 17850 )
NEW met1 ( 121210 17850 ) ( 123970 * )
NEW met2 ( 396290 287130 ) ( * 300220 0 )
NEW met2 ( 123970 17850 ) ( * 287130 )
NEW met1 ( 123970 287130 ) ( 396290 * )
NEW met1 ( 121210 17850 ) M1M2_PR
NEW met1 ( 123970 17850 ) M1M2_PR
NEW met1 ( 123970 287130 ) M1M2_PR
NEW met1 ( 396290 287130 ) M1M2_PR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] ) + USE SIGNAL
+ ROUTED met1 ( 376050 286790 ) ( 415150 * )
NEW met2 ( 415150 286790 ) ( * 300220 0 )
NEW met2 ( 376050 19550 ) ( * 286790 )
NEW met2 ( 144670 2380 0 ) ( * 19550 )
NEW met1 ( 144670 19550 ) ( 376050 * )
NEW met1 ( 376050 19550 ) M1M2_PR
NEW met1 ( 376050 286790 ) M1M2_PR
NEW met1 ( 415150 286790 ) M1M2_PR
NEW met1 ( 144670 19550 ) M1M2_PR ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] ) + USE SIGNAL
+ ROUTED met2 ( 162150 2380 0 ) ( * 16830 )
NEW met1 ( 162150 16830 ) ( 165370 * )
NEW met2 ( 429410 287810 ) ( * 300220 0 )
NEW met1 ( 165370 287810 ) ( 429410 * )
NEW met2 ( 165370 16830 ) ( * 287810 )
NEW met1 ( 162150 16830 ) M1M2_PR
NEW met1 ( 165370 16830 ) M1M2_PR
NEW met1 ( 165370 287810 ) M1M2_PR
NEW met1 ( 429410 287810 ) M1M2_PR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] ) + USE SIGNAL
+ ROUTED met2 ( 180090 2380 0 ) ( * 16150 )
NEW met1 ( 180090 16150 ) ( 207230 * )
NEW met1 ( 207230 16150 ) ( * 16830 )
NEW met2 ( 410550 16830 ) ( * 227700 )
NEW met2 ( 410550 227700 ) ( 411010 * )
NEW met2 ( 411010 227700 ) ( * 286450 )
NEW met1 ( 207230 16830 ) ( 410550 * )
NEW met2 ( 443210 286450 ) ( * 300220 0 )
NEW met1 ( 411010 286450 ) ( 443210 * )
NEW met1 ( 180090 16150 ) M1M2_PR
NEW met1 ( 410550 16830 ) M1M2_PR
NEW met1 ( 411010 286450 ) M1M2_PR
NEW met1 ( 443210 286450 ) M1M2_PR ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] ) + USE SIGNAL
+ ROUTED met2 ( 198030 2380 0 ) ( * 34500 )
NEW met2 ( 198030 34500 ) ( 199870 * )
NEW met2 ( 199870 34500 ) ( * 285770 )
NEW met2 ( 457470 285770 ) ( * 300220 0 )
NEW met1 ( 199870 285770 ) ( 457470 * )
NEW met1 ( 199870 285770 ) M1M2_PR
NEW met1 ( 457470 285770 ) M1M2_PR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] ) + USE SIGNAL
+ ROUTED met2 ( 215510 2380 0 ) ( * 16150 )
NEW met2 ( 471730 283730 ) ( * 300220 0 )
NEW met2 ( 417910 16150 ) ( * 283730 )
NEW met1 ( 215510 16150 ) ( 417910 * )
NEW met1 ( 417910 283730 ) ( 471730 * )
NEW met1 ( 215510 16150 ) M1M2_PR
NEW met1 ( 417910 16150 ) M1M2_PR
NEW met1 ( 417910 283730 ) M1M2_PR
NEW met1 ( 471730 283730 ) M1M2_PR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] ) + USE SIGNAL
+ ROUTED met2 ( 485530 285090 ) ( * 300220 0 )
NEW met1 ( 234370 285090 ) ( 485530 * )
NEW met2 ( 233450 2380 0 ) ( * 34500 )
NEW met2 ( 233450 34500 ) ( 234370 * )
NEW met2 ( 234370 34500 ) ( * 285090 )
NEW met1 ( 485530 285090 ) M1M2_PR
NEW met1 ( 234370 285090 ) M1M2_PR ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] ) + USE SIGNAL
+ ROUTED met2 ( 55890 2380 0 ) ( * 18190 )
NEW met1 ( 55890 18190 ) ( 344770 * )
NEW met2 ( 344770 18190 ) ( * 300220 0 )
NEW met1 ( 55890 18190 ) M1M2_PR
NEW met1 ( 344770 18190 ) M1M2_PR ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] ) + USE SIGNAL
+ ROUTED met2 ( 79810 2380 0 ) ( * 16830 )
NEW met1 ( 79810 16830 ) ( 82570 * )
NEW met1 ( 333730 286110 ) ( * 286450 )
NEW met1 ( 333730 286110 ) ( 363170 * )
NEW met2 ( 363170 286110 ) ( * 300220 0 )
NEW met1 ( 82570 286450 ) ( 333730 * )
NEW met2 ( 82570 16830 ) ( * 286450 )
NEW met1 ( 79810 16830 ) M1M2_PR
NEW met1 ( 82570 16830 ) M1M2_PR
NEW met1 ( 82570 286450 ) M1M2_PR
NEW met1 ( 363170 286110 ) M1M2_PR ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] ) + USE SIGNAL
+ ROUTED met2 ( 103270 2380 0 ) ( * 16830 )
NEW met1 ( 103270 16830 ) ( 124430 * )
NEW li1 ( 124430 16830 ) ( * 17850 )
NEW met2 ( 382030 18190 ) ( * 300220 0 )
NEW met1 ( 372600 18190 ) ( 382030 * )
NEW met1 ( 372600 17850 ) ( * 18190 )
NEW met1 ( 124430 17850 ) ( 372600 * )
NEW met1 ( 103270 16830 ) M1M2_PR
NEW li1 ( 124430 16830 ) L1M1_PR_MR
NEW li1 ( 124430 17850 ) L1M1_PR_MR
NEW met1 ( 382030 18190 ) M1M2_PR ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] ) + USE SIGNAL
+ ROUTED met2 ( 126730 2380 0 ) ( * 16830 )
NEW met1 ( 126730 16830 ) ( 130870 * )
NEW li1 ( 379270 287470 ) ( * 289510 )
NEW met1 ( 379270 289510 ) ( 400890 * )
NEW met2 ( 400890 289510 ) ( * 300220 0 )
NEW met2 ( 130870 16830 ) ( * 287470 )
NEW met1 ( 130870 287470 ) ( 379270 * )
NEW met1 ( 126730 16830 ) M1M2_PR
NEW met1 ( 130870 16830 ) M1M2_PR
NEW met1 ( 130870 287470 ) M1M2_PR
NEW li1 ( 379270 287470 ) L1M1_PR_MR
NEW li1 ( 379270 289510 ) L1M1_PR_MR
NEW met1 ( 400890 289510 ) M1M2_PR ;
- wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i ) + USE SIGNAL
+ ROUTED met2 ( 26450 2380 0 ) ( * 17510 )
NEW li1 ( 285890 17510 ) ( * 19890 )
NEW met1 ( 285890 19890 ) ( 320850 * )
NEW met2 ( 320850 19890 ) ( * 300220 0 )
NEW met1 ( 26450 17510 ) ( 285890 * )
NEW met1 ( 26450 17510 ) M1M2_PR
NEW li1 ( 285890 17510 ) L1M1_PR_MR
NEW li1 ( 285890 19890 ) L1M1_PR_MR
NEW met1 ( 320850 19890 ) M1M2_PR ;
- wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i ) + USE SIGNAL
+ ROUTED met2 ( 32430 2380 0 ) ( * 34500 )
NEW met2 ( 32430 34500 ) ( 34270 * )
NEW met2 ( 34270 34500 ) ( * 286110 )
NEW met2 ( 325910 286110 ) ( * 300220 0 )
NEW met1 ( 34270 286110 ) ( 325910 * )
NEW met1 ( 34270 286110 ) M1M2_PR
NEW met1 ( 325910 286110 ) M1M2_PR ;
- zero_ ( PIN la_oenb[9] ) ( PIN la_oenb[99] ) ( PIN la_oenb[98] ) ( PIN la_oenb[97] ) ( PIN la_oenb[96] ) ( PIN la_oenb[95] ) ( PIN la_oenb[94] )
( PIN la_oenb[93] ) ( PIN la_oenb[92] ) ( PIN la_oenb[91] ) ( PIN la_oenb[90] ) ( PIN la_oenb[8] ) ( PIN la_oenb[89] ) ( PIN la_oenb[88] ) ( PIN la_oenb[87] )
( PIN la_oenb[86] ) ( PIN la_oenb[85] ) ( PIN la_oenb[84] ) ( PIN la_oenb[83] ) ( PIN la_oenb[82] ) ( PIN la_oenb[81] ) ( PIN la_oenb[80] ) ( PIN la_oenb[7] )
( PIN la_oenb[79] ) ( PIN la_oenb[78] ) ( PIN la_oenb[77] ) ( PIN la_oenb[76] ) ( PIN la_oenb[75] ) ( PIN la_oenb[74] ) ( PIN la_oenb[73] ) ( PIN la_oenb[72] )
( PIN la_oenb[71] ) ( PIN la_oenb[70] ) ( PIN la_oenb[6] ) ( PIN la_oenb[69] ) ( PIN la_oenb[68] ) ( PIN la_oenb[67] ) ( PIN la_oenb[66] ) ( PIN la_oenb[65] )
( PIN la_oenb[64] ) ( PIN la_oenb[63] ) ( PIN la_oenb[62] ) ( PIN la_oenb[61] ) ( PIN la_oenb[60] ) ( PIN la_oenb[5] ) ( PIN la_oenb[59] ) ( PIN la_oenb[58] )
( PIN la_oenb[57] ) ( PIN la_oenb[56] ) ( PIN la_oenb[55] ) ( PIN la_oenb[54] ) ( PIN la_oenb[53] ) ( PIN la_oenb[52] ) ( PIN la_oenb[51] ) ( PIN la_oenb[50] )
( PIN la_oenb[4] ) ( PIN la_oenb[49] ) ( PIN la_oenb[48] ) ( PIN la_oenb[47] ) ( PIN la_oenb[46] ) ( PIN la_oenb[45] ) ( PIN la_oenb[44] ) ( PIN la_oenb[43] )
( PIN la_oenb[42] ) ( PIN la_oenb[41] ) ( PIN la_oenb[40] ) ( PIN la_oenb[3] ) ( PIN la_oenb[39] ) ( PIN la_oenb[38] ) ( PIN la_oenb[37] ) ( PIN la_oenb[36] )
( PIN la_oenb[35] ) ( PIN la_oenb[34] ) ( PIN la_oenb[33] ) ( PIN la_oenb[32] ) ( PIN la_oenb[31] ) ( PIN la_oenb[30] ) ( PIN la_oenb[2] ) ( PIN la_oenb[29] )
( PIN la_oenb[28] ) ( PIN la_oenb[27] ) ( PIN la_oenb[26] ) ( PIN la_oenb[25] ) ( PIN la_oenb[24] ) ( PIN la_oenb[23] ) ( PIN la_oenb[22] ) ( PIN la_oenb[21] )
( PIN la_oenb[20] ) ( PIN la_oenb[1] ) ( PIN la_oenb[19] ) ( PIN la_oenb[18] ) ( PIN la_oenb[17] ) ( PIN la_oenb[16] ) ( PIN la_oenb[15] ) ( PIN la_oenb[14] )
( PIN la_oenb[13] ) ( PIN la_oenb[12] ) ( PIN la_oenb[127] ) ( PIN la_oenb[126] ) ( PIN la_oenb[125] ) ( PIN la_oenb[124] ) ( PIN la_oenb[123] ) ( PIN la_oenb[122] )
( PIN la_oenb[121] ) ( PIN la_oenb[120] ) ( PIN la_oenb[11] ) ( PIN la_oenb[119] ) ( PIN la_oenb[118] ) ( PIN la_oenb[117] ) ( PIN la_oenb[116] ) ( PIN la_oenb[115] )
( PIN la_oenb[114] ) ( PIN la_oenb[113] ) ( PIN la_oenb[112] ) ( PIN la_oenb[111] ) ( PIN la_oenb[110] ) ( PIN la_oenb[10] ) ( PIN la_oenb[109] ) ( PIN la_oenb[108] )
( PIN la_oenb[107] ) ( PIN la_oenb[106] ) ( PIN la_oenb[105] ) ( PIN la_oenb[104] ) ( PIN la_oenb[103] ) ( PIN la_oenb[102] ) ( PIN la_oenb[101] ) ( PIN la_oenb[100] )
( PIN la_oenb[0] ) ( PIN la_data_out[9] ) ( PIN la_data_out[99] ) ( PIN la_data_out[98] ) ( PIN la_data_out[97] ) ( PIN la_data_out[96] ) ( PIN la_data_out[95] ) ( PIN la_data_out[94] )
( PIN la_data_out[93] ) ( PIN la_data_out[92] ) ( PIN la_data_out[91] ) ( PIN la_data_out[90] ) ( PIN la_data_out[8] ) ( PIN la_data_out[89] ) ( PIN la_data_out[88] ) ( PIN la_data_out[87] )
( PIN la_data_out[86] ) ( PIN la_data_out[85] ) ( PIN la_data_out[84] ) ( PIN la_data_out[83] ) ( PIN la_data_out[82] ) ( PIN la_data_out[81] ) ( PIN la_data_out[80] ) ( PIN la_data_out[7] )
( PIN la_data_out[79] ) ( PIN la_data_out[78] ) ( PIN la_data_out[77] ) ( PIN la_data_out[76] ) ( PIN la_data_out[75] ) ( PIN la_data_out[74] ) ( PIN la_data_out[73] ) ( PIN la_data_out[72] )
( PIN la_data_out[71] ) ( PIN la_data_out[70] ) ( PIN la_data_out[6] ) ( PIN la_data_out[69] ) ( PIN la_data_out[68] ) ( PIN la_data_out[67] ) ( PIN la_data_out[66] ) ( PIN la_data_out[65] )
( PIN la_data_out[64] ) ( PIN la_data_out[63] ) ( PIN la_data_out[62] ) ( PIN la_data_out[61] ) ( PIN la_data_out[60] ) ( PIN la_data_out[5] ) ( PIN la_data_out[59] ) ( PIN la_data_out[58] )
( PIN la_data_out[57] ) ( PIN la_data_out[56] ) ( PIN la_data_out[55] ) ( PIN la_data_out[54] ) ( PIN la_data_out[53] ) ( PIN la_data_out[52] ) ( PIN la_data_out[51] ) ( PIN la_data_out[50] )
( PIN la_data_out[4] ) ( PIN la_data_out[49] ) ( PIN la_data_out[48] ) ( PIN la_data_out[47] ) ( PIN la_data_out[46] ) ( PIN la_data_out[45] ) ( PIN la_data_out[44] ) ( PIN la_data_out[43] )
( PIN la_data_out[42] ) ( PIN la_data_out[41] ) ( PIN la_data_out[40] ) ( PIN la_data_out[3] ) ( PIN la_data_out[39] ) ( PIN la_data_out[38] ) ( PIN la_data_out[37] ) ( PIN la_data_out[36] )
( PIN la_data_out[35] ) ( PIN la_data_out[34] ) ( PIN la_data_out[33] ) ( PIN la_data_out[32] ) ( PIN la_data_out[31] ) ( PIN la_data_out[30] ) ( PIN la_data_out[2] ) ( PIN la_data_out[29] )
( PIN la_data_out[28] ) ( PIN la_data_out[27] ) ( PIN la_data_out[26] ) ( PIN la_data_out[25] ) ( PIN la_data_out[24] ) ( PIN la_data_out[23] ) ( PIN la_data_out[22] ) ( PIN la_data_out[21] )
( PIN la_data_out[20] ) ( PIN la_data_out[1] ) ( PIN la_data_out[19] ) ( PIN la_data_out[18] ) ( PIN la_data_out[17] ) ( PIN la_data_out[16] ) ( PIN la_data_out[15] ) ( PIN la_data_out[14] )
( PIN la_data_out[13] ) ( PIN la_data_out[12] ) ( PIN la_data_out[127] ) ( PIN la_data_out[126] ) ( PIN la_data_out[125] ) ( PIN la_data_out[124] ) ( PIN la_data_out[123] ) ( PIN la_data_out[122] )
( PIN la_data_out[121] ) ( PIN la_data_out[120] ) ( PIN la_data_out[11] ) ( PIN la_data_out[119] ) ( PIN la_data_out[118] ) ( PIN la_data_out[117] ) ( PIN la_data_out[116] ) ( PIN la_data_out[115] )
( PIN la_data_out[114] ) ( PIN la_data_out[113] ) ( PIN la_data_out[112] ) ( PIN la_data_out[111] ) ( PIN la_data_out[110] ) ( PIN la_data_out[10] ) ( PIN la_data_out[109] ) ( PIN la_data_out[108] )
( PIN la_data_out[107] ) ( PIN la_data_out[106] ) ( PIN la_data_out[105] ) ( PIN la_data_out[104] ) ( PIN la_data_out[103] ) ( PIN la_data_out[102] ) ( PIN la_data_out[101] ) ( PIN la_data_out[100] )
( PIN la_data_out[0] ) + USE GROUND ;
END NETS
END DESIGN