uart master interface added
diff --git a/README.md b/README.md
index 5f59d8d..28a6d02 100644
--- a/README.md
+++ b/README.md
@@ -114,12 +114,12 @@
<tr align="center"> <td> SFlash </td> <td> sflash_io1 </td> <td> </td> <td> digital_io[27] </td></tr>
<tr align="center"> <td> SFlash </td> <td> sflash_io2 </td> <td> </td> <td> digital_io[28] </td></tr>
<tr align="center"> <td> SFlash </td> <td> sflash_io3 </td> <td> </td> <td> digital_io[29] </td></tr>
- <tr align="center"> <td> SSRAM </td> <td> ssram_sck </td> <td> </td> <td> digital_io[30] </td></tr>
- <tr align="center"> <td> SSRAM </td> <td> ssram_ss </td> <td> </td> <td> digital_io[31] </td></tr>
- <tr align="center"> <td> SSRAM </td> <td> ssram_io0 </td> <td> </td> <td> digital_io[32] </td></tr>
- <tr align="center"> <td> SSRAM </td> <td> ssram_io1 </td> <td> </td> <td> digital_io[33] </td></tr>
- <tr align="center"> <td> SSRAM </td> <td> ssram_io2 </td> <td> </td> <td> digital_io[34] </td></tr>
- <tr align="center"> <td> SSRAM </td> <td> ssram_io3 </td> <td> </td> <td> digital_io[35] </td></tr>
+ <tr align="center"> <td> SSRAM </td> <td> Reserved </td> <td> </td> <td> digital_io[30] </td></tr>
+ <tr align="center"> <td> SSRAM </td> <td> Reserved </td> <td> </td> <td> digital_io[31] </td></tr>
+ <tr align="center"> <td> SSRAM </td> <td> Reserved </td> <td> </td> <td> digital_io[32] </td></tr>
+ <tr align="center"> <td> SSRAM </td> <td> Reserved </td> <td> </td> <td> digital_io[33] </td></tr>
+ <tr align="center"> <td> SSRAM </td> <td> uartm rxd </td> <td> </td> <td> digital_io[34] </td></tr>
+ <tr align="center"> <td> SSRAM </td> <td> uartm txd </td> <td> </td> <td> digital_io[35] </td></tr>
<tr align="center"> <td> usb1.1 </td> <td> usb_dp </td> <td> </td> <td> digital_io[36] </td></tr>
<tr align="center"> <td> usb1.1 </td> <td> usb_dn </td> <td> </td> <td> digital_io[37] </td></tr>
</table>
diff --git a/def/pinmux.def.gz b/def/pinmux.def.gz
index a9e8ac4..0e857dc 100644
--- a/def/pinmux.def.gz
+++ b/def/pinmux.def.gz
Binary files differ
diff --git a/def/user_project_wrapper.def.gz b/def/user_project_wrapper.def.gz
index 5804d64..44b7972 100644
--- a/def/user_project_wrapper.def.gz
+++ b/def/user_project_wrapper.def.gz
Binary files differ
diff --git a/def/wb_host.def.gz b/def/wb_host.def.gz
index e0e08a4..eae3081 100644
--- a/def/wb_host.def.gz
+++ b/def/wb_host.def.gz
Binary files differ
diff --git a/gds/pinmux.gds.gz b/gds/pinmux.gds.gz
index 71b279e..ab8d0c9 100644
--- a/gds/pinmux.gds.gz
+++ b/gds/pinmux.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 487ca67..97199b3 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/gds/wb_host.gds.gz b/gds/wb_host.gds.gz
index 6cc0607..6e6bfcf 100644
--- a/gds/wb_host.gds.gz
+++ b/gds/wb_host.gds.gz
Binary files differ
diff --git a/lef/pinmux.lef.gz b/lef/pinmux.lef.gz
index eb7f291..77a4e80 100644
--- a/lef/pinmux.lef.gz
+++ b/lef/pinmux.lef.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef.gz b/lef/user_project_wrapper.lef.gz
index a0dd8fa..41101e1 100644
--- a/lef/user_project_wrapper.lef.gz
+++ b/lef/user_project_wrapper.lef.gz
Binary files differ
diff --git a/lef/wb_host.lef.gz b/lef/wb_host.lef.gz
index e84af8b..e299e30 100644
--- a/lef/wb_host.lef.gz
+++ b/lef/wb_host.lef.gz
Binary files differ
diff --git a/mag/pinmux.mag.gz b/mag/pinmux.mag.gz
index 8ae97c9..b0af5e8 100644
--- a/mag/pinmux.mag.gz
+++ b/mag/pinmux.mag.gz
Binary files differ
diff --git a/mag/user_project_wrapper.mag.gz b/mag/user_project_wrapper.mag.gz
index 066e2e8..095833e 100644
--- a/mag/user_project_wrapper.mag.gz
+++ b/mag/user_project_wrapper.mag.gz
Binary files differ
diff --git a/mag/wb_host.mag.gz b/mag/wb_host.mag.gz
index fdb7292..2f1aac1 100644
--- a/mag/wb_host.mag.gz
+++ b/mag/wb_host.mag.gz
Binary files differ
diff --git a/maglef/pinmux.mag.gz b/maglef/pinmux.mag.gz
index 59e03d5..94d9671 100644
--- a/maglef/pinmux.mag.gz
+++ b/maglef/pinmux.mag.gz
Binary files differ
diff --git a/maglef/user_project_wrapper.mag.gz b/maglef/user_project_wrapper.mag.gz
index 8f948bc..149e98f 100644
--- a/maglef/user_project_wrapper.mag.gz
+++ b/maglef/user_project_wrapper.mag.gz
Binary files differ
diff --git a/maglef/wb_host.mag.gz b/maglef/wb_host.mag.gz
index d614ee8..7a0096c 100644
--- a/maglef/wb_host.mag.gz
+++ b/maglef/wb_host.mag.gz
Binary files differ
diff --git a/openlane/pinmux/pin_order.cfg b/openlane/pinmux/pin_order.cfg
index 05643c9..eab1c0c 100644
--- a/openlane/pinmux/pin_order.cfg
+++ b/openlane/pinmux/pin_order.cfg
@@ -26,6 +26,9 @@
pulse1m_mclk
i2cm_intr
usb_intr
+uartm_rxd
+uartm_txd
+
pinmux_debug\[0\] 0100 0 2
pinmux_debug\[1\]
pinmux_debug\[2\]
diff --git a/openlane/user_project_wrapper/macro.cfg b/openlane/user_project_wrapper/macro.cfg
index b98e50c..535ebc1 100644
--- a/openlane/user_project_wrapper/macro.cfg
+++ b/openlane/user_project_wrapper/macro.cfg
@@ -11,4 +11,4 @@
u_sram2_2kb 150 2900 N
u_sram3_2kb 950 2900 N
u_intercon 1850 600 N
-u_wb_host 1850 300 N
+u_wb_host 1450 250 N
diff --git a/openlane/wb_host/base.sdc b/openlane/wb_host/base.sdc
index 479be45..c332df0 100644
--- a/openlane/wb_host/base.sdc
+++ b/openlane/wb_host/base.sdc
@@ -8,22 +8,11 @@
###############################################################################
create_clock -name wbm_clk_i -period 10.0000 [get_ports {wbm_clk_i}]
create_clock -name wbs_clk_i -period 10.0000 [get_ports {wbs_clk_i}]
-set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -hold 0.2500
-set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -setup 0.2500
-set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -fall_to [get_clocks {wbm_clk_i}] -hold 0.2500
-set_clock_uncertainty -rise_from [get_clocks {wbm_clk_i}] -fall_to [get_clocks {wbm_clk_i}] -setup 0.2500
-set_clock_uncertainty -fall_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -hold 0.2500
-set_clock_uncertainty -fall_from [get_clocks {wbm_clk_i}] -rise_to [get_clocks {wbm_clk_i}] -setup 0.2500
-set_clock_uncertainty -fall_from [get_clocks {wbm_clk_i}] -fall_to [get_clocks {wbm_clk_i}] -hold 0.2500
-set_clock_uncertainty -fall_from [get_clocks {wbm_clk_i}] -fall_to [get_clocks {wbm_clk_i}] -setup 0.2500
-set_clock_uncertainty -rise_from [get_clocks {wbs_clk_i}] -rise_to [get_clocks {wbs_clk_i}] -hold 0.2500
-set_clock_uncertainty -rise_from [get_clocks {wbs_clk_i}] -rise_to [get_clocks {wbs_clk_i}] -setup 0.2500
-set_clock_uncertainty -rise_from [get_clocks {wbs_clk_i}] -fall_to [get_clocks {wbs_clk_i}] -hold 0.2500
-set_clock_uncertainty -rise_from [get_clocks {wbs_clk_i}] -fall_to [get_clocks {wbs_clk_i}] -setup 0.2500
-set_clock_uncertainty -fall_from [get_clocks {wbs_clk_i}] -rise_to [get_clocks {wbs_clk_i}] -hold 0.2500
-set_clock_uncertainty -fall_from [get_clocks {wbs_clk_i}] -rise_to [get_clocks {wbs_clk_i}] -setup 0.2500
-set_clock_uncertainty -fall_from [get_clocks {wbs_clk_i}] -fall_to [get_clocks {wbs_clk_i}] -hold 0.2500
-set_clock_uncertainty -fall_from [get_clocks {wbs_clk_i}] -fall_to [get_clocks {wbs_clk_i}] -setup 0.2500
+create_clock -name uart_clk -period 100.0000 [get_pins {u_uart2wb.u_core.u_uart_clk.u_mux/X}]
+
+set_clock_transition 0.1500 [all_clocks]
+set_clock_uncertainty -setup 0.2500 [all_clocks]
+set_clock_uncertainty -hold 0.2500 [all_clocks]
set ::env(SYNTH_TIMING_DERATE) 0.05
puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
@@ -31,7 +20,8 @@
set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
set_clock_groups -name async_clock -asynchronous \
- -group [get_clocks {wbs_clk_i}]\
+ -group [get_clocks {uart_clk}] \
+ -group [get_clocks {wbs_clk_i}] \
-group [get_clocks {wbm_clk_i}] -comment {Async Clock group}
### ClkSkew Adjust
diff --git a/openlane/wb_host/config.tcl b/openlane/wb_host/config.tcl
index 3ad1047..cb28644 100755
--- a/openlane/wb_host/config.tcl
+++ b/openlane/wb_host/config.tcl
@@ -26,7 +26,7 @@
# Timing configuration
set ::env(CLOCK_PERIOD) "10"
-set ::env(CLOCK_PORT) "wbm_clk_i wbs_clk_i"
+set ::env(CLOCK_PORT) "wbm_clk_i wbs_clk_i u_uart2wb.u_core.u_uart_clk.u_mux/X"
set ::env(SYNTH_MAX_FANOUT) 4
@@ -46,7 +46,17 @@
$script_dir/../../verilog/rtl/lib/async_wb.sv \
$script_dir/../../verilog/rtl/lib/clk_ctl.v \
$script_dir/../../verilog/rtl/lib/ctech_cells.sv \
- $script_dir/../../verilog/rtl/lib/registers.v"
+ $script_dir/../../verilog/rtl/lib/registers.v \
+ $script_dir/../../verilog/rtl/lib/reset_sync.sv \
+ $script_dir/../../verilog/rtl/lib/async_reg_bus.sv \
+ $script_dir/../../verilog/rtl/uart/src/uart_txfsm.sv \
+ $script_dir/../../verilog/rtl/uart/src/uart_rxfsm.sv \
+ $script_dir/../../verilog/rtl/lib/double_sync_low.v \
+ $script_dir/../../verilog/rtl/wb_interconnect/src/wb_arb.sv \
+ $script_dir/../../verilog/rtl/uart2wb/src/uart2wb.sv \
+ $script_dir/../../verilog/rtl/uart2wb/src/uart2_core.sv \
+ $script_dir/../../verilog/rtl/uart2wb/src/uart_msg_handler.v \
+ "
set ::env(SYNTH_READ_BLACKBOX_LIB) 1
set ::env(SYNTH_DEFINES) [list SYNTHESIS ]
@@ -65,7 +75,7 @@
set ::env(FP_PIN_ORDER_CFG) $::env(DESIGN_DIR)/pin_order.cfg
set ::env(FP_SIZING) absolute
-set ::env(DIE_AREA) "0 0 450 200"
+set ::env(DIE_AREA) "0 0 800 250"
# If you're going to use multiple power domains, then keep this disabled.
@@ -75,7 +85,7 @@
set ::env(PL_TIME_DRIVEN) 1
-set ::env(PL_TARGET_DENSITY) "0.41"
+set ::env(PL_TARGET_DENSITY) "0.33"
diff --git a/openlane/wb_host/pin_order.cfg b/openlane/wb_host/pin_order.cfg
index ed4f0bd..4fac1a3 100644
--- a/openlane/wb_host/pin_order.cfg
+++ b/openlane/wb_host/pin_order.cfg
@@ -146,9 +146,33 @@
wbm_dat_o\[31\]
wbm_err_o
+la_data_in\[0\] 300 0 2
+la_data_in\[1\]
+la_data_in\[2\]
+la_data_in\[3\]
+la_data_in\[4\]
+la_data_in\[5\]
+la_data_in\[6\]
+la_data_in\[7\]
+la_data_in\[8\]
+la_data_in\[9\]
+la_data_in\[10\]
+la_data_in\[11\]
+la_data_in\[12\]
+la_data_in\[13\]
+la_data_in\[14\]
+la_data_in\[15\]
+la_data_in\[16\]
+la_data_in\[17\]
+
+#E
+
+uartm_rxd 200 0 2
+uartm_txd
+
#N
-wbd_int_rst_n 0000 0 2
+wbd_int_rst_n 0400 0 2
cfg_clk_ctrl2\[31\]
cfg_clk_ctrl2\[30\]
cfg_clk_ctrl2\[29\]
@@ -208,7 +232,7 @@
-wbs_stb_o 060 0 2
+wbs_stb_o 460 0 2
wbs_we_o
wbs_adr_o\[31\]
wbs_adr_o\[30\]
@@ -315,4 +339,3 @@
wbs_cyc_o
-
diff --git a/openlane/wb_interconnect/base.sdc b/openlane/wb_interconnect/base.sdc
index 68e7dde..ac76e84 100644
--- a/openlane/wb_interconnect/base.sdc
+++ b/openlane/wb_interconnect/base.sdc
@@ -16,10 +16,7 @@
set_clock_uncertainty -fall_from [get_clocks {clk_i}] -fall_to [get_clocks {clk_i}] -hold 0.2500
set_clock_uncertainty -fall_from [get_clocks {clk_i}] -fall_to [get_clocks {clk_i}] -setup 0.2500
-set ::env(SYNTH_TIMING_DERATE) 0.05
-puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
-set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
-set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
+
#Clock Skew adjustment
set_case_analysis 0 [get_ports {cfg_cska_wi[0]}]
@@ -141,6 +138,11 @@
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
set_load $cap_load [all_outputs]
+
+set ::env(SYNTH_TIMING_DERATE) 0.05
+puts "\[INFO\]: Setting timing derate to: [expr {$::env(SYNTH_TIMING_DERATE) * 10}] %"
+set_timing_derate -early [expr {1-$::env(SYNTH_TIMING_DERATE)}]
+set_timing_derate -late [expr {1+$::env(SYNTH_TIMING_DERATE)}]
###############################################################################
# Design Rules
###############################################################################
diff --git a/signoff/pinmux/final_summary_report.csv b/signoff/pinmux/final_summary_report.csv
index c09928a..c0a3582 100644
--- a/signoff/pinmux/final_summary_report.csv
+++ b/signoff/pinmux/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/pinmux,pinmux,pinmux,flow_completed,0h16m18s,-1,46004.0404040404,0.2475,23002.0202020202,27.03,702.81,5693,0,0,0,0,0,0,-1,1,0,-1,-1,421015,60601,0.0,0.0,-1,0.0,-1,0.0,0.0,-1,0.0,-1,309034294.0,6.6,42.63,33.16,10.43,0.49,-1,3568,8567,541,5539,0,0,0,4197,0,0,0,0,0,0,0,4,1341,1339,16,314,3259,0,3573,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.3,0.0,sky130_fd_sc_hd,4,4
+0,/project/openlane/pinmux,pinmux,pinmux,flow_completed,0h16m18s,-1,46012.12121212122,0.2475,23006.06060606061,27.04,722.42,5694,0,0,0,0,0,0,-1,1,0,-1,-1,420199,60746,0.0,0.0,-1,0.0,-1,0.0,0.0,-1,0.0,-1,309991900.0,4.36,42.79,33.18,10.07,0.41,-1,3565,8555,538,5527,0,0,0,4197,0,0,0,0,0,0,0,4,1341,1339,16,314,3259,0,3573,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.3,0.0,sky130_fd_sc_hd,4,4
diff --git a/signoff/user_project_wrapper/final_summary_report.csv b/signoff/user_project_wrapper/final_summary_report.csv
index b98995d..860d0c6 100644
--- a/signoff/user_project_wrapper/final_summary_report.csv
+++ b/signoff/user_project_wrapper/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h39m20s,-1,2.529576587795766,10.2784,1.264788293897883,-1,530.09,13,0,0,0,0,0,0,-1,0,0,-1,-1,1195465,7994,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40144.36,3.25,3.57,0.37,0.62,-1,269,2530,269,2530,0,0,0,13,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,90,0.55,0.0,sky130_fd_sc_hd,4,0
+0,/project/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow_completed,0h39m19s,-1,2.529576587795766,10.2784,1.264788293897883,-1,530.35,13,0,0,0,0,0,0,-1,0,0,-1,-1,1176061,8078,0.0,-1,-1,0.0,-1,0.0,-1,-1,0.0,-1,-1,40144.36,3.16,3.63,0.42,0.67,-1,271,2532,271,2532,0,0,0,13,0,0,0,0,0,0,0,0,-1,-1,-1,0,0,0,0,90.9090909090909,11,10,AREA 0,5,50,1,180,90,0.55,0.0,sky130_fd_sc_hd,4,0
diff --git a/signoff/wb_host/final_summary_report.csv b/signoff/wb_host/final_summary_report.csv
index 8a3c4ac..5da5134 100644
--- a/signoff/wb_host/final_summary_report.csv
+++ b/signoff/wb_host/final_summary_report.csv
@@ -1,2 +1,2 @@
,design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_frequency,suggested_clock_period,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY
-0,/project/openlane/wb_host,wb_host,wb_host,flow_completed,0h7m17s,-1,62133.33333333334,0.09,31066.66666666667,39.23,583.55,2796,0,0,0,0,0,0,0,0,0,0,-1,156439,26510,0.0,0.0,-1,0.0,-1,0.0,0.0,-1,0.0,-1,117576375.0,0.0,47.59,28.13,12.61,0.16,-1,1403,3046,726,2367,0,0,0,1466,0,0,0,0,0,0,0,4,783,970,13,130,1105,0,1235,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.41,0.0,sky130_fd_sc_hd,4,4
+0,/project/openlane/wb_host,wb_host,wb_host,flow_completed,0h9m32s,-1,49450.0,0.2,24725.0,28.76,669.94,4945,0,0,0,0,0,0,0,5,0,0,-1,347600,49741,0.0,0.0,-1,-0.03,-1,0.0,0.0,-1,-0.04,-1,290549721.0,0.48,55.89,17.29,18.18,0.0,-1,3296,5967,883,3410,0,0,0,3733,0,0,0,0,0,0,0,4,1227,1542,17,166,2592,0,2758,90.9090909090909,11,10,AREA 0,4,50,1,100,100,0.33,0.0,sky130_fd_sc_hd,4,4
diff --git a/spef/pinmux.spef.gz b/spef/pinmux.spef.gz
index 43f54bf..4ee9044 100644
--- a/spef/pinmux.spef.gz
+++ b/spef/pinmux.spef.gz
Binary files differ
diff --git a/spef/user_project_wrapper.spef.gz b/spef/user_project_wrapper.spef.gz
index 0c025ea..ef71460 100644
--- a/spef/user_project_wrapper.spef.gz
+++ b/spef/user_project_wrapper.spef.gz
Binary files differ
diff --git a/spef/wb_host.spef.gz b/spef/wb_host.spef.gz
index d14d696..eb36911 100644
--- a/spef/wb_host.spef.gz
+++ b/spef/wb_host.spef.gz
Binary files differ
diff --git a/spi/lvs/pinmux.spice.gz b/spi/lvs/pinmux.spice.gz
index 976ec61..695b880 100644
--- a/spi/lvs/pinmux.spice.gz
+++ b/spi/lvs/pinmux.spice.gz
Binary files differ
diff --git a/spi/lvs/user_project_wrapper.spice.gz b/spi/lvs/user_project_wrapper.spice.gz
index 74821df..5128801 100644
--- a/spi/lvs/user_project_wrapper.spice.gz
+++ b/spi/lvs/user_project_wrapper.spice.gz
Binary files differ
diff --git a/spi/lvs/wb_host.spice.gz b/spi/lvs/wb_host.spice.gz
index 96dade0..971f7fa 100644
--- a/spi/lvs/wb_host.spice.gz
+++ b/spi/lvs/wb_host.spice.gz
Binary files differ
diff --git a/sta/sdc/caravel.sdc b/sta/sdc/caravel.sdc
index cda6027..04075b4 100644
--- a/sta/sdc/caravel.sdc
+++ b/sta/sdc/caravel.sdc
@@ -19,7 +19,8 @@
create_clock -name cpu_clk -period 20.0000 [get_pins {mprj/u_wb_host/cpu_clk}]
create_clock -name rtc_clk -period 50.0000 [get_pins {mprj/u_wb_host/rtc_clk}]
create_clock -name usb_clk -period 20.0000 [get_pins {mprj/u_wb_host/usb_clk}]
-create_clock -name line_clk -period 100.0000 [get_pins {mprj/u_uart_i2c_usb_spi/u_uart_core.u_lineclk_buf.u_mux/X}]
+create_clock -name uarts_clk -period 100.0000 [get_pins {mprj/u_uart_i2c_usb_spi/u_uart_core.u_lineclk_buf.u_mux/X}]
+create_clock -name uartm_clk -period 100.0000 [get_pins {mprj/u_wb_host/u_uart2wb.u_core.u_uart_clk.u_mux/X}]
create_generated_clock -name mem_clk0 -add -source [get_pins {mprj/u_wb_host/wbs_clk_out}] -master_clock [get_clocks wbs_clk_i] -divide_by 1 -comment {memory Clock} [get_pins mprj/u_mbist/mem_no[0].u_mem_sel.u_mem_clk_sel.u_mux/X]
create_generated_clock -name mem_clk1 -add -source [get_pins {mprj/u_wb_host/wbs_clk_out}] -master_clock [get_clocks wbs_clk_i] -divide_by 1 -comment {memory Clock} [get_pins mprj/u_mbist/mem_no[1].u_mem_sel.u_mem_clk_sel.u_mux/X]
@@ -82,7 +83,8 @@
-group [get_clocks {cpu_ref_clk}]\
-group [get_clocks {rtc_clk}]\
-group [get_clocks {usb_clk}]\
- -group [get_clocks {line_clk}]\
+ -group [get_clocks {uarts_clk}]\
+ -group [get_clocks {uartm_clk}]\
-comment {Async Clock group}
## INPUT/OUTPUT DELAYS
diff --git a/verilog/dv/Makefile b/verilog/dv/Makefile
index a91211b..4ee38f8 100644
--- a/verilog/dv/Makefile
+++ b/verilog/dv/Makefile
@@ -19,7 +19,7 @@
.SUFFIXES:
.SILENT: clean all
-PATTERNS = wb_port risc_boot user_risc_boot user_uart user_spi user_i2cm riscv_regress user_basic user_mbist_test1 user_risc_soft_boot
+PATTERNS = wb_port risc_boot user_risc_boot user_uart user_spi user_i2cm riscv_regress user_basic user_mbist_test1 user_risc_soft_boot user_uart_master uart_master
all: ${PATTERNS}
for i in ${PATTERNS}; do \
diff --git a/verilog/dv/agents/uart_agent.v b/verilog/dv/agents/uart_agent.v
index f3e05ef..7c99b46 100644
--- a/verilog/dv/agents/uart_agent.v
+++ b/verilog/dv/agents/uart_agent.v
@@ -46,11 +46,13 @@
integer timeout_count;
integer data_bit_number;
reg [15:0] clk_count;
+reg debug_mode;
reg error_ind; // 1 indicate error
initial
begin
+ debug_mode = 1; // Keep in debug mode and enable display
txd = 1'b1;
uart_clk = 0;
clk_count = 0;
@@ -144,6 +146,7 @@
fork
begin : loop_1
@(abort)
+ if(debug_mode)
$display ("%m: >>>>> Exceed time limit, uart no responce.\n");
->uart_timeout_error;
disable loop_2;
@@ -172,6 +175,201 @@
if ((control_setup.even_odd_parity && (rxd == parity)) ||
(!control_setup.even_odd_parity && (rxd != parity)))
begin
+ $display ("%m: >>>>> Parity Error");
+ -> error_detected;
+ -> uart_parity_error;
+ end
+ end
+
+// stop cycle 1
+ @(posedge uart_rx_clk);
+ if (!rxd)
+ begin
+ $display ("%m: >>>>> Stop signal 1 Error");
+ -> error_detected;
+ -> uart_stop_error1;
+ end
+
+// stop cycle 2
+ if (control_setup.stop_bit_number)
+ begin
+ @(posedge uart_rx_clk); // stop cycle 2
+ if (!rxd)
+ begin
+ $display ("%m: >>>>> Stop signal 2 Error");
+ -> error_detected;
+ -> uart_stop_error2;
+ end
+ end
+
+
+// wait another half cycle for tx_done signal
+ @(negedge uart_rx_clk);
+ read <= 0;
+ -> uart_read_done;
+
+ if (expected_data != data)
+ begin
+ $display ("%m: Error! Data return is %h, expecting %h", data, expected_data);
+ -> error_detected;
+ end
+ else begin
+ if(debug_mode)
+ $display ("%m: Data match %h", expected_data);
+ end
+
+ if(debug_mode)
+ $display ("%m:... Read Data from UART done cnt :%d...",rx_count +1);
+ end
+join
+
+end
+
+endtask
+
+////////////////////////////////////////////////////////////////////////////////
+task read_char2;
+output [7:0] rxd_data;
+output timeout; // 1-> timeout
+integer i;
+reg [7:0] rxd_data;
+reg [7:0] data;
+reg parity;
+
+begin
+ data <= 8'h0;
+ parity <= 1;
+ timeout_count = 0;
+ timeout = 0;
+
+ fork
+ begin
+ @(abort)
+ //$display (">>>>> Exceed time limit, uart no responce.\n");
+ //->uart_timeout_error;
+ timeout = 1;
+ end
+
+ begin
+
+// start cycle
+ @(negedge rxd)
+ read <= 1;
+
+// data cycle
+ @(posedge uart_rx_clk );
+ for (i = 0; i < data_bit_number; i = i + 1)
+ begin
+ @(posedge uart_rx_clk)
+ data[i] <= rxd;
+ parity <= parity ^ rxd;
+ end
+
+// parity cycle
+ if(control_setup.parity_en)
+ begin
+ @(posedge uart_rx_clk);
+ if ((control_setup.even_odd_parity && (rxd == parity)) ||
+ (!control_setup.even_odd_parity && (rxd != parity)))
+ begin
+ $display (">>>>> Parity Error");
+ -> error_detected;
+ -> uart_parity_error;
+ end
+ end
+
+// stop cycle 1
+ @(posedge uart_rx_clk);
+ if (!rxd)
+ begin
+ $display (">>>>> Stop signal 1 Error");
+ -> error_detected;
+ -> uart_stop_error1;
+ end
+
+// stop cycle 2
+ if (control_setup.stop_bit_number)
+ begin
+ @(posedge uart_rx_clk); // stop cycle 2
+ if (!rxd)
+ begin
+ $display (">>>>> Stop signal 2 Error");
+ -> error_detected;
+ -> uart_stop_error2;
+ end
+ end
+
+// wait another half cycle for tx_done signal
+ @(negedge uart_rx_clk);
+ read <= 0;
+ -> uart_read_done;
+
+// $display ("(%m) Received Data %c", data);
+// $display ("... Read Data from UART done cnt :%d...",rx_count +1);
+ $write ("%c",data);
+ rxd_data = data;
+ end
+ join_any
+ disable fork; //disable pending fork activity
+
+end
+
+endtask
+
+
+////////////////////////////////////////////////////////////////////////////////
+task read_char;
+output [7:0] rxd_data;
+output timeout; // 1-> timeout
+
+reg [7:0] rxd_data;
+
+
+integer i;
+reg [7:0] expected_data;
+reg [7:0] data;
+reg parity;
+
+begin
+ data <= 8'h0;
+ parity <= 1;
+ timeout_count = 0;
+ timeout = 0;
+
+
+fork
+ begin : loop_1
+ @(abort)
+ if(debug_mode)
+ $display ("%m: >>>>> Exceed time limit, uart no responce.\n");
+ timeout = 1;
+ ->uart_timeout_error;
+ disable loop_2;
+ end
+
+ begin : loop_2
+
+// start cycle
+ @(negedge rxd)
+ disable loop_1;
+ read <= 1;
+
+// data cycle
+ @(posedge uart_rx_clk);
+ for (i = 0; i < data_bit_number; i = i + 1)
+ begin
+ @(posedge uart_rx_clk)
+ data[i] <= rxd;
+ parity <= parity ^ rxd;
+ end
+
+// parity cycle
+ if(control_setup.parity_en)
+ begin
+ @(posedge uart_rx_clk);
+ if ((control_setup.even_odd_parity && (rxd == parity)) ||
+ (!control_setup.even_odd_parity && (rxd != parity)))
+ begin
$display ("%m: >>>>> Parity Error");
-> error_detected;
-> uart_parity_error;
@@ -205,15 +403,13 @@
read <= 0;
-> uart_read_done;
- if (expected_data != data)
- begin
- $display ("%m: Error! Data return is %h, expecting %h", data, expected_data);
- -> error_detected;
- end
- else
- $display ("%m: Data match %h", expected_data);
+ rxd_data = data;
- $display ("%m:... Read Data from UART done cnt :%d...",rx_count +1);
+
+ if(debug_mode) begin
+ $display ("%m: Received Data %h", rxd_data);
+ $display ("%m:... Read Data from UART done cnt :%d...",rx_count +1);
+ end
end
join
@@ -221,7 +417,6 @@
endtask
-
////////////////////////////////////////////////////////////////////////////////
task write_char;
input [7:0] data;
@@ -271,7 +466,10 @@
@(posedge uart_clk);
write <= #1 0;
- $display ("%m:... Write data %h to UART done cnt : %d ...\n", data,tx_count+1);
+ if(debug_mode)
+ $display ("%m:... Write data %h to UART done cnt : %d ...\n", data,tx_count+1);
+ else
+ $write ("%c",data);
-> uart_write_done;
end
endtask
diff --git a/verilog/dv/agents/uart_master_tasks.sv b/verilog/dv/agents/uart_master_tasks.sv
new file mode 100644
index 0000000..f0a1a7d
--- /dev/null
+++ b/verilog/dv/agents/uart_master_tasks.sv
@@ -0,0 +1,201 @@
+
+task uartm_reg_write;
+input [31:0] addr;
+input [31:0] data;
+reg [7:0] read_data;
+reg flag;
+begin
+ fork
+ begin : loop_1
+ tb_master_uart.write_char("w");
+ tb_master_uart.write_char("m");
+ tb_master_uart.write_char(" ");
+ tb_master_uart.write_char(hex2char(addr[31:28]));
+ tb_master_uart.write_char(hex2char(addr[27:24]));
+ tb_master_uart.write_char(hex2char(addr[23:20]));
+ tb_master_uart.write_char(hex2char(addr[19:16]));
+ tb_master_uart.write_char(hex2char(addr[15:12]));
+ tb_master_uart.write_char(hex2char(addr[11:8]));
+ tb_master_uart.write_char(hex2char(addr[7:4]));
+ tb_master_uart.write_char(hex2char(addr[3:0]));
+ tb_master_uart.write_char(" ");
+ tb_master_uart.write_char(hex2char(data[31:28]));
+ tb_master_uart.write_char(hex2char(data[27:24]));
+ tb_master_uart.write_char(hex2char(data[23:20]));
+ tb_master_uart.write_char(hex2char(data[19:16]));
+ tb_master_uart.write_char(hex2char(data[15:12]));
+ tb_master_uart.write_char(hex2char(data[11:8]));
+ tb_master_uart.write_char(hex2char(data[7:4]));
+ tb_master_uart.write_char(hex2char(data[3:0]));
+ tb_master_uart.write_char("\n");
+ end
+ begin : loop_2
+ // Wait for sucess command
+ flag = 0;
+ while(flag == 0)
+ begin
+ tb_master_uart.read_char2(read_data,flag);
+ //$write ("%c",read_data);
+ end
+ end
+ join
+end
+endtask
+
+task uartm_reg_read;
+input [31:0] addr;
+output [31:0] data;
+reg [7:0] read_data;
+reg flag;
+integer i;
+begin
+ fork
+ begin : loop_1
+ tb_master_uart.write_char("r");
+ tb_master_uart.write_char("m");
+ tb_master_uart.write_char(" ");
+ tb_master_uart.write_char(hex2char(addr[31:28]));
+ tb_master_uart.write_char(hex2char(addr[27:24]));
+ tb_master_uart.write_char(hex2char(addr[23:20]));
+ tb_master_uart.write_char(hex2char(addr[19:16]));
+ tb_master_uart.write_char(hex2char(addr[15:12]));
+ tb_master_uart.write_char(hex2char(addr[11:8]));
+ tb_master_uart.write_char(hex2char(addr[7:4]));
+ tb_master_uart.write_char(hex2char(addr[3:0]));
+ tb_master_uart.write_char("\n");
+ end
+ begin : loop_2
+ // Wait for sucess command
+ flag = 0;
+ i = 0;
+ while(flag == 0)
+ begin
+ tb_master_uart.read_char2(read_data,flag);
+ //$write ("%d:%c",i,read_data);
+ case (i)
+ 8'd10 : data[31:28] = char2hex(read_data);
+ 8'd11 : data[27:24] = char2hex(read_data);
+ 8'd12 : data[23:20] = char2hex(read_data);
+ 8'd13 : data[19:16] = char2hex(read_data);
+ 8'd14 : data[15:12] = char2hex(read_data);
+ 8'd15 : data[11:8] = char2hex(read_data);
+ 8'd16 : data[7:4] = char2hex(read_data);
+ 8'd17 : data[3:0] = char2hex(read_data);
+ endcase
+ i = i+1;
+ end
+ end
+ join
+ $display("received Data: %x",data);
+
+end
+endtask
+
+task uartm_reg_read_check;
+input [31:0] addr;
+input [31:0] exp_data;
+reg [31:0] rxd_data;
+reg [7:0] read_data;
+reg flag;
+integer i;
+begin
+ fork
+ begin : loop_1
+ tb_master_uart.write_char("r");
+ tb_master_uart.write_char("m");
+ tb_master_uart.write_char(" ");
+ tb_master_uart.write_char(hex2char(addr[31:28]));
+ tb_master_uart.write_char(hex2char(addr[27:24]));
+ tb_master_uart.write_char(hex2char(addr[23:20]));
+ tb_master_uart.write_char(hex2char(addr[19:16]));
+ tb_master_uart.write_char(hex2char(addr[15:12]));
+ tb_master_uart.write_char(hex2char(addr[11:8]));
+ tb_master_uart.write_char(hex2char(addr[7:4]));
+ tb_master_uart.write_char(hex2char(addr[3:0]));
+ tb_master_uart.write_char("\n");
+ end
+ begin : loop_2
+ // Wait for sucess command
+ flag = 0;
+ i = 0;
+ while(flag == 0)
+ begin
+ tb_master_uart.read_char2(read_data,flag);
+ //$write ("%d:%c",i,read_data);
+ case (i)
+ 8'd10 : rxd_data[31:28] = char2hex(read_data);
+ 8'd11 : rxd_data[27:24] = char2hex(read_data);
+ 8'd12 : rxd_data[23:20] = char2hex(read_data);
+ 8'd13 : rxd_data[19:16] = char2hex(read_data);
+ 8'd14 : rxd_data[15:12] = char2hex(read_data);
+ 8'd15 : rxd_data[11:8] = char2hex(read_data);
+ 8'd16 : rxd_data[7:4] = char2hex(read_data);
+ 8'd17 : rxd_data[3:0] = char2hex(read_data);
+ endcase
+ i = i+1;
+ end
+ end
+ join
+ if(rxd_data == exp_data) begin
+ // $display("STATUS: ADDRESS: %x RXD: %x", addr,rxd_data);
+ end else begin
+ $display("ERROR: ADDRESS: %x EXP: %x RXD: %x", addr,exp_data,rxd_data);
+ test_fail = 1;
+ end
+
+
+end
+endtask
+
+// Character to hex number
+function [3:0] char2hex;
+input [7:0] data_in;
+case (data_in)
+ 8'h30: char2hex = 4'h0; // character '0'
+ 8'h31: char2hex = 4'h1; // character '1'
+ 8'h32: char2hex = 4'h2; // character '2'
+ 8'h33: char2hex = 4'h3; // character '3'
+ 8'h34: char2hex = 4'h4; // character '4'
+ 8'h35: char2hex = 4'h5; // character '5'
+ 8'h36: char2hex = 4'h6; // character '6'
+ 8'h37: char2hex = 4'h7; // character '7'
+ 8'h38: char2hex = 4'h8; // character '8'
+ 8'h39: char2hex = 4'h9; // character '9'
+ 8'h41: char2hex = 4'hA; // character 'A'
+ 8'h42: char2hex = 4'hB; // character 'B'
+ 8'h43: char2hex = 4'hC; // character 'C'
+ 8'h44: char2hex = 4'hD; // character 'D'
+ 8'h45: char2hex = 4'hE; // character 'E'
+ 8'h46: char2hex = 4'hF; // character 'F'
+ 8'h61: char2hex = 4'hA; // character 'a'
+ 8'h62: char2hex = 4'hB; // character 'b'
+ 8'h63: char2hex = 4'hC; // character 'c'
+ 8'h64: char2hex = 4'hD; // character 'd'
+ 8'h65: char2hex = 4'hE; // character 'e'
+ 8'h66: char2hex = 4'hF; // character 'f'
+ default : char2hex = 4'hF;
+ endcase
+endfunction
+
+// Hex to Asci Character
+function [7:0] hex2char;
+input [3:0] data_in;
+case (data_in)
+ 4'h0: hex2char = 8'h30; // character '0'
+ 4'h1: hex2char = 8'h31; // character '1'
+ 4'h2: hex2char = 8'h32; // character '2'
+ 4'h3: hex2char = 8'h33; // character '3'
+ 4'h4: hex2char = 8'h34; // character '4'
+ 4'h5: hex2char = 8'h35; // character '5'
+ 4'h6: hex2char = 8'h36; // character '6'
+ 4'h7: hex2char = 8'h37; // character '7'
+ 4'h8: hex2char = 8'h38; // character '8'
+ 4'h9: hex2char = 8'h39; // character '9'
+ 4'hA: hex2char = 8'h41; // character 'A'
+ 4'hB: hex2char = 8'h42; // character 'B'
+ 4'hC: hex2char = 8'h43; // character 'C'
+ 4'hD: hex2char = 8'h44; // character 'D'
+ 4'hE: hex2char = 8'h45; // character 'E'
+ 4'hF: hex2char = 8'h46; // character 'F'
+ endcase
+endfunction
diff --git a/verilog/dv/uart_master/Makefile b/verilog/dv/uart_master/Makefile
new file mode 100644
index 0000000..fdaa47d
--- /dev/null
+++ b/verilog/dv/uart_master/Makefile
@@ -0,0 +1,120 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+## PDK
+PDK_PATH = $(PDK_ROOT)/sky130A
+
+## Caravel Pointers
+CARAVEL_ROOT ?= ../../../caravel
+CARAVEL_PATH ?= $(CARAVEL_ROOT)
+CARAVEL_FIRMWARE_PATH = $(CARAVEL_PATH)/verilog/dv/caravel
+CARAVEL_VERILOG_PATH = $(CARAVEL_PATH)/verilog
+CARAVEL_RTL_PATH = $(CARAVEL_VERILOG_PATH)/rtl
+CARAVEL_BEHAVIOURAL_MODELS = $(CARAVEL_VERILOG_PATH)/dv/caravel
+
+## User Project Pointers
+UPRJ_VERILOG_PATH ?= ../../../verilog
+UPRJ_RTL_PATH = $(UPRJ_VERILOG_PATH)/rtl
+UPRJ_GL_PATH = $(UPRJ_VERILOG_PATH)/gl
+UPRJ_BEHAVIOURAL_MODELS = ../model
+UPRJ_BEHAVIOURAL_AGENTS = ../agents
+UPRJ_INCLUDE_PATH1 = $(UPRJ_RTL_PATH)/syntacore/scr1/src/includes
+UPRJ_INCLUDE_PATH2 = $(UPRJ_RTL_PATH)/sdram_ctrl/src/defs
+UPRJ_INCLUDE_PATH3 = $(UPRJ_RTL_PATH)/i2cm/src/includes
+UPRJ_INCLUDE_PATH4 = $(UPRJ_RTL_PATH)/usb1_host/src/includes
+UPRJ_INCLUDE_PATH5 = $(UPRJ_RTL_PATH)/mbist/include
+## RISCV GCC
+GCC_PATH?=/ef/apps/bin
+GCC_PREFIX?=riscv32-unknown-elf
+GCC64_PREFIX?=riscv64-unknown-elf
+
+## Simulation mode: RTL/GL
+SIM_DEFINES = -DFUNCTIONAL -DSIM
+SIM?=RTL
+DUMP?=OFF
+
+.SUFFIXES:
+
+PATTERN = uart_master
+
+all: ${PATTERN:=.vcd}
+
+hex: ${PATTERN:=.hex}
+
+vvp: ${PATTERN:=.vvp}
+
+%.vvp: %_tb.v %.hex
+ifeq ($(SIM),RTL)
+ ifeq ($(DUMP),OFF)
+ iverilog -g2005-sv $(SIM_DEFINES) -I $(PDK_PATH) \
+ -I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_MODELS) -I $(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_AGENTS) \
+ -I $(UPRJ_INCLUDE_PATH1) -I $(UPRJ_INCLUDE_PATH2) -I $(UPRJ_INCLUDE_PATH3) \
+ -I $(UPRJ_INCLUDE_PATH4) -I $(UPRJ_INCLUDE_PATH5) \
+ $< -o $@
+ else
+ iverilog -g2005-sv -DWFDUMP $(SIM_DEFINES) -I $(PDK_PATH) \
+ -I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_AGENTS) \
+ -I $(UPRJ_BEHAVIOURAL_MODELS) -I $(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
+ -I $(UPRJ_INCLUDE_PATH1) -I $(UPRJ_INCLUDE_PATH2) -I $(UPRJ_INCLUDE_PATH3) \
+ -I $(UPRJ_INCLUDE_PATH4) -I $(UPRJ_INCLUDE_PATH5) \
+ $< -o $@
+ endif
+else
+ iverilog $(SIM_DEFINES) -DGL -I $(PDK_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_AGENTS) \
+ -I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) -I $(CARAVEL_VERILOG_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_MODELS) -I$(UPRJ_GL_PATH) -I$(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
+ $< -o $@
+endif
+
+%.vcd: %.vvp
+ vvp $<
+
+%.elf: %.c $(CARAVEL_FIRMWARE_PATH)/sections.lds $(CARAVEL_FIRMWARE_PATH)/start.s check-env
+ ${GCC64_PREFIX}-gcc -I $(CARAVEL_PATH) -march=rv32imc -mabi=ilp32 -Wl,-Bstatic,-T,$(CARAVEL_FIRMWARE_PATH)/sections.lds,--strip-debug -ffreestanding -nostdlib -o $@ $(CARAVEL_FIRMWARE_PATH)/start.s $<
+
+%.hex: %.elf
+ ${GCC64_PREFIX}-objcopy -O verilog $< $@
+ # to fix flash base address
+ sed -i 's/@10000000/@00000000/g' $@
+
+%.bin: %.elf
+ ${GCC64_PREFIX}-objcopy -O binary $< /dev/stdout | tail -c +1048577 > $@
+
+check-env:
+ifndef PDK_ROOT
+ $(error PDK_ROOT is undefined, please export it before running make)
+endif
+ifeq (,$(wildcard $(PDK_ROOT)/sky130A))
+ $(error $(PDK_ROOT)/sky130A not found, please install pdk before running make)
+endif
+#ifeq (,$(wildcard $(GCC64_PREFIX)-gcc ))
+# $(error $(GCC64_PREFIX)-gcc is not found, please export GCC_PATH and GCC_PREFIX before running make)
+#endif
+# check for efabless style installation
+ifeq (,$(wildcard $(PDK_ROOT)/sky130A/libs.ref/*/verilog))
+SIM_DEFINES := ${SIM_DEFINES} -DEF_STYLE
+endif
+
+# ---- Clean ----
+
+clean:
+ rm -f *.elf *.hex *.bin *.vvp *.vcd *.log
+
+.PHONY: clean hex all
diff --git a/verilog/dv/uart_master/run_verilog b/verilog/dv/uart_master/run_verilog
new file mode 100644
index 0000000..5ffed3c
--- /dev/null
+++ b/verilog/dv/uart_master/run_verilog
@@ -0,0 +1,20 @@
+# //////////////////////////////////////////////////////////////////////////////
+# // SPDX-FileCopyrightText: 2021, Dinesh Annayya
+# //
+# // Licensed under the Apache License, Version 2.0 (the "License");
+# // you may not use this file except in compliance with the License.
+# // You may obtain a copy of the License at
+# //
+# // http://www.apache.org/licenses/LICENSE-2.0
+# //
+# // Unless required by applicable law or agreed to in writing, software
+# // distributed under the License is distributed on an "AS IS" BASIS,
+# // WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# // See the License for the specific language governing permissions and
+# // limitations under the License.
+# // SPDX-License-Identifier: Apache-2.0
+# // SPDX-FileContributor: Dinesh Annayya <dinesha@opencores.org>
+# // //////////////////////////////////////////////////////////////////////////
+
+#iverilog -g2005-sv -DFUNCTIONAL -DSIM -DGL -I /home/dinesha/workarea/pdk/sky130A -I /home/dinesha/workarea/opencore/git/yifive_r0/caravel/verilog/dv/caravel -I /home/dinesha/workarea/opencore/git/yifive_r0/caravel/verilog/rtl -I /home/dinesha/workarea/opencore/git/yifive_r0/caravel/verilog -I ../ -I../../../verilog/rtl -I../../../verilog/gl -I ../../../verilog wb_port_tb.v -o wb_port.vvp
+iverilog -g2005-sv -DWFDUMP -DFUNCTIONAL -DSIM -DGL -I /home/dinesha/workarea/pdk/sky130A -I /home/dinesha/workarea/opencore/git/yifive_r0/caravel/verilog/dv/caravel -I /home/dinesha/workarea/opencore/git/yifive_r0/caravel/verilog/rtl -I /home/dinesha/workarea/opencore/git/yifive_r0/caravel/verilog -I ../ -I../../../verilog/rtl -I../../../verilog/gl -I ../../../verilog wb_port_tb.v -o wb_port.vvp
diff --git a/verilog/dv/uart_master/uart_master.c b/verilog/dv/uart_master/uart_master.c
new file mode 100644
index 0000000..1776e93
--- /dev/null
+++ b/verilog/dv/uart_master/uart_master.c
@@ -0,0 +1,155 @@
+/*
+ * SPDX-FileCopyrightText: 2020 Efabless Corporation
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ * http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+// This include is relative to $CARAVEL_PATH (see Makefile)
+#include "verilog/dv/caravel/defs.h"
+#include "verilog/dv/caravel/stub.c"
+
+// User Project Slaves (0x3000_0000)
+
+
+#define GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP 0x1C00
+
+#define SC_SIM_OUTPORT (0xf0000000)
+
+/*
+ RiscV Hello World test.
+ - Wake up the Risc V
+ - Boot from SPI Flash
+ - Riscv Write Hello World to SDRAM,
+ - External Wishbone read back validation the data
+*/
+int i = 0;
+int clk = 0;
+int uart_cfg = 0;
+void main()
+{
+
+ int bFail = 0;
+ /*
+ IO Control Registers
+ | DM | VTRIP | SLOW | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
+ | 3-bits | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit | 1-bit |
+ Output: 0000_0110_0000_1110 (0x1808) = GPIO_MODE_USER_STD_OUTPUT
+ | DM | VTRIP | SLOW | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
+ | 110 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 |
+
+
+ Input: 0000_0001_0000_1111 (0x0402) = GPIO_MODE_USER_STD_INPUT_NOPULL
+ | DM | VTRIP | SLOW | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
+ | 001 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 |
+
+ Input: 0000_0001_0000_1111 (0x1800) = GPIO_MODE_USER_STD_BIDIRECTIONAL
+ | DM | VTRIP | SLOW | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
+ | 110 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |
+ */
+
+ /* Set up the housekeeping SPI to be connected internally so */
+ /* that external pin changes don't affect it. */
+
+ reg_spimaster_config = 0xa002; // Enable, prescaler = 2,
+ // connect to housekeeping SPI
+
+ // Connect the housekeeping SPI to the SPI master
+ // so that the CSB line is not left floating. This allows
+ // all of the GPIO pins to be used for user functions.
+ reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT;
+ reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT;
+
+
+ reg_la0_oenb = reg_la0_iena = 0x0000000;
+ /* Apply configuration */
+ reg_mprj_xfer = 1;
+ while (reg_mprj_xfer == 1);
+ reg_mprj_datal = 0xAB600000;
+
+ reg_la0_oenb = reg_la0_iena = 0x0000000;
+ reg_la0_data = 0x000;
+
+ //-----------------------------------------------------
+ // Start of User Functionality and take over the GPIO Pins
+ // ------------------------------------------------------
+ // User block decide on the GPIO function
+ reg_mprj_io_37 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_36 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_35 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_34 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_33 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_32 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_31 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_30 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_29 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_28 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_27 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_26 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_25 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_24 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_23 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_22 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_21 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_20 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_19 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_18 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_17 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_16 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_15 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_14 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_13 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_12 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_11 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_10 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_9 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_8 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_7 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_6 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_5 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_4 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_3 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_2 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_1 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+ reg_mprj_io_0 = GPIO_MODE_USER_STD_BIDIRECTIONAL_PULLUP;
+
+ /* Apply configuration */
+ reg_mprj_xfer = 1;
+ while (reg_mprj_xfer == 1);
+
+ //uart_cfg = 0x000;
+ //uart_cfg |= 0x1; // bit[0] - Enable Transmit Path
+ //uart_cfg |= 0x2; // bit[1] - Enable Receive Path
+ //uart_cfg |= 0x4; // bit[2] - Set 2 Stop Bit
+ //uart_cfg |= 0x0; // bit[15:4] - 16x Baud Clock
+ //uart_cfg |= 0x0; // bit[17:16] - Priority mode = 0
+ uart_cfg = 0x007;
+ reg_la0_data = uart_cfg;
+
+
+
+}
diff --git a/verilog/dv/uart_master/uart_master_tb.v b/verilog/dv/uart_master/uart_master_tb.v
new file mode 100644
index 0000000..c9c6604
--- /dev/null
+++ b/verilog/dv/uart_master/uart_master_tb.v
@@ -0,0 +1,277 @@
+// SPDX-FileCopyrightText: 2020 Efabless Corporation
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+// http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+
+`default_nettype none
+
+`timescale 1 ns / 1 ps
+
+`include "uprj_netlists.v"
+`include "caravel_netlists.v"
+`include "spiflash.v"
+`include "uart_agent.v"
+
+module uart_master_tb;
+ reg clock;
+ reg RSTB;
+ reg CSB;
+ reg power1, power2;
+ reg power3, power4;
+
+ wire gpio;
+ wire [37:0] mprj_io;
+ wire [7:0] mprj_io_0;
+ wire [15:0] checkbits;
+
+//----------------------------------
+// Uart Configuration
+// ---------------------------------
+reg [1:0] uart_data_bit ;
+reg uart_stop_bits ; // 0: 1 stop bit; 1: 2 stop bit;
+reg uart_stick_parity ; // 1: force even parity
+reg uart_parity_en ; // parity enable
+reg uart_even_odd_parity ; // 0: odd parity; 1: even parity
+
+reg [7:0] uart_data ;
+reg [15:0] uart_divisor ; // divided by n * 16
+reg [15:0] uart_timeout ;// wait time limit
+
+reg [15:0] uart_rx_nu ;
+reg [15:0] uart_tx_nu ;
+reg [7:0] uart_write_data [0:39];
+reg uart_fifo_enable ; // fifo mode disable
+
+reg [31:0] read_data ;
+reg flag;
+reg test_fail ;
+
+
+ assign checkbits = mprj_io[31:16];
+
+ assign mprj_io[3] = (CSB == 1'b1) ? 1'b1 : 1'bz;
+
+ // External clock is used by default. Make this artificially fast for the
+ // simulation. Normally this would be a slow clock and the digital PLL
+ // would be the fast clock.
+
+ always #12.5 clock <= (clock === 1'b0);
+
+ initial begin
+ clock = 0;
+ end
+
+ `ifdef WFDUMP
+ initial begin
+ $dumpfile("simx.vcd");
+ $dumpvars(1, uart_master_tb);
+ $dumpvars(1, uart_master_tb.uut);
+ $dumpvars(1, uart_master_tb.uut.mprj);
+ $dumpvars(1, uart_master_tb.uut.mprj.u_wb_host);
+ //$dumpvars(2, uart_master_tb.uut.mprj.u_pinmux);
+ end
+ `endif
+
+ initial begin
+
+ // Repeat cycles of 1000 clock edges as needed to complete testbench
+ repeat (30) begin
+ repeat (1000) @(posedge clock);
+ // $display("+1000 cycles");
+ end
+ $display("%c[1;31m",27);
+ $display ("##########################################################");
+ `ifdef GL
+ $display ("Monitor: Timeout, Test UART Master (GL) Failed");
+ `else
+ $display ("Monitor: Timeout, Test UART Master (RTL) Failed");
+ `endif
+ $display ("##########################################################");
+ $display("%c[0m",27);
+ $finish;
+ end
+
+ initial begin
+ uart_data_bit = 2'b11;
+ uart_stop_bits = 1; // 0: 1 stop bit; 1: 2 stop bit;
+ uart_stick_parity = 0; // 1: force even parity
+ uart_parity_en = 0; // parity enable
+ uart_even_odd_parity = 1; // 0: odd parity; 1: even parity
+ uart_divisor = 15;// divided by n * 16
+ uart_timeout = 600;// wait time limit
+ uart_fifo_enable = 0; // fifo mode disable
+ tb_master_uart.debug_mode = 0; // disable debug display
+ tb_master_uart.uart_init;
+ tb_master_uart.control_setup (uart_data_bit, uart_stop_bits, uart_parity_en, uart_even_odd_parity,
+ uart_stick_parity, uart_timeout, uart_divisor);
+ wait(checkbits == 16'h AB60);
+ $display("Monitor: UART Master Test Started");
+
+ repeat (1000) @(posedge clock);
+ //$write ("\n(%t)Response:\n",$time);
+ flag = 0;
+ while(flag == 0)
+ begin
+ tb_master_uart.read_char(read_data,flag);
+ $write ("%c",read_data);
+ end
+
+
+
+ // Remove Wb Reset
+ uartm_reg_write('h3080_0000,'h1);
+
+ repeat (2) @(posedge clock);
+ #1;
+
+ $display("Monitor: Writing expected value");
+
+ test_fail = 0;
+ uartm_reg_write(32'h30020058,32'h11223344);
+ uartm_reg_write(32'h3002005C,32'h22334455);
+ uartm_reg_write(32'h30020060,32'h33445566);
+ uartm_reg_write(32'h30020064,32'h44556677);
+ uartm_reg_write(32'h30020068,32'h55667788);
+ uartm_reg_write(32'h3002006C,32'h66778899);
+
+ uartm_reg_read_check(32'h30020058,32'h11223344);
+ uartm_reg_read_check(32'h3002005C,32'h22334455);
+ uartm_reg_read_check(32'h30020060,32'h33445566);
+ uartm_reg_read_check(32'h30020064,32'h44556677);
+ uartm_reg_read_check(32'h30020068,32'h55667788);
+ uartm_reg_read_check(32'h3002006C,32'h66778899);
+
+ $display("###################################################");
+ if(test_fail == 0) begin
+ `ifdef GL
+ $display("Monitor: Standalone User UART Master (GL) Passed");
+ `else
+ $display("Monitor: Standalone User Uart Master (RTL) Passed");
+ `endif
+ end else begin
+ `ifdef GL
+ $display("Monitor: Standalone User Uart Master (GL) Failed");
+ `else
+ $display("Monitor: Standalone User Uart Master (RTL) Failed");
+ `endif
+ end
+ $display("###################################################");
+ #100
+
+ $finish;
+ end
+
+ initial begin
+ RSTB <= 1'b0;
+ CSB <= 1'b1; // Force CSB high
+ #2000;
+ RSTB <= 1'b1; // Release reset
+ #170000;
+ CSB = 1'b0; // CSB can be released
+ end
+
+ initial begin // Power-up sequence
+ power1 <= 1'b0;
+ power2 <= 1'b0;
+ power3 <= 1'b0;
+ power4 <= 1'b0;
+ #100;
+ power1 <= 1'b1;
+ #100;
+ power2 <= 1'b1;
+ #100;
+ power3 <= 1'b1;
+ #100;
+ power4 <= 1'b1;
+ end
+
+ //always @(mprj_io) begin
+ // #1 $display("MPRJ-IO state = %b ", mprj_io[7:0]);
+ //end
+
+ wire flash_csb;
+ wire flash_clk;
+ wire flash_io0;
+ wire flash_io1;
+
+ wire VDD3V3 = power1;
+ wire VDD1V8 = power2;
+ wire USER_VDD3V3 = power3;
+ wire USER_VDD1V8 = power4;
+ wire VSS = 1'b0;
+
+ caravel uut (
+ .vddio (VDD3V3),
+ .vssio (VSS),
+ .vdda (VDD3V3),
+ .vssa (VSS),
+ .vccd (VDD1V8),
+ .vssd (VSS),
+ .vdda1 (USER_VDD3V3),
+ .vdda2 (USER_VDD3V3),
+ .vssa1 (VSS),
+ .vssa2 (VSS),
+ .vccd1 (USER_VDD1V8),
+ .vccd2 (USER_VDD1V8),
+ .vssd1 (VSS),
+ .vssd2 (VSS),
+ .clock (clock),
+ .gpio (gpio),
+ .mprj_io (mprj_io),
+ .flash_csb(flash_csb),
+ .flash_clk(flash_clk),
+ .flash_io0(flash_io0),
+ .flash_io1(flash_io1),
+ .resetb (RSTB)
+ );
+
+ spiflash #(
+ .FILENAME("uart_master.hex")
+ ) spiflash (
+ .csb(flash_csb),
+ .clk(flash_clk),
+ .io0(flash_io0),
+ .io1(flash_io1),
+ .io2(), // not used
+ .io3() // not used
+ );
+
+
+
+
+`ifndef GL // Drive Power for Hold Fix Buf
+ // All standard cell need power hook-up for functionality work
+ initial begin
+ end
+`endif
+
+
+//---------------------------
+// UART Agent integration
+// --------------------------
+wire uart_txd,uart_rxd;
+
+assign uart_txd = mprj_io[35];
+assign mprj_io[34] = uart_rxd ;
+
+uart_agent tb_master_uart(
+ .mclk (clock ),
+ .txd (uart_rxd ),
+ .rxd (uart_txd )
+ );
+
+
+`include "uart_master_tasks.sv"
+
+endmodule
+`default_nettype wire
diff --git a/verilog/dv/user_uart_master/Makefile b/verilog/dv/user_uart_master/Makefile
new file mode 100644
index 0000000..cb31b0b
--- /dev/null
+++ b/verilog/dv/user_uart_master/Makefile
@@ -0,0 +1,92 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+## Caravel Pointers
+CARAVEL_ROOT ?= ../../../caravel
+CARAVEL_PATH ?= $(CARAVEL_ROOT)
+CARAVEL_FIRMWARE_PATH = $(CARAVEL_PATH)/verilog/dv/caravel
+CARAVEL_VERILOG_PATH = $(CARAVEL_PATH)/verilog
+CARAVEL_RTL_PATH = $(CARAVEL_VERILOG_PATH)/rtl
+CARAVEL_BEHAVIOURAL_MODELS = $(CARAVEL_VERILOG_PATH)/dv/caravel
+
+
+## User Project Pointers
+UPRJ_VERILOG_PATH ?= ../../../verilog
+UPRJ_RTL_PATH = $(UPRJ_VERILOG_PATH)/rtl
+UPRJ_GL_PATH = $(UPRJ_VERILOG_PATH)/gl
+UPRJ_BEHAVIOURAL_MODELS = ../model
+UPRJ_BEHAVIOURAL_AGENTS = ../agents
+UPRJ_INCLUDE_PATH1 = $(UPRJ_RTL_PATH)/syntacore/scr1/src/includes
+UPRJ_INCLUDE_PATH2 = $(UPRJ_RTL_PATH)/sdram_ctrl/src/defs
+UPRJ_INCLUDE_PATH3 = $(UPRJ_RTL_PATH)/i2cm/src/includes
+UPRJ_INCLUDE_PATH4 = $(UPRJ_RTL_PATH)/usb1_host/src/includes
+UPRJ_INCLUDE_PATH5 = $(UPRJ_RTL_PATH)/mbist/include
+
+## SYNTACORE FIRMWARE
+SYNTACORE_FIRMWARE_PATH = $(UPRJ_VERILOG_PATH)/rtl/syntacore/scr1/sim/tests/common
+
+
+## Simulation mode: RTL/GL
+SIM?=RTL
+DUMP?=OFF
+
+.SUFFIXES:
+
+PATTERN = user_uart_master
+
+all: ${PATTERN:=.vcd}
+
+
+vvp: ${PATTERN:=.vvp}
+
+%.vvp: %_tb.v
+ifeq ($(SIM),RTL)
+ ifeq ($(DUMP),OFF)
+ iverilog -g2005-sv -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
+ -I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_MODELS) -I $(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_AGENTS) \
+ -I $(UPRJ_INCLUDE_PATH1) -I $(UPRJ_INCLUDE_PATH2) -I $(UPRJ_INCLUDE_PATH3) \
+ -I $(UPRJ_INCLUDE_PATH4) -I $(UPRJ_INCLUDE_PATH5) \
+ $< -o $@
+ else
+ iverilog -g2005-sv -DWFDUMP -DFUNCTIONAL -DSIM -I $(PDK_PATH) \
+ -I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_MODELS) -I $(UPRJ_RTL_PATH) -I $(UPRJ_VERILOG_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_AGENTS) \
+ -I $(UPRJ_INCLUDE_PATH1) -I $(UPRJ_INCLUDE_PATH2) -I $(UPRJ_INCLUDE_PATH3) \
+ -I $(UPRJ_INCLUDE_PATH4) -I $(UPRJ_INCLUDE_PATH5) \
+ $< -o $@
+ endif
+else
+ iverilog -g2005-sv -DFUNCTIONAL -DSIM -DGL -I $(PDK_PATH) \
+ -I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) -I $(CARAVEL_VERILOG_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_MODELS) -I $(UPRJ_GL_PATH) \
+ -I $(UPRJ_BEHAVIOURAL_AGENTS) \
+ $< -o $@
+endif
+
+%.vcd: %.vvp
+ vvp $<
+
+
+
+# ---- Clean ----
+
+clean:
+ rm -f *.vvp *.vcd *.log
+
+.PHONY: clean all
diff --git a/verilog/dv/user_uart_master/run_iverilog b/verilog/dv/user_uart_master/run_iverilog
new file mode 100755
index 0000000..e461fd1
--- /dev/null
+++ b/verilog/dv/user_uart_master/run_iverilog
@@ -0,0 +1,42 @@
+# //////////////////////////////////////////////////////////////////////////////
+# // SPDX-FileCopyrightText: 2021, Dinesh Annayya
+# //
+# // Licensed under the Apache License, Version 2.0 (the "License");
+# // you may not use this file except in compliance with the License.
+# // You may obtain a copy of the License at
+# //
+# // http://www.apache.org/licenses/LICENSE-2.0
+# //
+# // Unless required by applicable law or agreed to in writing, software
+# // distributed under the License is distributed on an "AS IS" BASIS,
+# // WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# // See the License for the specific language governing permissions and
+# // limitations under the License.
+# // SPDX-License-Identifier: Apache-2.0
+# // SPDX-FileContributor: Dinesh Annayya <dinesha@opencores.org>
+# // //////////////////////////////////////////////////////////////////////////
+riscv64-unknown-elf-gcc -O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las -D__RVC_EXT -static -std=gnu99 -fno-common -fno-builtin-printf -DTCM=1 -Wa,-march=rv32imc -march=rv32imc -mabi=ilp32 -DFLAGS_STR=\""-O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las "\" -c -I./ -I../../rtl/syntacore/scr1/sim/tests/common user_uart.c -o user_uart.o
+
+riscv64-unknown-elf-gcc -O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las -D__RVC_EXT -static -std=gnu99 -fno-common -fno-builtin-printf -DTCM=1 -Wa,-march=rv32imc -march=rv32imc -mabi=ilp32 -DFLAGS_STR=\""-O2 -funroll-loops -fpeel-loops -fgcse-sm -fgcse-las "\" -D__ASSEMBLY__=1 -c -I./ -I../../rtl/syntacore/scr1/sim/tests/common/ ../../rtl/syntacore/scr1/sim/tests/common/crt_tcm.S -o crt_tcm.o
+
+riscv64-unknown-elf-gcc -o user_uart.elf -T ../../rtl/syntacore/scr1/sim/tests/common/link_tcm.ld user_uart.o crt_tcm.o -nostartfiles -nostdlib -lc -lgcc -march=rv32imc -mabi=ilp32
+
+riscv64-unknown-elf-objcopy -O verilog user_uart.elf user_uart.hex
+
+riscv64-unknown-elf-objdump -D user_uart.elf > user_uart.dump
+
+rm crt_tcm.o user_uart.o
+
+#iverilog with waveform dump
+iverilog -g2005-sv -DWFDUMP -DFUNCTIONAL -DSIM -I $PDK_PATH -I ../../../caravel/verilog/rtl -I ../ -I ../../../verilog/rtl -I ../ -I ../../../verilog -I ../../../verilog/rtl/syntacore/scr1/src/includes -I ../../../verilog/rtl/sdram_ctrl/src/defs -I ../../../verilog/rtl/i2cm/src/includes -I ../../../verilog/rtl/usb1_host/src/includes -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_uart_tb.v -o user_uart_tb.vvp
+
+
+#iverilog -g2005-sv -I $PDK_PATH -DFUNCTIONAL -DSIM -I ../../../caravel/verilog/rtl -I ../ -I ../../../verilog/rtl -I ../../../verilog -I ../../../verilog/rtl/syntacore/scr1/src/includes -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_uart_tb.v -o user_uart_tb.vvp
+
+# GLS
+#iverilog -g2005-sv -D GL -D FUNCTIONAL -I $PDK_PATH -I ../../../caravel/verilog/rtl -I ../ -I ../../../verilog/gl -I ../../../verilog -I /home/dinesha/workarea/pdk/sky130A -I ../../../verilog/rtl/syntacore/scr1/src/includes -I ../../../verilog/rtl/sdram_ctrl/src/defs -I $CARAVEL_ROOT/verilog/dv/caravel -I ../model -I ../agents user_uart_tb.v -o user_uart_tb.vvp
+#
+
+vvp user_uart_tb.vvp | tee test.log
+
+\rm -rf user_uart_tb.vvp
diff --git a/verilog/dv/user_uart_master/user_uart.c b/verilog/dv/user_uart_master/user_uart.c
new file mode 100644
index 0000000..99e0204
--- /dev/null
+++ b/verilog/dv/user_uart_master/user_uart.c
@@ -0,0 +1,43 @@
+//////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText: 2021, Dinesh Annayya
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+// http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Dinesh Annayya <dinesha@opencores.org>
+// //////////////////////////////////////////////////////////////////////////
+#define SC_SIM_OUTPORT (0xf0000000)
+#define uint32_t long
+
+
+#define reg_mprj_uart_reg0 (*(volatile uint32_t*)0x10010000)
+#define reg_mprj_uart_reg1 (*(volatile uint32_t*)0x10010004)
+#define reg_mprj_uart_reg2 (*(volatile uint32_t*)0x10010008)
+#define reg_mprj_uart_reg3 (*(volatile uint32_t*)0x1001000C)
+#define reg_mprj_uart_reg4 (*(volatile uint32_t*)0x10010010)
+#define reg_mprj_uart_reg5 (*(volatile uint32_t*)0x10010014)
+#define reg_mprj_uart_reg6 (*(volatile uint32_t*)0x10010018)
+#define reg_mprj_uart_reg7 (*(volatile uint32_t*)0x1001001C)
+#define reg_mprj_uart_reg8 (*(volatile uint32_t*)0x10010020)
+
+int main()
+{
+
+ while(1) {
+ // Check UART RX fifo has data, if available loop back the data
+ if(reg_mprj_uart_reg8 != 0) {
+ reg_mprj_uart_reg5 = reg_mprj_uart_reg6;
+ }
+ }
+
+ return 0;
+}
diff --git a/verilog/dv/user_uart_master/user_uart_master_tb.v b/verilog/dv/user_uart_master/user_uart_master_tb.v
new file mode 100644
index 0000000..174bb5a
--- /dev/null
+++ b/verilog/dv/user_uart_master/user_uart_master_tb.v
@@ -0,0 +1,303 @@
+////////////////////////////////////////////////////////////////////////////
+// SPDX-FileCopyrightText: 2021 , Dinesh Annayya
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+// http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+// SPDX-FileContributor: Modified by Dinesh Annayya <dinesha@opencores.org>
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Standalone User validation Test bench ////
+//// ////
+//// This file is part of the YIFive cores project ////
+//// https://github.com/dineshannayya/yifive_r0.git ////
+//// http://www.opencores.org/cores/yifive/ ////
+//// ////
+//// Description ////
+//// This is a standalone test bench to validate the ////
+//// Digital core using uart master i/f. ////
+//// ////
+//// To Do: ////
+//// nothing ////
+//// ////
+//// Author(s): ////
+//// - Dinesh Annayya, dinesha@opencores.org ////
+//// ////
+//// Revision : ////
+//// 0.1 - 16th Feb 2021, Dinesh A ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+//// ////
+//// Copyright (C) 2000 Authors and OPENCORES.ORG ////
+//// ////
+//// This source file may be used and distributed without ////
+//// restriction provided that this copyright statement is not ////
+//// removed from the file and that any derivative work contains ////
+//// the original copyright notice and the associated disclaimer. ////
+//// ////
+//// This source file is free software; you can redistribute it ////
+//// and/or modify it under the terms of the GNU Lesser General ////
+//// Public License as published by the Free Software Foundation; ////
+//// either version 2.1 of the License, or (at your option) any ////
+//// later version. ////
+//// ////
+//// This source is distributed in the hope that it will be ////
+//// useful, but WITHOUT ANY WARRANTY; without even the implied ////
+//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ////
+//// PURPOSE. See the GNU Lesser General Public License for more ////
+//// details. ////
+//// ////
+//// You should have received a copy of the GNU Lesser General ////
+//// Public License along with this source; if not, download it ////
+//// from http://www.opencores.org/lgpl.shtml ////
+//// ////
+//////////////////////////////////////////////////////////////////////
+
+`default_nettype wire
+
+`timescale 1 ns / 1 ns
+
+`include "uprj_netlists.v"
+`include "uart_agent.v"
+
+
+`define ADDR_SPACE_UART 32'h3001_0000
+`define ADDR_SPACE_PINMUX 32'h3002_0000
+
+
+module user_uart_master_tb;
+
+reg clock ;
+reg wb_rst_i ;
+reg power1, power2;
+reg power3, power4;
+
+reg wbd_ext_cyc_i; // strobe/request
+reg wbd_ext_stb_i; // strobe/request
+reg [31:0] wbd_ext_adr_i; // address
+reg wbd_ext_we_i; // write
+reg [31:0] wbd_ext_dat_i; // data output
+reg [3:0] wbd_ext_sel_i; // byte enable
+
+wire [31:0] wbd_ext_dat_o; // data input
+wire wbd_ext_ack_o; // acknowlegement
+wire wbd_ext_err_o; // error
+
+// User I/O
+wire [37:0] io_oeb ;
+wire [37:0] io_out ;
+wire [37:0] io_in ;
+
+wire [37:0] mprj_io ;
+wire [7:0] mprj_io_0 ;
+reg test_fail ;
+reg [31:0] read_data ;
+//----------------------------------
+// Uart Configuration
+// ---------------------------------
+reg [1:0] uart_data_bit ;
+reg uart_stop_bits ; // 0: 1 stop bit; 1: 2 stop bit;
+reg uart_stick_parity ; // 1: force even parity
+reg uart_parity_en ; // parity enable
+reg uart_even_odd_parity ; // 0: odd parity; 1: even parity
+
+reg [7:0] uart_data ;
+reg [15:0] uart_divisor ; // divided by n * 16
+reg [15:0] uart_timeout ;// wait time limit
+
+reg [15:0] uart_rx_nu ;
+reg [15:0] uart_tx_nu ;
+reg [7:0] uart_write_data [0:39];
+reg uart_fifo_enable ; // fifo mode disable
+
+reg [127:0] la_data_in;
+reg flag;
+
+
+integer i,j;
+
+ // External clock is used by default. Make this artificially fast for the
+ // simulation. Normally this would be a slow clock and the digital PLL
+ // would be the fast clock.
+
+ always #12.5 clock <= (clock === 1'b0);
+
+ initial begin
+ clock = 0;
+ la_data_in = 0;
+ end
+
+ `ifdef WFDUMP
+ initial begin
+ $dumpfile("risc_boot.vcd");
+ $dumpvars(0, user_uart_master_tb);
+ end
+ `endif
+
+ initial begin
+ clock = 0;
+ wbd_ext_cyc_i ='h0; // strobe/request
+ wbd_ext_stb_i ='h0; // strobe/request
+ wbd_ext_adr_i ='h0; // address
+ wbd_ext_we_i ='h0; // write
+ wbd_ext_dat_i ='h0; // data output
+ wbd_ext_sel_i ='h0; // byte enable
+ end
+initial
+begin
+ wb_rst_i <= 1'b1;
+ uart_data_bit = 2'b11;
+ uart_stop_bits = 1; // 0: 1 stop bit; 1: 2 stop bit;
+ uart_stick_parity = 0; // 1: force even parity
+ uart_parity_en = 0; // parity enable
+ uart_even_odd_parity = 1; // 0: odd parity; 1: even parity
+ uart_divisor = 15;// divided by n * 16
+ uart_timeout = 600;// wait time limit
+ uart_fifo_enable = 0; // fifo mode disable
+
+ // UPDATE the RTL UART MASTER
+ la_data_in[0] = 1; // Enable Transmit Path
+ la_data_in[1] = 1; // Enable Received Path
+ la_data_in[2] = 1; // Enable Received Path
+ la_data_in[15:4] = ((uart_divisor+1)/16)-1; // Divisor value
+ la_data_in[17:16] = 2'b00; // priority mode, 0 -> nop, 1 -> Even, 2 -> Odd
+
+ #100;
+ wb_rst_i <= 1'b0; // Release reset
+
+ $display("Monitor: Standalone User Uart master Test Started");
+
+ tb_master_uart.debug_mode = 0; // disable debug display
+ tb_master_uart.uart_init;
+ tb_master_uart.control_setup (uart_data_bit, uart_stop_bits, uart_parity_en, uart_even_odd_parity,
+ uart_stick_parity, uart_timeout, uart_divisor);
+
+ //$write ("\n(%t)Response:\n",$time);
+ flag = 0;
+ while(flag == 0)
+ begin
+ tb_master_uart.read_char(read_data,flag);
+ $write ("%c",read_data);
+ end
+
+
+
+ // Remove Wb Reset
+ uartm_reg_write('h3080_0000,'h1);
+
+ repeat (2) @(posedge clock);
+ #1;
+
+ $display("Monitor: Writing expected value");
+
+ test_fail = 0;
+ uartm_reg_write(32'h30020058,32'h11223344);
+ uartm_reg_write(32'h3002005C,32'h22334455);
+ uartm_reg_write(32'h30020060,32'h33445566);
+ uartm_reg_write(32'h30020064,32'h44556677);
+ uartm_reg_write(32'h30020068,32'h55667788);
+ uartm_reg_write(32'h3002006C,32'h66778899);
+
+ uartm_reg_read_check(32'h30020058,32'h11223344);
+ uartm_reg_read_check(32'h3002005C,32'h22334455);
+ uartm_reg_read_check(32'h30020060,32'h33445566);
+ uartm_reg_read_check(32'h30020064,32'h44556677);
+ uartm_reg_read_check(32'h30020068,32'h55667788);
+ uartm_reg_read_check(32'h3002006C,32'h66778899);
+
+
+
+ $display("###################################################");
+ if(test_fail == 0) begin
+ `ifdef GL
+ $display("Monitor: Standalone User UART Master (GL) Passed");
+ `else
+ $display("Monitor: Standalone User Uart Master (RTL) Passed");
+ `endif
+ end else begin
+ `ifdef GL
+ $display("Monitor: Standalone User Uart Master (GL) Failed");
+ `else
+ $display("Monitor: Standalone User Uart Master (RTL) Failed");
+ `endif
+ end
+ $display("###################################################");
+ #100
+ $finish;
+end
+
+
+wire USER_VDD1V8 = 1'b1;
+wire VSS = 1'b0;
+
+
+user_project_wrapper u_top(
+`ifdef USE_POWER_PINS
+ .vccd1(USER_VDD1V8), // User area 1 1.8V supply
+ .vssd1(VSS), // User area 1 digital ground
+`endif
+ .wb_clk_i (clock), // System clock
+ .user_clock2 (1'b1), // Real-time clock
+ .wb_rst_i (wb_rst_i), // Regular Reset signal
+
+ .wbs_cyc_i (wbd_ext_cyc_i), // strobe/request
+ .wbs_stb_i (wbd_ext_stb_i), // strobe/request
+ .wbs_adr_i (wbd_ext_adr_i), // address
+ .wbs_we_i (wbd_ext_we_i), // write
+ .wbs_dat_i (wbd_ext_dat_i), // data output
+ .wbs_sel_i (wbd_ext_sel_i), // byte enable
+
+ .wbs_dat_o (wbd_ext_dat_o), // data input
+ .wbs_ack_o (wbd_ext_ack_o), // acknowlegement
+
+
+ // Logic Analyzer Signals
+ .la_data_in (la_data_in) ,
+ .la_data_out (),
+ .la_oenb ('0),
+
+
+ // IOs
+ .io_in (io_in) ,
+ .io_out (io_out) ,
+ .io_oeb (io_oeb) ,
+
+ .user_irq ()
+
+);
+
+`ifndef GL // Drive Power for Hold Fix Buf
+ // All standard cell need power hook-up for functionality work
+ initial begin
+ end
+`endif
+
+
+//---------------------------
+// UART Agent integration
+// --------------------------
+wire uart_txd,uart_rxd;
+
+assign uart_txd = io_out[35];
+assign io_in[34] = uart_rxd ;
+
+uart_agent tb_master_uart(
+ .mclk (clock ),
+ .txd (uart_rxd ),
+ .rxd (uart_txd )
+ );
+
+
+
+`include "uart_master_tasks.sv"
+endmodule
+`default_nettype wire
diff --git a/verilog/gl/pinmux.v b/verilog/gl/pinmux.v
index e3d5b40..387941b 100644
--- a/verilog/gl/pinmux.v
+++ b/verilog/gl/pinmux.v
@@ -27,6 +27,8 @@
spim_ss,
uart_rxd,
uart_txd,
+ uartm_rxd,
+ uartm_txd,
usb_dn_i,
usb_dn_o,
usb_dp_i,
@@ -87,6 +89,8 @@
input spim_ss;
output uart_rxd;
input uart_txd;
+ output uartm_rxd;
+ input uartm_txd;
output usb_dn_i;
input usb_dn_o;
output usb_dp_i;
@@ -119,25 +123,22 @@
input [3:0] sflash_oen;
output [2:0] user_irq;
- wire net349;
- wire net302;
- wire net303;
- wire net304;
- wire net305;
+ wire net351;
wire net306;
wire net307;
wire net308;
wire net309;
wire net310;
wire net311;
+ wire net352;
wire net312;
wire net313;
wire net314;
wire net315;
wire net316;
wire net317;
- wire net327;
- wire net328;
+ wire net318;
+ wire net319;
wire net329;
wire net330;
wire net331;
@@ -146,9 +147,9 @@
wire net334;
wire net335;
wire net336;
- wire net318;
wire net337;
wire net338;
+ wire net320;
wire net339;
wire net340;
wire net341;
@@ -157,16 +158,18 @@
wire net344;
wire net345;
wire net346;
- wire net319;
wire net347;
wire net348;
- wire net320;
wire net321;
+ wire net349;
+ wire net350;
wire net322;
wire net323;
wire net324;
wire net325;
wire net326;
+ wire net327;
+ wire net328;
wire _00000_;
wire _00001_;
wire _00002_;
@@ -4696,16 +4699,11 @@
wire clknet_leaf_148_mclk;
wire clknet_leaf_149_mclk;
wire clknet_leaf_14_mclk;
- wire clknet_leaf_150_mclk;
- wire clknet_leaf_151_mclk;
- wire clknet_leaf_152_mclk;
wire clknet_leaf_15_mclk;
wire clknet_leaf_16_mclk;
wire clknet_leaf_17_mclk;
wire clknet_leaf_18_mclk;
- wire clknet_leaf_19_mclk;
wire clknet_leaf_1_mclk;
- wire clknet_leaf_20_mclk;
wire clknet_leaf_21_mclk;
wire clknet_leaf_22_mclk;
wire clknet_leaf_23_mclk;
@@ -4767,6 +4765,7 @@
wire clknet_leaf_74_mclk;
wire clknet_leaf_75_mclk;
wire clknet_leaf_76_mclk;
+ wire clknet_leaf_77_mclk;
wire clknet_leaf_78_mclk;
wire clknet_leaf_79_mclk;
wire clknet_leaf_7_mclk;
@@ -5018,14 +5017,15 @@
wire net30;
wire net300;
wire net301;
+ wire net302;
+ wire net303;
+ wire net304;
+ wire net305;
wire net31;
wire net32;
wire net33;
wire net34;
wire net35;
- wire net350;
- wire net351;
- wire net352;
wire net353;
wire net354;
wire net355;
@@ -5058,19 +5058,7 @@
wire net38;
wire net380;
wire net381;
- wire net382;
- wire net383;
- wire net384;
- wire net385;
- wire net386;
- wire net387;
- wire net388;
- wire net389;
wire net39;
- wire net390;
- wire net391;
- wire net392;
- wire net393;
wire net4;
wire net40;
wire net41;
@@ -6316,31 +6304,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04520__B2 (.DIODE(_01544_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04521__A (.DIODE(_01537_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04522__B2 (.DIODE(_01544_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04523__B2 (.DIODE(_01544_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04524__B2 (.DIODE(_01544_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04527__A (.DIODE(_01536_),
.VGND(vssd1),
.VNB(vssd1),
@@ -6386,6 +6354,26 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04567__A (.DIODE(_01566_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04571__A (.DIODE(_01566_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04577__A (.DIODE(_01566_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04589__B2 (.DIODE(_01566_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04590__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[5] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -6401,11 +6389,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04602__A (.DIODE(_01576_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04603__A (.DIODE(_01575_),
.VGND(vssd1),
.VNB(vssd1),
@@ -6431,27 +6414,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04608__A (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04608__A (.DIODE(net65),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04610__B (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04610__B (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04610__C (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04610__C (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04612__A (.DIODE(net63),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04612__A (.DIODE(net64),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04613__A (.DIODE(net62),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04613__A (.DIODE(net63),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6491,7 +6474,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04624__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04624__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6501,22 +6484,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04629__A (.DIODE(net62),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04629__A (.DIODE(net63),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04629__B (.DIODE(net63),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04629__B (.DIODE(net64),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04631__A (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04631__A (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04632__A (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04632__A (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6551,7 +6534,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04643__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04643__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6561,7 +6544,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04644__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04644__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6571,7 +6554,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04645__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04645__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6581,7 +6564,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04646__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04646__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6596,42 +6579,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04649__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04649__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04650__A1 (.DIODE(net125),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04650__A1 (.DIODE(net127),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04650__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04650__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04651__A1 (.DIODE(net126),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04651__A1 (.DIODE(net128),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04651__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04651__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04652__A1 (.DIODE(net124),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04652__A1 (.DIODE(net126),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04652__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04652__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04653__A (.DIODE(net62),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04653__A (.DIODE(net63),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6641,17 +6624,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04656__A (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04656__A (.DIODE(net65),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04656__B (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04656__B (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04656__D (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04656__D (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6671,22 +6654,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04662__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04662__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04663__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04663__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04664__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04664__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04665__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04665__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6696,22 +6679,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04668__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04668__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04669__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04669__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04670__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04670__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04671__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04671__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6736,22 +6719,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04681__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04681__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04682__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04682__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04683__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04683__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04684__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04684__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6761,22 +6744,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04687__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04687__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04688__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04688__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04689__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04689__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04690__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04690__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6796,17 +6779,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04695__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04695__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04696__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04696__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04697__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04697__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6816,7 +6799,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04698__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04698__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6831,12 +6814,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04701__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04701__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04702__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04702__A1 (.DIODE(\u_pinmux_reg.u_reg6_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04702__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6846,37 +6834,37 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04703__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04703__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04704__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04704__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04705__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04705__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04706__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04706__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04707__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04707__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04708__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04708__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04709__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04709__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6886,7 +6874,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04710__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04710__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6896,7 +6884,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04711__B (.DIODE(net63),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04711__B (.DIODE(net64),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6906,57 +6894,72 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04719__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04716__A (.DIODE(_01658_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04720__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04717__A (.DIODE(_01658_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04721__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04719__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04722__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04720__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04725__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04721__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04726__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04722__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04727__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04723__A (.DIODE(_01658_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04728__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04725__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04729__A (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04726__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04729__B (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04727__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04729__D (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04728__B1 (.DIODE(net73),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04729__A (.DIODE(net65),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04729__B (.DIODE(net66),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04729__D (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -6976,22 +6979,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04735__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04735__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04736__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04736__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04737__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04737__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04738__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04738__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7001,37 +7004,37 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04741__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04741__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04742__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04742__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04743__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04743__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04744__A1 (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04744__A1 (.DIODE(net192),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04744__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04744__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04746__A (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04746__A (.DIODE(net65),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04748__C (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04748__C (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7046,22 +7049,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04755__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04755__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04756__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04756__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04757__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04757__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04758__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04758__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7071,27 +7074,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04761__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04761__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04762__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04762__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04763__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04763__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04764__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04764__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04766__C (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04766__C (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7106,22 +7109,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04774__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04774__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04775__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04775__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04776__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04776__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04777__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04777__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7131,22 +7134,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04780__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04780__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04781__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04781__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04782__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04782__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04783__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04783__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7166,22 +7169,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04790__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04790__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04791__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04791__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04792__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04792__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04793__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04793__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7191,22 +7194,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04796__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04796__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04797__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04797__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04798__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04798__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04799__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04799__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7236,7 +7239,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04805__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04805__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7246,7 +7249,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04806__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04806__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7256,7 +7259,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04807__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04807__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7266,7 +7269,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04808__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04808__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7281,7 +7284,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04811__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04811__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7291,7 +7294,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04812__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04812__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7301,12 +7304,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04813__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04813__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04814__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04814__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7326,22 +7329,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04821__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04821__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04822__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04822__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04823__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04823__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04824__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04824__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7351,32 +7354,32 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04827__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04827__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04828__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04828__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04829__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04829__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04830__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04830__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04832__A (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04832__A (.DIODE(net65),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04832__B (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04832__B (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7396,22 +7399,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04839__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04839__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04840__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04840__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04841__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04841__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04842__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04842__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7421,7 +7424,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04845__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04845__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7431,7 +7434,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04846__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04846__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7441,22 +7444,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04847__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04847__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04848__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04848__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04851__A (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04851__A (.DIODE(net65),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04851__B (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04851__B (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7486,22 +7489,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04857__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04857__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04858__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04858__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04859__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04859__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04860__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04860__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7511,32 +7514,32 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04863__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04863__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04864__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04864__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04865__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04865__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04866__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04866__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04869__A (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04869__A (.DIODE(net65),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04869__B (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04869__B (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7576,7 +7579,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04875__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04875__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7586,7 +7589,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04876__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04876__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7596,7 +7599,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04877__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04877__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7606,7 +7609,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04878__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04878__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7616,17 +7619,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04881__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04881__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04882__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04882__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04883__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04883__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7636,17 +7639,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04884__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04884__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04885__A (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04885__A (.DIODE(net65),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04885__B (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04885__B (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7661,57 +7664,57 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04887__B (.DIODE(_01749_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04887__C (.DIODE(_01721_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04891__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04891__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04892__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04892__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04893__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04893__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04894__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04894__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04897__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04897__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04898__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04898__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04899__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04899__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04900__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04900__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04901__B (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04900__B1 (.DIODE(net73),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04901__B (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7731,11 +7734,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04904__B (.DIODE(_01757_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04904__C (.DIODE(_01758_),
.VGND(vssd1),
.VNB(vssd1),
@@ -7756,7 +7754,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04908__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04908__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7766,12 +7764,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04909__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04909__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04910__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04910__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7781,7 +7779,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04911__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04911__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7791,12 +7789,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04914__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04914__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04915__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04915__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7806,7 +7804,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04916__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04916__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7816,7 +7814,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04917__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04917__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7826,7 +7824,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04920__B (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04920__B (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7841,6 +7839,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04924__A (.DIODE(_01766_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04924__B (.DIODE(_01770_),
.VGND(vssd1),
.VNB(vssd1),
@@ -7866,7 +7869,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04928__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04928__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7876,7 +7879,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04929__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04929__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7886,7 +7889,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04930__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04930__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7896,7 +7899,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04931__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04931__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7911,7 +7914,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04934__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04934__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7921,7 +7924,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04935__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04935__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7931,12 +7934,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04936__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04936__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04937__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04937__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7966,22 +7969,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04943__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04943__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04944__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04944__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04945__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04945__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04946__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04946__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -7991,22 +7994,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04949__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04949__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04950__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04950__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04951__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04951__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04952__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04952__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8021,6 +8024,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04956__A (.DIODE(_01766_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04956__B (.DIODE(_01786_),
.VGND(vssd1),
.VNB(vssd1),
@@ -8041,22 +8049,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04960__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04960__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04961__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04961__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04962__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04962__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04963__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04963__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8066,22 +8074,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04966__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04966__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04967__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04967__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04968__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04968__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04969__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04969__B1 (.DIODE(net73),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04972__A (.DIODE(_01766_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8106,22 +8119,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04976__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04976__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04977__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04977__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04978__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04978__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04979__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04979__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8131,22 +8144,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04982__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04982__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04983__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04983__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04984__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04984__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04985__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04985__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8161,6 +8174,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__04990__A (.DIODE(_01766_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__04991__A (.DIODE(_01805_),
.VGND(vssd1),
.VNB(vssd1),
@@ -8171,22 +8189,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04994__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04994__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04995__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04995__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04996__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04996__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__04997__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__04997__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8196,22 +8214,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05000__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05000__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05001__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05001__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05002__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05002__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05003__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05003__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8241,22 +8259,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05012__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05012__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05013__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05013__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05014__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05014__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05015__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05015__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8266,22 +8284,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05018__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05018__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05019__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05019__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05020__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05020__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05021__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05021__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8306,22 +8324,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05028__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05028__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05029__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05029__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05030__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05030__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05031__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05031__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8331,22 +8349,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05034__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05034__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05035__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05035__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05036__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05036__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05037__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05037__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8371,7 +8389,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05042__B1 (.DIODE(net127),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05042__B1 (.DIODE(net129),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8606,22 +8624,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05090__B1 (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05090__B1 (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05091__B1 (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05091__B1 (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05092__B1 (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05092__B1 (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05093__B1 (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05093__B1 (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8631,22 +8649,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05096__B1 (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05096__B1 (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05097__B1 (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05097__B1 (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05098__B1 (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05098__B1 (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05099__B1 (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05099__B1 (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8661,31 +8679,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05139__A (.DIODE(_01576_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05143__A (.DIODE(_01588_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05145__A (.DIODE(_01576_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05149__A (.DIODE(_01588_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05151__A (.DIODE(_01576_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05157__A (.DIODE(_01575_),
.VGND(vssd1),
.VNB(vssd1),
@@ -8701,7 +8704,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05163__A (.DIODE(net70),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05163__A (.DIODE(net71),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8736,22 +8739,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05170__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05170__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05171__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05171__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05172__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05172__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05173__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05173__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8761,22 +8764,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05176__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05176__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05177__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05177__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05178__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05178__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05179__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05179__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8796,42 +8799,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05188__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05188__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05189__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05189__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05190__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05190__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05191__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05191__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05194__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05194__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05195__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05195__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05196__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05196__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05197__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05197__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8856,22 +8859,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05204__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05204__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05205__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05205__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05206__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05206__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05207__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05207__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8881,22 +8884,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05210__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05210__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05211__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05211__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05212__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05212__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05213__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05213__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8926,22 +8929,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05219__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05219__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05220__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05220__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05221__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05221__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05222__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05222__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8951,22 +8954,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05225__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05225__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05226__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05226__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05227__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05227__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05228__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05228__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -8996,22 +8999,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05234__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05234__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05235__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05235__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05236__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05236__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05237__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05237__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9021,42 +9024,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05240__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05240__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05241__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05241__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05242__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05242__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05243__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05243__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05248__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05248__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05249__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05249__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05250__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05250__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05251__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05251__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9066,22 +9069,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05254__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05254__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05255__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05255__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05256__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05256__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05257__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05257__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9106,22 +9109,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05263__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05263__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05264__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05264__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05265__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05265__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05266__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05266__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9131,22 +9134,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05269__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05269__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05270__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05270__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05271__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05271__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05272__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05272__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9166,22 +9169,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05278__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05278__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05279__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05279__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05280__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05280__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05281__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05281__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9191,17 +9194,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05284__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05284__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05285__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05285__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05286__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05286__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9211,12 +9214,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05287__B1 (.DIODE(net102),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05288__B (.DIODE(_01757_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05287__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9231,22 +9229,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05292__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05292__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05293__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05293__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05294__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05294__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05295__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05295__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9256,17 +9254,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05298__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05298__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05299__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05299__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05300__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05300__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9276,7 +9274,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05301__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05301__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9291,42 +9289,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05306__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05306__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05307__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05307__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05308__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05308__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05309__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05309__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05312__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05312__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05313__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05313__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05314__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05314__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05315__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05315__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9346,27 +9344,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05320__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05320__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05320__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05321__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05321__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05322__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05322__B1 (.DIODE(net93),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05323__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05323__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9376,22 +9369,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05326__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05326__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05327__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05327__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05328__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05328__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05329__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05329__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9406,42 +9399,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05335__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05335__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05336__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05336__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05337__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05337__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05338__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05338__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05341__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05341__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05342__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05342__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05343__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05343__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05344__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05344__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9481,22 +9474,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05354__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05354__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05355__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05355__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05356__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05356__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05357__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05357__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9506,22 +9499,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05360__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05360__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05361__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05361__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05362__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05362__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05363__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05363__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9551,27 +9544,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05369__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05369__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05370__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05370__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05371__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05371__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05372__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[12] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05372__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05372__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9581,27 +9569,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05375__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05375__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05375__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05376__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05376__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05376__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05377__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05377__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05378__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05378__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9636,22 +9624,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05396__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05396__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05397__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05397__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05398__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05398__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05399__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05399__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9661,22 +9649,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05402__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05402__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05403__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05403__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05404__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05404__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05405__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05405__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9701,42 +9689,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05411__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05411__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05412__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05412__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05413__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05413__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05414__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05414__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05417__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05417__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05418__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05418__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05419__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05419__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05420__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05420__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9756,42 +9744,47 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05427__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05427__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05428__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05427__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05429__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05428__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05430__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05429__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05433__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05430__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05434__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05433__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05435__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05434__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05436__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05435__B1 (.DIODE(net81),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05436__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9811,7 +9804,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05441__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05441__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9821,7 +9814,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05442__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05442__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9831,7 +9824,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05443__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05443__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9841,7 +9834,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05444__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05444__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9851,17 +9844,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05447__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05447__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05448__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05448__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05449__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05449__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9871,7 +9864,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05450__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05450__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9901,22 +9894,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05456__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05456__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05457__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05457__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05458__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05458__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05459__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05459__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9926,22 +9919,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05462__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05462__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05463__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05463__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05464__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05464__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05465__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05465__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9966,22 +9959,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05470__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05470__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05471__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05471__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05472__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05472__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05473__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05473__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -9991,22 +9984,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05476__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05476__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05477__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05477__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05478__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05478__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05479__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05479__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05479__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10046,7 +10044,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05486__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05486__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10056,7 +10054,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05487__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05487__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10066,7 +10064,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05488__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05488__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10076,7 +10074,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05489__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05489__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10091,22 +10089,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05492__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05492__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05493__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05493__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05494__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05493__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05495__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05494__B1 (.DIODE(net81),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05495__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10126,22 +10129,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05500__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05500__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05501__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05501__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05502__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05502__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05503__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05503__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10151,7 +10154,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05506__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05506__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10166,7 +10169,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05507__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05507__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10181,7 +10184,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05508__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05508__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10196,7 +10199,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05509__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05509__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10231,22 +10234,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05514__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05514__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05515__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05515__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05516__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05516__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05517__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05517__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10256,22 +10259,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05520__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05520__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05521__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05521__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05522__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05522__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05523__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05523__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10296,22 +10299,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05528__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05528__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05529__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05529__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05530__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05530__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05531__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05531__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10321,22 +10324,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05534__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05534__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05535__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05535__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05536__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05536__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05537__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05537__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10356,22 +10359,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05544__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05544__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05545__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05545__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05546__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05546__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05547__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05547__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10386,22 +10389,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05550__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05550__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05551__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05551__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05552__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05552__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05553__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05553__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10416,22 +10419,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05559__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05559__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05560__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05560__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05561__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05561__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05562__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05562__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10441,22 +10444,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05565__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05565__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05566__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05566__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05567__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05567__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05568__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05568__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10471,22 +10474,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05574__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05574__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05575__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05575__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05576__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05576__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05577__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05577__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10501,7 +10504,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05580__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05580__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10516,7 +10519,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05581__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05581__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10531,7 +10534,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05582__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05582__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10546,7 +10549,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05583__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05583__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10566,22 +10569,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05588__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05588__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05589__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05589__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05590__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05590__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05591__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05591__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10591,22 +10594,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05594__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05594__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05595__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05595__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05596__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05596__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05597__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05597__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10626,7 +10629,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05605__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05605__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10636,7 +10639,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05606__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05606__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10646,7 +10649,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05607__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05607__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10656,12 +10659,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05608__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05608__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05611__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05611__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10671,17 +10674,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05612__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05612__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05613__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05613__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05614__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05614__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10701,12 +10704,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05619__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05619__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05620__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05620__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10716,7 +10719,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05621__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05621__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10726,7 +10729,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05622__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05622__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10736,7 +10739,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05625__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05625__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10746,7 +10749,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05626__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05626__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10756,17 +10759,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05627__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05627__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05628__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[8] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05628__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05628__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10781,27 +10779,27 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05633__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05633__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05634__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05634__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05635__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05635__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05636__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05636__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05639__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05639__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10811,7 +10809,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05640__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05640__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10821,7 +10819,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05641__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05641__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10831,7 +10829,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05642__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05642__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10856,22 +10854,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05648__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05648__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05649__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05649__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05650__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05650__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05651__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05651__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10881,22 +10879,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05654__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05654__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05655__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05655__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05656__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05656__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05657__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05657__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10906,42 +10904,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05662__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05662__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05663__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05663__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05664__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05664__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05665__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05665__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05668__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05668__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05669__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05669__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05670__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05670__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05671__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05671__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -10956,62 +10954,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05673__A (.DIODE(_02131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05676__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05674__A (.DIODE(_02131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05677__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05676__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05678__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05677__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05679__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05678__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05682__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05679__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05683__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05680__A (.DIODE(_02131_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05684__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05682__B1 (.DIODE(net91),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05683__B1 (.DIODE(net90),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05684__B1 (.DIODE(net89),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05685__A1 (.DIODE(\u_pinmux_reg.reg_25[24] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05685__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05685__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11026,42 +11004,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05690__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05690__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05691__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05691__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05692__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05692__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05693__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05693__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05696__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05696__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05697__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05697__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05698__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05698__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05699__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05699__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11076,22 +11054,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05705__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05705__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05706__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05706__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05707__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05707__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05708__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05708__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11101,22 +11079,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05711__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05711__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05712__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05712__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05713__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05713__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05714__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05714__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11131,22 +11109,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05720__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05720__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05721__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05721__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05722__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05722__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05723__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05723__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11156,22 +11134,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05726__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05726__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05727__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05727__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05728__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05728__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05729__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05729__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11186,7 +11164,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05734__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05734__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11196,17 +11174,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05735__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05735__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05736__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05736__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05737__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05737__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11216,22 +11194,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05740__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05740__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05741__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05741__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05742__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05742__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05743__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05743__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11261,22 +11239,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05761__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05761__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05762__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05762__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05763__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05763__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05764__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05764__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11286,22 +11264,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05767__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05767__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05768__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05768__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05769__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05769__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05770__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05770__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11311,57 +11289,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05773__A (.DIODE(_02181_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05776__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05774__A (.DIODE(_02181_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05777__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05776__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05778__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05777__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05779__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05778__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05782__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05779__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05783__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05780__A (.DIODE(_02181_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05784__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05782__B1 (.DIODE(net74),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05783__B1 (.DIODE(net73),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05784__B1 (.DIODE(net103),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05785__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05785__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11376,22 +11339,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05790__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05790__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05791__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05791__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05792__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05792__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05793__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05793__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11401,22 +11364,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05796__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05796__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05797__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05797__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05798__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05798__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05799__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05799__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11431,22 +11394,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05805__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05805__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05806__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05806__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05807__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05807__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05808__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05808__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11456,22 +11419,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05811__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05811__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05812__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05812__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05813__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05813__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05814__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05814__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11486,22 +11449,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05819__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05819__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05820__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05820__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05821__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05821__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05822__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05822__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11511,62 +11474,62 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05825__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05825__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05826__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05826__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05827__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05827__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05828__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05828__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05834__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05834__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05835__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05835__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05836__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05836__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05837__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05837__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05840__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05840__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05841__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05841__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05842__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05842__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05843__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05843__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11581,32 +11544,32 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05848__A1 (.DIODE(net195),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05848__A1 (.DIODE(net198),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05848__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05848__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05849__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05849__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05850__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05850__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05851__A1 (.DIODE(net192),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05851__A1 (.DIODE(net195),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05851__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05851__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11616,42 +11579,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05854__A1 (.DIODE(net191),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05854__A1 (.DIODE(net194),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05854__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05854__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05855__A1 (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05855__A1 (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05855__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05855__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05856__A1 (.DIODE(net220),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05856__A1 (.DIODE(net223),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05856__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05856__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05857__A1 (.DIODE(net219),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05857__A1 (.DIODE(net222),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05857__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05857__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11671,7 +11634,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05862__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05862__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11681,17 +11644,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05863__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05863__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05864__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05864__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05865__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05865__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11701,62 +11664,62 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05868__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05868__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05869__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05869__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05870__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05870__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05871__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05871__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05876__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05876__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05877__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05877__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05878__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05878__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05879__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05879__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05882__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05882__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05883__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05883__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05884__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05884__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05885__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05885__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11776,22 +11739,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05893__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05893__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05894__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05894__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05895__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05895__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05896__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05896__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11801,22 +11764,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05899__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05899__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05900__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05900__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05901__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05901__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05902__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05902__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11831,22 +11794,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05907__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05907__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05908__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05908__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05909__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05909__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05910__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05910__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11856,22 +11819,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05913__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05913__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05914__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05914__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05915__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05915__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05916__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05916__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -11881,42 +11844,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05922__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05922__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05923__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05923__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05924__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05924__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05925__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05925__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05928__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05928__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05929__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05929__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05930__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05930__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05931__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05931__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12046,6 +12009,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__05975__A1 (.DIODE(_00027_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05977__A1 (.DIODE(_00026_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12056,16 +12024,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05989__A1 (.DIODE(_00049_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05991__A1 (.DIODE(_00035_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__05994__A (.DIODE(_02279_),
.VGND(vssd1),
.VNB(vssd1),
@@ -12076,42 +12034,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05997__A1 (.DIODE(net204),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05997__A1 (.DIODE(net207),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05997__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05997__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05998__A1 (.DIODE(net203),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05998__A1 (.DIODE(net206),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05998__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05998__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05999__A1 (.DIODE(net202),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05999__A1 (.DIODE(net205),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__05999__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__05999__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06000__A1 (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06000__A1 (.DIODE(net204),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06000__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06000__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12121,42 +12079,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06003__A1 (.DIODE(net199),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06003__A1 (.DIODE(net202),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06003__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06003__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06004__A1 (.DIODE(net198),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06004__A1 (.DIODE(net201),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06004__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06004__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06005__A1 (.DIODE(net197),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06005__A1 (.DIODE(net200),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06005__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06005__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06006__A1 (.DIODE(net196),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06006__A1 (.DIODE(net199),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06006__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06006__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12181,22 +12139,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06012__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06012__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06013__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06013__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06014__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06014__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06015__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06015__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12206,22 +12164,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06018__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06018__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06019__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06019__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06020__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06020__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06021__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06021__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12256,42 +12214,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06039__A2 (.DIODE(_02304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06039__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06039__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06040__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06040__A2 (.DIODE(_02304_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06041__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06040__B1 (.DIODE(net77),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06041__A2 (.DIODE(_02304_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06041__B1 (.DIODE(net76),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06042__A2 (.DIODE(_02304_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06042__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06042__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12301,22 +12239,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06045__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06045__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06046__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06046__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06047__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06047__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06048__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06048__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12336,22 +12274,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06054__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06054__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06055__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06055__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06056__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06056__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06057__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06057__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12361,22 +12299,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06060__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06060__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06061__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06061__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06062__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06062__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06063__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06063__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12391,22 +12329,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06068__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06068__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06069__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06069__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06070__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06070__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06071__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06071__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12416,22 +12354,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06074__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06074__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06075__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06075__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06076__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06076__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06077__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06077__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12466,22 +12404,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06108__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06108__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06109__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06109__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06110__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06110__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06111__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06111__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12491,22 +12429,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06114__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06114__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06115__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06115__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06116__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06116__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06117__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06117__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12541,22 +12479,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06135__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06135__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06136__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06136__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06137__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06137__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06138__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06138__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12566,22 +12504,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06141__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06141__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06142__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06142__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06143__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06143__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06144__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06144__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12591,42 +12529,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06149__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06149__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06150__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06150__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06151__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06151__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06152__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06152__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06155__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06155__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06156__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06156__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06157__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06157__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06158__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06158__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12641,22 +12579,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06164__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06164__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06165__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06165__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06166__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06166__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06167__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06167__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12666,22 +12604,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06170__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06170__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06171__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06171__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06172__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06172__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06173__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06173__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12696,22 +12634,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06180__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06180__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06181__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06181__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06182__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06182__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06183__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06183__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12721,22 +12659,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06186__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06186__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06187__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06187__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06188__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06188__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06189__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06189__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12746,42 +12684,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06206__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06206__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06207__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06207__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06208__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06208__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06209__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06209__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06212__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06212__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06213__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06213__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06214__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06214__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06215__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06215__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12796,22 +12734,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06220__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06220__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06221__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06221__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06222__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06222__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06223__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06223__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12821,62 +12759,62 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06226__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06226__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06227__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06227__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06228__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06228__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06229__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06229__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06234__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06234__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06235__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06235__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06236__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06236__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06237__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06237__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06240__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06240__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06241__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06241__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06242__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06242__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06243__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06243__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12886,7 +12824,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06246__A1 (.DIODE(net128),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06246__A1 (.DIODE(net130),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12921,7 +12859,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06252__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06252__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12936,7 +12874,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06253__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06253__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12946,12 +12884,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06254__A1 (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06254__A2 (.DIODE(_02416_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06254__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06254__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12966,7 +12909,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06255__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06255__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -12981,22 +12924,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06258__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06258__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06259__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06259__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06260__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06260__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06261__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06261__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13016,22 +12959,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06267__B1 (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06267__B1 (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06268__B1 (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06268__B1 (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06269__B1 (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06269__B1 (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06270__B1 (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06270__B1 (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13041,22 +12984,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06273__B1 (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06273__B1 (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06274__B1 (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06274__B1 (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06275__B1 (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06275__B1 (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06276__B1 (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06276__B1 (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13076,22 +13019,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06281__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06281__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06282__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06282__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06283__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06283__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06284__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06284__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13101,22 +13044,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06287__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06287__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06288__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06288__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06289__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06289__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06290__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06290__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13136,7 +13079,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06293__B (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06293__B (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13156,42 +13099,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06352__B1 (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06352__B1 (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06353__B1 (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06353__B1 (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06354__B1 (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06354__B1 (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06355__B1 (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06355__B1 (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06358__B1 (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06358__B1 (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06359__B1 (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06359__B1 (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06360__B1 (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06360__B1 (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06361__B1 (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06361__B1 (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13216,22 +13159,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06367__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06367__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06368__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06368__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06369__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06369__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06370__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06370__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13241,22 +13184,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06373__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06373__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06374__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06374__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06375__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06375__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06376__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06376__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13281,22 +13224,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06381__B1 (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06381__B1 (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06382__B1 (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06382__B1 (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06383__B1 (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06383__B1 (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06384__B1 (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06384__B1 (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13306,22 +13249,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06387__B1 (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06387__B1 (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06388__B1 (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06388__B1 (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06389__B1 (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06389__B1 (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06390__B1 (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06390__B1 (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13331,7 +13274,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06392__A (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06392__A (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13346,17 +13289,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06394__A (.DIODE(net72),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06394__A (.DIODE(net73),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06395__A3 (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06395__A3 (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06395__B1 (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06395__B1 (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13386,22 +13329,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06403__A (.DIODE(net83),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06403__A (.DIODE(net84),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06407__A (.DIODE(net94),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06407__A (.DIODE(net95),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06411__A (.DIODE(net97),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06411__A (.DIODE(net98),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06415__A (.DIODE(net98),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06415__A (.DIODE(net99),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13411,22 +13354,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06420__A (.DIODE(net99),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06420__A (.DIODE(net100),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06424__A (.DIODE(net100),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06424__A (.DIODE(net101),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06428__A (.DIODE(net101),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06428__A (.DIODE(net102),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06433__A (.DIODE(net87),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06433__A (.DIODE(net88),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13476,6 +13419,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06448__A (.DIODE(_02524_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06451__A (.DIODE(_02527_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13516,6 +13464,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06460__A (.DIODE(_02536_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06466__A (.DIODE(_02542_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13556,6 +13509,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06471__A (.DIODE(_02547_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06474__A (.DIODE(_01769_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13566,6 +13524,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06476__A (.DIODE(\u_pinmux_reg.cfg_pwm3_high[7] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06477__A (.DIODE(_01748_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13606,11 +13569,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06491__A2 (.DIODE(_02564_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06491__B1 (.DIODE(_02565_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13671,7 +13629,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06512__A (.DIODE(net204),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06512__A (.DIODE(net207),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13801,11 +13759,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06541__A (.DIODE(\u_pinmux_reg.cfg_pwm1_high[8] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06542__A (.DIODE(_02520_),
.VGND(vssd1),
.VNB(vssd1),
@@ -13911,17 +13864,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06573__A (.DIODE(\u_pinmux_reg.reg_25[24] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06575__A (.DIODE(_02524_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06576__A (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06576__A (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06576__B (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06576__B (.DIODE(net65),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13956,17 +13909,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06583__A (.DIODE(net64),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06583__A (.DIODE(net65),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06583__B (.DIODE(net65),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06583__B (.DIODE(net66),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06583__D (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06583__D (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -13986,11 +13939,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06589__A2 (.DIODE(_02656_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06589__B1 (.DIODE(_02657_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14061,6 +14009,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06601__C1 (.DIODE(_02665_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06602__B (.DIODE(_02624_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14221,6 +14174,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06651__C1 (.DIODE(_02725_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06652__A (.DIODE(\u_pinmux_reg.cfg_pwm1_high[9] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -14231,6 +14189,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06655__A (.DIODE(_02547_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06657__A1 (.DIODE(_02727_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14266,6 +14229,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06668__B2 (.DIODE(_02742_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06669__A1 (.DIODE(_02733_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14301,6 +14269,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06681__B (.DIODE(_02732_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06681__D (.DIODE(_02755_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14321,11 +14294,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06689__A1 (.DIODE(_02757_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06694__A2 (.DIODE(_02543_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14386,11 +14354,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06720__A1 (.DIODE(_02791_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06720__B1 (.DIODE(_02792_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14401,6 +14364,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06725__B2 (.DIODE(_02742_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06726__A1 (.DIODE(_02795_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14411,6 +14379,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06728__A (.DIODE(\u_pinmux_reg.cfg_pwm4_high[10] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06732__A (.DIODE(_02805_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14431,11 +14404,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06742__A (.DIODE(_02564_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06743__A1 (.DIODE(_02813_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14461,11 +14429,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06744__B2 (.DIODE(_02812_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06749__A (.DIODE(_02591_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14541,16 +14504,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06761__A (.DIODE(_01749_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06762__A (.DIODE(\u_pinmux_reg.cfg_pwm4_high[11] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06767__A1 (.DIODE(_02837_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14586,6 +14539,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06771__A (.DIODE(_02547_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06773__A2 (.DIODE(_02542_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14636,6 +14594,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06781__B1 (.DIODE(_02849_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06781__B2 (.DIODE(_02850_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14671,11 +14634,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06789__B1 (.DIODE(_02857_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06789__B2 (.DIODE(_02858_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14691,6 +14649,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06795__A (.DIODE(_02536_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06797__B1 (.DIODE(_02868_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14711,11 +14674,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06806__A2 (.DIODE(_02875_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06811__B1 (.DIODE(_02882_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14771,7 +14729,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06832__B1 (.DIODE(net153),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06832__B1 (.DIODE(net155),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14781,11 +14739,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06835__A (.DIODE(\u_pinmux_reg.cfg_pwm4_high[12] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06839__B2 (.DIODE(_02749_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14801,11 +14754,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06846__A1 (.DIODE(_02914_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06846__B1 (.DIODE(_02915_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14816,11 +14764,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06852__A2 (.DIODE(_02875_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06858__A1 (.DIODE(_02923_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14841,6 +14784,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06865__B1 (.DIODE(_02931_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06866__A (.DIODE(\u_pinmux_reg.cfg_pwm1_high[13] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -14946,11 +14894,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06902__A2 (.DIODE(_02875_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06909__A1 (.DIODE(_02972_),
.VGND(vssd1),
.VNB(vssd1),
@@ -14966,7 +14909,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06910__C1 (.DIODE(_02971_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06910__D1 (.DIODE(_02978_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -14986,6 +14929,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06920__A (.DIODE(_02547_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06922__A1 (.DIODE(_02986_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15081,11 +15029,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06946__B2 (.DIODE(_02812_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06947__A1 (.DIODE(_03008_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15101,12 +15044,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06949__A (.DIODE(_02536_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__06952__A (.DIODE(_02742_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06954__A (.DIODE(_02711_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06955__A1 (.DIODE(net130),
+ sky130_fd_sc_hd__diode_2 ANTENNA__06955__A1 (.DIODE(net132),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15176,11 +15129,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__06967__A (.DIODE(\u_pinmux_reg.cfg_pwm5_high[15] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__06971__A2 (.DIODE(_02631_),
.VGND(vssd1),
.VNB(vssd1),
@@ -15391,7 +15339,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07149__B (.DIODE(net141),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07149__B (.DIODE(net143),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15401,7 +15349,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07151__B (.DIODE(net140),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07151__B (.DIODE(net142),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15411,7 +15359,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07153__B (.DIODE(net138),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07153__B (.DIODE(net140),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15421,7 +15369,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07155__B (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07155__B (.DIODE(net139),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15431,7 +15379,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07157__B (.DIODE(net131),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07157__B (.DIODE(net133),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15441,7 +15389,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07158__B (.DIODE(net130),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07158__B (.DIODE(net132),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15451,7 +15399,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07160__B (.DIODE(net155),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07160__B (.DIODE(net157),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15461,7 +15409,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07162__B (.DIODE(net154),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07162__B (.DIODE(net156),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15471,7 +15419,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07163__B (.DIODE(net153),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07163__B (.DIODE(net155),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15496,7 +15444,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07167__B (.DIODE(net129),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07167__B (.DIODE(net131),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15546,7 +15494,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07174__B (.DIODE(net50),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07174__B (.DIODE(net51),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15561,7 +15509,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07176__B (.DIODE(net49),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07176__B (.DIODE(net50),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15586,7 +15534,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07180__C (.DIODE(net66),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07180__C (.DIODE(net67),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15596,7 +15544,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07183__A (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07183__A (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15606,7 +15554,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07187__A (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07187__A (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15631,12 +15579,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07193__A (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07193__A (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07195__A (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07195__A (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15661,12 +15609,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07201__A (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07201__A (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07203__A (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07203__A (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15691,12 +15639,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07209__A (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07209__A (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07211__A (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07211__A (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15721,12 +15669,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07217__A (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07217__A (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07219__A (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07219__A (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15741,12 +15689,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07225__A (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07220__B (.DIODE(\u_gpio_intr.gpio_prev_indata[28] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07227__A (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07221__B (.DIODE(\u_gpio_intr.gpio_prev_indata[28] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07225__A (.DIODE(net94),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07227__A (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15771,12 +15729,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07233__A (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07233__A (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07235__A (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07235__A (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15791,12 +15749,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07241__A (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07241__A (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07243__A (.DIODE(net96),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07243__A (.DIODE(net97),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15831,7 +15789,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07251__A (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07251__A (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15846,7 +15804,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07256__A (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07256__A (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15861,12 +15819,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07263__A (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07263__A (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07266__A (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07266__A (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15881,12 +15839,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07273__A (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07273__A (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07276__A (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07276__A (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15911,12 +15869,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07283__A (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07283__A (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07286__A (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07286__A (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15931,22 +15889,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07288__B (.DIODE(\u_gpio_intr.gpio_prev_indata[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07293__A (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07289__B (.DIODE(\u_gpio_intr.gpio_prev_indata[11] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07293__A (.DIODE(net75),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07296__A (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07296__A (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -15971,12 +15919,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07303__A (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07303__A (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07306__A (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07306__A (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16001,12 +15949,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07313__A (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07313__A (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07316__A (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07316__A (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16031,12 +15979,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07323__A (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07323__A (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07326__A (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07326__A (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16061,12 +16009,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07333__A (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07333__A (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07336__A (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07336__A (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16091,12 +16039,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07343__A (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07343__A (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07346__A (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07346__A (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16121,12 +16069,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07353__A (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07353__A (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07356__A (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07356__A (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16141,12 +16089,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07363__A (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07363__A (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07366__A (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07366__A (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16171,12 +16119,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07373__A (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07373__A (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07376__A (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07376__A (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16191,12 +16139,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07383__A (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07383__A (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07386__A (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07386__A (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16211,12 +16159,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07393__A (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07393__A (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07396__A (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07396__A (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16271,11 +16219,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07418__A1 (.DIODE(_02914_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07418__A2 (.DIODE(_02937_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16551,6 +16494,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07501__B (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07502__A (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[10] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -16611,6 +16559,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07516__A (.DIODE(\u_gpio_intr.cfg_gpio_dir_sel[13] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07517__A (.DIODE(_03329_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16696,17 +16649,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07596__B (.DIODE(net102),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07596__B (.DIODE(net103),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07598__B (.DIODE(net103),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07598__B (.DIODE(net104),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07600__B (.DIODE(net73),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07600__B (.DIODE(net74),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16716,22 +16669,22 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07603__B (.DIODE(net74),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07603__B (.DIODE(net75),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07605__B (.DIODE(net75),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07605__B (.DIODE(net76),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07607__B (.DIODE(net76),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07607__B (.DIODE(net77),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07609__B (.DIODE(net77),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07609__B (.DIODE(net78),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16741,42 +16694,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07612__B (.DIODE(net78),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07612__B (.DIODE(net79),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07614__B (.DIODE(net79),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07614__B (.DIODE(net80),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07616__B (.DIODE(net80),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07616__B (.DIODE(net81),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07618__B (.DIODE(net81),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07618__B (.DIODE(net82),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07621__B (.DIODE(net82),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07621__B (.DIODE(net83),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07623__B (.DIODE(net84),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07623__B (.DIODE(net85),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07625__B (.DIODE(net85),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07625__B (.DIODE(net86),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07627__B (.DIODE(net86),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07627__B (.DIODE(net87),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16786,42 +16739,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07631__B (.DIODE(net88),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07631__B (.DIODE(net89),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07633__B (.DIODE(net89),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07633__B (.DIODE(net90),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07635__B (.DIODE(net90),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07635__B (.DIODE(net91),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07637__B (.DIODE(net91),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07637__B (.DIODE(net92),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07639__B (.DIODE(net92),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07639__B (.DIODE(net93),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07641__B (.DIODE(net93),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07641__B (.DIODE(net94),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07643__B (.DIODE(net95),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07643__B (.DIODE(net96),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07648__A (.DIODE(net124),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07648__A (.DIODE(net126),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -16876,6 +16829,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07659__A (.DIODE(\u_pinmux_reg.cfg_pwm3_low[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07661__A1 (.DIODE(_03407_),
.VGND(vssd1),
.VNB(vssd1),
@@ -16901,7 +16859,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07665__A (.DIODE(net189),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07665__A (.DIODE(net192),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17131,6 +17089,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07709__A (.DIODE(\u_pinmux_reg.u_reg6_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07710__A (.DIODE(_03431_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17181,6 +17144,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07720__B1 (.DIODE(_03461_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07720__B2 (.DIODE(_03462_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17191,11 +17159,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07722__A (.DIODE(_01757_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07723__B_N (.DIODE(\u_pinmux_reg.cfg_pwm0_low[1] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -17226,7 +17189,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07728__A (.DIODE(net126),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07728__A (.DIODE(net128),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17256,6 +17219,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07738__A (.DIODE(_02524_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07740__A (.DIODE(_02805_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17391,12 +17359,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07773__A (.DIODE(_01749_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07775__A (.DIODE(net125),
+ sky130_fd_sc_hd__diode_2 ANTENNA__07775__A (.DIODE(net127),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -17841,6 +17804,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__07875__C (.DIODE(_03591_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07875__D (.DIODE(_03618_),
.VGND(vssd1),
.VNB(vssd1),
@@ -17901,11 +17869,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07905__A2 (.DIODE(_03646_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07905__B1 (.DIODE(_03264_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18036,11 +17999,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07944__A2 (.DIODE(_03646_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07944__B1 (.DIODE(_03289_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18186,11 +18144,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__07984__A2 (.DIODE(_03646_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__07984__B1 (.DIODE(_03273_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18281,11 +18234,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08005__A2 (.DIODE(_02812_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08005__B1 (.DIODE(_03740_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18311,7 +18259,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08009__A1 (.DIODE(net131),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08009__A1 (.DIODE(net133),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18356,6 +18304,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08013__B2 (.DIODE(_03752_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08015__A (.DIODE(\u_pinmux_reg.cfg_pwm4_low[8] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -18401,11 +18354,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08021__B1 (.DIODE(_03753_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08024__A1 (.DIODE(_03763_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18431,7 +18379,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08029__A (.DIODE(net219),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08025__B2 (.DIODE(_02536_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08029__A (.DIODE(net222),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18571,7 +18524,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08052__A (.DIODE(net220),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08052__A (.DIODE(net223),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18646,11 +18599,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08065__A2 (.DIODE(_02564_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08066__A2 (.DIODE(_02627_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18681,6 +18629,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08072__A1 (.DIODE(_03806_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08072__A2 (.DIODE(_03397_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18796,7 +18749,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08097__A (.DIODE(net190),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08097__A (.DIODE(net193),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -18816,6 +18769,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08102__A (.DIODE(_02524_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08104__A1 (.DIODE(_03839_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18831,11 +18789,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08108__A (.DIODE(_01749_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08113__A2 (.DIODE(_03412_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18901,21 +18854,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08125__A2 (.DIODE(_02564_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08125__B1 (.DIODE(_03862_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08125__B2 (.DIODE(_03646_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08126__A1 (.DIODE(_03860_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18936,6 +18879,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08126__C1 (.DIODE(_03863_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08127__A1 (.DIODE(_03176_),
.VGND(vssd1),
.VNB(vssd1),
@@ -18991,7 +18939,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08134__A (.DIODE(net191),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08134__A (.DIODE(net194),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19046,6 +18994,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08143__A1 (.DIODE(_03876_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08143__A2 (.DIODE(_03632_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19116,11 +19069,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08156__A2 (.DIODE(_01757_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08156__B2 (.DIODE(_02601_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19191,11 +19139,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08170__A1 (.DIODE(_03905_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08170__B1 (.DIODE(_03331_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19206,6 +19149,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08174__B2 (.DIODE(_03752_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08175__B1 (.DIODE(_03187_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19216,7 +19164,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08178__A (.DIODE(net192),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08178__A (.DIODE(net195),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19266,6 +19214,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08192__B1 (.DIODE(_03924_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08192__B2 (.DIODE(_03848_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19351,6 +19304,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08213__B2 (.DIODE(_03752_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08214__A1 (.DIODE(_03268_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19461,11 +19419,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08242__B2 (.DIODE(_02656_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08243__A1 (.DIODE(_03191_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19501,6 +19454,16 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08252__B1 (.DIODE(_03985_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08252__B2 (.DIODE(_03752_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08253__A1 (.DIODE(_03244_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19596,7 +19559,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08274__B1 (.DIODE(net154),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08274__B1 (.DIODE(net156),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08274__B2 (.DIODE(_02742_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19636,11 +19604,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08281__B2 (.DIODE(_02656_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08282__A1 (.DIODE(_03196_),
.VGND(vssd1),
.VNB(vssd1),
@@ -19726,12 +19689,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08293__A2 (.DIODE(_02875_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08294__A (.DIODE(net195),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08294__A (.DIODE(net198),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19826,7 +19784,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08318__B1 (.DIODE(net155),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08318__B1 (.DIODE(net157),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19901,7 +19859,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08332__B1 (.DIODE(net137),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08332__B1 (.DIODE(net139),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -19961,7 +19919,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08344__A (.DIODE(net196),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08344__A (.DIODE(net199),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20031,11 +19989,6 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08356__A2 (.DIODE(_02656_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08356__B1 (.DIODE(_04084_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20046,6 +19999,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08357__A (.DIODE(\u_pinmux_reg.cfg_pwm2_high[0] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08361__A2 (.DIODE(_01786_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20141,7 +20099,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08377__A (.DIODE(net197),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08377__A (.DIODE(net200),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20231,7 +20189,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08399__B1 (.DIODE(net138),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08399__B1 (.DIODE(net140),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20306,7 +20264,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08418__A (.DIODE(net198),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08418__A (.DIODE(net201),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20351,6 +20309,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08430__A (.DIODE(\u_pinmux_reg.cfg_pwm1_high[2] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08432__A1 (.DIODE(_04160_),
.VGND(vssd1),
.VNB(vssd1),
@@ -20376,7 +20339,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08436__B1 (.DIODE(net140),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08436__B1 (.DIODE(net142),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20446,17 +20409,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08450__B2 (.DIODE(_02812_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08453__A (.DIODE(\u_gpio_intr.cfg_gpio_data_in[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08454__A (.DIODE(net199),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08454__A (.DIODE(net202),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20481,6 +20439,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08456__B1 (.DIODE(_04181_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08457__A (.DIODE(\u_pinmux_reg.cfg_pwm1_high[3] ),
.VGND(vssd1),
.VNB(vssd1),
@@ -20516,7 +20479,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08465__A1 (.DIODE(net141),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08465__A1 (.DIODE(net143),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20656,7 +20619,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08491__A (.DIODE(net201),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08491__A (.DIODE(net204),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20801,7 +20764,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08528__A (.DIODE(net202),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08528__A (.DIODE(net205),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -20981,7 +20944,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08564__A1 (.DIODE(net129),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08564__A1 (.DIODE(net131),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21021,6 +20984,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08569__A1 (.DIODE(_04293_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08569__A2 (.DIODE(_02719_),
.VGND(vssd1),
.VNB(vssd1),
@@ -21091,17 +21059,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08579__C1 (.DIODE(_04304_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08581__A (.DIODE(\u_pinmux_reg.cfg_pwm4_high[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08583__A (.DIODE(net203),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08583__A (.DIODE(net206),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21181,32 +21144,37 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08645__A (.DIODE(net111),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08644__A (.DIODE(net112),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08646__A (.DIODE(net112),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08645__A (.DIODE(net113),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08647__A (.DIODE(net122),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08646__A (.DIODE(net124),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08648__A (.DIODE(net122),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08647__A (.DIODE(net124),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08655__A (.DIODE(net120),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08654__A (.DIODE(net120),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08656__A (.DIODE(net119),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08655__A (.DIODE(net122),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08656__A (.DIODE(net121),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21216,6 +21184,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08658__A (.DIODE(\u_pinmux_reg.u_reg6_be0.gen_bit_reg[1].u_bit_reg.data_out ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08659__A (.DIODE(\u_pinmux_reg.u_reg6_be0.gen_bit_reg[2].u_bit_reg.data_out ),
.VGND(vssd1),
.VNB(vssd1),
@@ -21241,97 +21214,87 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08680__A0 (.DIODE(_00196_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08681__A0 (.DIODE(_00196_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08680__S (.DIODE(\u_pinmux_reg.u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08681__S (.DIODE(\u_pinmux_reg.u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08681__A1 (.DIODE(net118),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08682__A1 (.DIODE(net119),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08681__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08682__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08682__A0 (.DIODE(_00223_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08683__A0 (.DIODE(_00223_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08683__A1 (.DIODE(\u_pwm_1.waveform ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08684__A1 (.DIODE(\u_pwm_1.waveform ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08683__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08684__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08684__A1 (.DIODE(\u_pwm_2.waveform ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08685__A1 (.DIODE(\u_pwm_2.waveform ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08684__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08685__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08685__A1 (.DIODE(\u_pwm_3.waveform ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08686__A1 (.DIODE(\u_pwm_3.waveform ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08685__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08686__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08686__A1 (.DIODE(net117),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08687__A1 (.DIODE(net118),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08686__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08687__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08687__A1 (.DIODE(\u_pwm_4.waveform ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08688__A1 (.DIODE(\u_pwm_4.waveform ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08687__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08688__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08688__A1 (.DIODE(\u_pwm_5.waveform ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08689__A1 (.DIODE(\u_pwm_5.waveform ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08688__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[5] ),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08689__A1 (.DIODE(net115),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08689__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08689__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21346,17 +21309,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08691__A1 (.DIODE(net59),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08691__A1 (.DIODE(net117),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08691__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08691__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08692__A1 (.DIODE(net57),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08692__A1 (.DIODE(net60),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21366,7 +21329,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08693__A1 (.DIODE(net60),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08693__A1 (.DIODE(net58),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21376,7 +21339,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08694__A1 (.DIODE(net58),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08694__A1 (.DIODE(net61),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -21386,1257 +21349,1262 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08695__S (.DIODE(\u_gpio_intr.cfg_gpio_data_in[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08695__A1 (.DIODE(net59),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08696__S (.DIODE(_00208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08695__S (.DIODE(\u_pinmux_reg.cfg_multi_func_sel[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08697__S (.DIODE(_00209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08696__S (.DIODE(\u_gpio_intr.cfg_gpio_data_in[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08699__S (.DIODE(_00208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08697__S (.DIODE(_00208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08700__S (.DIODE(_00209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08698__S (.DIODE(_00209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08702__S (.DIODE(_00208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08700__S (.DIODE(_00208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08703__S (.DIODE(_00209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08701__S (.DIODE(_00209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08704__S (.DIODE(\u_gpio_intr.cfg_gpio_data_in[19] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08703__S (.DIODE(_00208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08705__S (.DIODE(_00208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08704__S (.DIODE(_00209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08706__S (.DIODE(_00209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08705__S (.DIODE(\u_gpio_intr.cfg_gpio_data_in[19] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08708__S (.DIODE(_00208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08706__S (.DIODE(_00208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08709__S (.DIODE(_00209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08707__S (.DIODE(_00209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08711__S (.DIODE(_00208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08709__S (.DIODE(_00208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08712__S (.DIODE(_00209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08710__S (.DIODE(_00209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08714__S (.DIODE(_00208_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08712__S (.DIODE(_00208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08715__S (.DIODE(_00209_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08713__S (.DIODE(_00209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08717__S (.DIODE(_00434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08715__S (.DIODE(_00208_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08718__S (.DIODE(_00436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08716__S (.DIODE(_00209_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08720__S (.DIODE(_00434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08718__S (.DIODE(_00434_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08721__S (.DIODE(_00436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08719__S (.DIODE(_00436_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08723__S (.DIODE(_00434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08721__S (.DIODE(_00434_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08724__S (.DIODE(_00436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08722__S (.DIODE(_00436_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08726__S (.DIODE(_00434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08724__S (.DIODE(_00434_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08727__S (.DIODE(_00436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08725__S (.DIODE(_00436_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08729__S (.DIODE(_00434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08727__S (.DIODE(_00434_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08730__S (.DIODE(_00436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08728__S (.DIODE(_00436_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08732__S (.DIODE(_00434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08730__S (.DIODE(_00434_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08733__S (.DIODE(_00436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08731__S (.DIODE(_00436_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08735__S (.DIODE(_00434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08733__S (.DIODE(_00434_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08736__S (.DIODE(_00436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08734__S (.DIODE(_00436_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08738__S (.DIODE(_00434_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08736__S (.DIODE(_00434_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08739__S (.DIODE(_00436_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08737__S (.DIODE(_00436_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08741__S (.DIODE(_00384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08739__S (.DIODE(_00434_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08742__S (.DIODE(_00386_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08740__S (.DIODE(_00436_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08744__S (.DIODE(_00384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08742__S (.DIODE(_00384_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08745__S (.DIODE(_00386_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08743__S (.DIODE(_00386_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08747__S (.DIODE(_00384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08745__S (.DIODE(_00384_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08748__S (.DIODE(_00386_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08746__S (.DIODE(_00386_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08750__S (.DIODE(_00384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08748__S (.DIODE(_00384_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08751__S (.DIODE(_00386_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08749__S (.DIODE(_00386_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08753__S (.DIODE(_00384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08751__S (.DIODE(_00384_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08754__S (.DIODE(_00386_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08752__S (.DIODE(_00386_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08756__S (.DIODE(_00384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08754__S (.DIODE(_00384_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08757__S (.DIODE(_00386_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08755__S (.DIODE(_00386_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08759__S (.DIODE(_00384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08757__S (.DIODE(_00384_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08760__S (.DIODE(_00386_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08758__S (.DIODE(_00386_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08762__S (.DIODE(_00384_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08760__S (.DIODE(_00384_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08763__S (.DIODE(_00386_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08761__S (.DIODE(_00386_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08764__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08763__S (.DIODE(_00384_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08765__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_high[15] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08764__S (.DIODE(_00386_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08765__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08765__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08766__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08766__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08767__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08767__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08768__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08768__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08769__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08769__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08770__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08770__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08771__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08771__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08772__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08772__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08773__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08773__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08774__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08774__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08775__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08775__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08776__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08776__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08777__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08777__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08778__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08778__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08778__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08779__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08779__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08779__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08780__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08780__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08780__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08781__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08781__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08781__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08782__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08782__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08782__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08783__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08783__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08783__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08784__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08784__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08784__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08785__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08785__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08785__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08786__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08786__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08786__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08787__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08787__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08787__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08788__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08788__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08788__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08789__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08789__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08789__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08790__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08790__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08790__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08791__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08791__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08791__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08792__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08792__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08792__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08793__A1 (.DIODE(\u_pinmux_reg.cfg_pwm5_low[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08793__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08793__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08794__S (.DIODE(_00210_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08794__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08795__S (.DIODE(_00211_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08795__S (.DIODE(_00210_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08796__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08796__S (.DIODE(_00211_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08797__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08797__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08798__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08798__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08799__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08799__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08800__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08800__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08801__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08801__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08802__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08802__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08803__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08803__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08803__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08804__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08804__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08805__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08805__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08806__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08805__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08807__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08806__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08808__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08807__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08808__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08808__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08809__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08809__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08810__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08810__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08811__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[8] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08810__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08811__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08811__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08812__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08812__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08813__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08812__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08813__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08813__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08814__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08813__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08814__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08814__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08815__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08814__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08815__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08815__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08816__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08815__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08816__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08816__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08817__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08817__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08818__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08817__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08818__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08818__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08819__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08818__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08819__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08819__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08820__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08819__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08820__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08820__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08821__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08821__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08822__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[3] ),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08822__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08821__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08823__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08822__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08824__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08823__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08825__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08824__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08825__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08824__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08826__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08825__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08827__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08826__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_low[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08827__S (.DIODE(_00206_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08826__S (.DIODE(_00206_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08828__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08827__A1 (.DIODE(\u_pinmux_reg.cfg_pwm4_high[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08828__S (.DIODE(_00207_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08827__S (.DIODE(_00207_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08829__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08828__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08830__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08829__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08831__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08830__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08832__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08831__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08833__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08832__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08834__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08833__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08835__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08834__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08836__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08835__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08837__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08836__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08838__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08837__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08839__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08838__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08840__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08839__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08841__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08840__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08842__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08841__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08843__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08842__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08844__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08843__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08845__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08844__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08846__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_high[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08845__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08846__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08846__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08847__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08847__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08848__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08848__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08849__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08849__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08850__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08850__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08851__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08851__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08852__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08852__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08853__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08853__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08854__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08854__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08855__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08855__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08856__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08856__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08857__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08857__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08858__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08858__S (.DIODE(_00204_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08859__A1 (.DIODE(\u_pinmux_reg.cfg_pwm3_low[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08859__S (.DIODE(_00205_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08859__S (.DIODE(_00204_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08860__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08860__S (.DIODE(_00205_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08861__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08861__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08862__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08862__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08863__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08863__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08864__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08864__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08865__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08865__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08866__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08866__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08867__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08867__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08868__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08868__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08869__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08869__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08870__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08870__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08871__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08871__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08872__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08872__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08873__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08873__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08874__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08874__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08875__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08875__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08876__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08876__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08876__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08877__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08877__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08877__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08878__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08878__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08878__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08879__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08879__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08879__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08880__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08880__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08880__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08881__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08881__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08881__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08882__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08882__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08882__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08883__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08883__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08883__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08884__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08884__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08885__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08885__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08886__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08886__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08887__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08887__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08888__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08888__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08889__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08889__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08890__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[0] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08890__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08890__S (.DIODE(_00201_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08891__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_low[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08891__S (.DIODE(_00202_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08891__S (.DIODE(_00201_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08892__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08892__A1 (.DIODE(\u_pinmux_reg.cfg_pwm2_high[0] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08893__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08892__S (.DIODE(_00202_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08894__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08893__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08895__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08894__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08896__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08895__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08897__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08896__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08897__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08897__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08898__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08898__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08899__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08898__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08899__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08899__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08900__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08900__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08901__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[11] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08900__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08901__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08901__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08902__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08902__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[11] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08903__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08902__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08903__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08903__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08904__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08904__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08905__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[9] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08904__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08905__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08905__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08906__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08906__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[9] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08907__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[8] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08906__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08907__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08907__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08908__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08908__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08909__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[7] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08909__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08909__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08910__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[7] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08910__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08910__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08911__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[6] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08911__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08911__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08912__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[6] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08912__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08912__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08913__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[5] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08913__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08913__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08914__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[5] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08914__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08914__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08915__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[4] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08915__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08915__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08916__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[4] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08916__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08916__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08917__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08917__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08917__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08918__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08918__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08918__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08919__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08919__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08920__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08920__A1 (.DIODE(\u_pinmux_reg.cfg_pwm1_high[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08921__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08920__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08922__S (.DIODE(_00199_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08921__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08923__S (.DIODE(_00200_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08922__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08924__A0 (.DIODE(_00303_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08923__S (.DIODE(_00199_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08924__A1 (.DIODE(\u_pinmux_reg.serail_dout[31] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08924__S (.DIODE(_00200_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08924__S (.DIODE(_00203_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08925__A0 (.DIODE(_00303_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08925__A0 (.DIODE(_00302_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08925__A1 (.DIODE(\u_pinmux_reg.serail_dout[31] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22646,7 +22614,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08926__A0 (.DIODE(_00301_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08926__A0 (.DIODE(_00302_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22656,7 +22624,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08927__A0 (.DIODE(_00300_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08927__A0 (.DIODE(_00301_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22666,7 +22634,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08928__A0 (.DIODE(_00299_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08928__A0 (.DIODE(_00300_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22676,7 +22644,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08929__A0 (.DIODE(_00298_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08929__A0 (.DIODE(_00299_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22686,7 +22654,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08930__A0 (.DIODE(_00297_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08930__A0 (.DIODE(_00298_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22696,7 +22664,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08931__A0 (.DIODE(_00296_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08931__A0 (.DIODE(_00297_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22706,7 +22674,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08932__A0 (.DIODE(_00295_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08932__A0 (.DIODE(_00296_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22716,7 +22684,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08933__A0 (.DIODE(_00294_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08933__A0 (.DIODE(_00295_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22726,6 +22694,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08934__A0 (.DIODE(_00294_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08934__S (.DIODE(_00203_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22741,17 +22714,12 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08937__A0 (.DIODE(_00290_),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08937__S (.DIODE(_00203_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08938__A0 (.DIODE(_00289_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08938__A0 (.DIODE(_00290_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22761,7 +22729,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08939__A0 (.DIODE(_00288_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08939__A0 (.DIODE(_00289_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22771,7 +22739,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08940__A0 (.DIODE(_00287_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08940__A0 (.DIODE(_00288_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22781,7 +22749,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08941__A0 (.DIODE(_00286_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08941__A0 (.DIODE(_00287_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22791,7 +22759,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08942__A0 (.DIODE(_00285_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08942__A0 (.DIODE(_00286_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22801,7 +22769,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08943__A0 (.DIODE(_00284_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08943__A0 (.DIODE(_00285_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22811,7 +22779,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08944__A0 (.DIODE(_00283_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08944__A0 (.DIODE(_00284_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22821,7 +22789,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08945__A0 (.DIODE(_00282_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08945__A0 (.DIODE(_00283_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22831,7 +22799,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08946__A0 (.DIODE(_00281_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08946__A0 (.DIODE(_00282_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22841,7 +22809,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08947__A0 (.DIODE(_00280_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08947__A0 (.DIODE(_00281_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -22851,6 +22819,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08948__A0 (.DIODE(_00280_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08948__S (.DIODE(_00203_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22876,6 +22849,11 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__08953__A0 (.DIODE(_00275_),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
sky130_fd_sc_hd__diode_2 ANTENNA__08953__S (.DIODE(_00203_),
.VGND(vssd1),
.VNB(vssd1),
@@ -22891,7 +22869,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08956__S (.DIODE(\u_pinmux_reg.u_ser_intf.state ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08956__S (.DIODE(_00203_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23046,362 +23024,362 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08987__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08987__S (.DIODE(\u_pinmux_reg.u_ser_intf.state ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08988__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08988__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08989__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08989__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08990__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[14] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08990__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08990__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08991__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08991__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08991__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08992__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[13] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08992__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08992__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08993__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[13] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08993__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08993__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08994__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[12] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08994__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08994__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08995__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08995__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08995__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08996__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08996__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08997__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08997__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08998__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[10] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08998__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08998__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08999__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__08999__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__08999__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09000__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09000__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09001__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09001__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09002__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09002__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09003__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09003__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09004__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09004__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09005__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09005__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09006__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09006__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09007__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09007__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09008__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09008__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09009__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09009__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09010__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09010__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09011__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09011__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09012__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[3] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09012__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09012__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09013__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_high[3] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09013__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[2] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09013__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09013__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09014__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[2] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09014__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09014__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09015__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[1] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09015__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09015__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09016__A1 (.DIODE(\u_pinmux_reg.cfg_pwm0_low[1] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09016__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09016__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09017__S (.DIODE(_00198_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09017__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09018__S (.DIODE(_00197_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09018__S (.DIODE(_00198_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09019__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09019__S (.DIODE(_00197_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09020__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09020__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09021__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09021__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09022__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09022__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09023__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09023__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09024__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09024__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09025__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09025__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09026__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09026__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09027__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09027__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09028__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09028__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09029__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09029__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09030__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09030__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09031__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09031__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09032__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09032__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09033__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09033__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09034__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09034__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09035__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09035__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09036__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09036__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09037__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09037__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09038__D (.DIODE(_00088_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09038__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09038__RESET_B (.DIODE(net356),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09039__D (.DIODE(_00088_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09039__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09039__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09040__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09040__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09041__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09041__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09042__D (.DIODE(_00000_),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09042__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09042__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09043__D (.DIODE(_00000_),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09043__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09043__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09044__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09044__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09045__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09045__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09046__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09046__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09047__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09047__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09048__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09048__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09049__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09049__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23411,62 +23389,62 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09051__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09051__RESET_B (.DIODE(net294),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09052__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09052__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09053__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09053__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09054__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09054__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09055__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09055__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09056__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09056__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09057__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09057__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09058__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09058__RESET_B (.DIODE(net294),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09059__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09059__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09060__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09060__RESET_B (.DIODE(net294),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09061__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09061__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09062__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09062__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23496,32 +23474,32 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09068__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09068__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09069__D (.DIODE(net32),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09069__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09069__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09070__D (.DIODE(net32),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09070__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09070__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09071__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09071__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09072__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09072__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23531,77 +23509,72 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09074__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09074__RESET_B (.DIODE(net294),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09075__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09075__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09076__D (.DIODE(net38),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09076__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09076__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09077__D (.DIODE(net38),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09077__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09077__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09078__D (.DIODE(net40),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09078__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09078__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09079__D (.DIODE(net40),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09079__D (.DIODE(net41),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09079__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09079__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09080__D (.DIODE(net41),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09080__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09080__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09081__D (.DIODE(net37),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09081__RESET_B (.DIODE(net294),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09081__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09082__D (.DIODE(net37),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09082__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09082__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09083__D (.DIODE(net49),
- .VGND(vssd1),
- .VNB(vssd1),
- .VPB(vccd1),
- .VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09083__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09083__RESET_B (.DIODE(net294),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23611,12 +23584,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09084__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09084__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09085__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09085__D (.DIODE(net51),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09085__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23641,117 +23619,117 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09090__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09090__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09091__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09091__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09092__D (.DIODE(net358),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09092__D (.DIODE(\u_gpio_intr.gpio_prev_indata[10] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09092__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09092__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09093__D (.DIODE(net386),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09093__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09093__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09094__D (.DIODE(\u_gpio_intr.gpio_prev_indata[12] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09094__D (.DIODE(net352),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09094__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09094__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09095__D (.DIODE(net358),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09095__D (.DIODE(net372),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09095__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09095__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09096__D (.DIODE(\u_gpio_intr.gpio_prev_indata[14] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09096__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09096__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09097__D (.DIODE(net354),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09097__D (.DIODE(\u_gpio_intr.gpio_prev_indata[15] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09097__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09097__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09098__D (.DIODE(net355),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09098__D (.DIODE(net353),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09098__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09098__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09099__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09099__D (.DIODE(net359),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09100__D (.DIODE(net353),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09099__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09100__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09100__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09101__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09101__D (.DIODE(net355),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09102__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09101__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09103__D (.DIODE(\u_gpio_intr.gpio_prev_indata[22] ),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09102__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09103__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09103__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09104__D (.DIODE(net367),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09104__D (.DIODE(\u_gpio_intr.gpio_prev_indata[22] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23761,232 +23739,242 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09105__D (.DIODE(net370),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09105__D (.DIODE(\u_gpio_intr.gpio_prev_indata[24] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09105__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09105__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09106__D (.DIODE(net365),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09106__D (.DIODE(\u_gpio_intr.gpio_prev_indata[25] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09106__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09106__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09107__D (.DIODE(net366),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09107__D (.DIODE(\u_gpio_intr.gpio_prev_indata[26] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09107__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09107__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09108__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09108__D (.DIODE(\u_gpio_intr.gpio_prev_indata[27] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09109__D (.DIODE(net364),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09108__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09109__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09109__D (.DIODE(net378),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09110__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09109__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09111__D (.DIODE(net368),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09110__D (.DIODE(\u_gpio_intr.gpio_prev_indata[29] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09111__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09110__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09112__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09111__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09113__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09112__D (.DIODE(\u_gpio_intr.gpio_prev_indata[31] ),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09114__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09112__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09115__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09113__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09116__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09114__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09117__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09115__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09118__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09116__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09119__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09117__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09120__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09118__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09121__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09119__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09122__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09120__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09123__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09121__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09124__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09122__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09125__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09123__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09126__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09124__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09127__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09125__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09128__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09126__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09129__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09127__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09130__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09128__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09131__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09129__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09132__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09130__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09133__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09131__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09134__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09132__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09135__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09133__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09136__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09134__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09137__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09135__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09138__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09136__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09139__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09137__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09140__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09138__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09141__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09139__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09142__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09140__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09143__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09141__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09144__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09142__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09145__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09143__RESET_B (.DIODE(net290),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09144__RESET_B (.DIODE(net292),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09145__RESET_B (.DIODE(net294),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -23996,7 +23984,7 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09147__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09147__RESET_B (.DIODE(net294),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24021,287 +24009,287 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09152__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09152__RESET_B (.DIODE(net294),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09153__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09153__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09154__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09154__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09155__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09155__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09156__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09156__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09157__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09157__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09158__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09158__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09159__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09159__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09160__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09160__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09161__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09161__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09162__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09162__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09163__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09163__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09164__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09164__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09165__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09165__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09166__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09166__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09167__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09167__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09168__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09168__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09169__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09169__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09170__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09170__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09171__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09171__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09172__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09172__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09173__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09173__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09174__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09174__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09175__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09175__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09176__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09176__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09177__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09177__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09178__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09178__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09179__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09179__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09180__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09180__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09181__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09181__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09182__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09182__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09183__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09183__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09184__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09184__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09185__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09185__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09186__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09186__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09187__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09187__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09188__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09188__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09189__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09189__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09190__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09190__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09191__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09191__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09192__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09192__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09193__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09193__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09194__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09194__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09195__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09195__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09196__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09196__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09197__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09197__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09198__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09198__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09199__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09199__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09200__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09200__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09201__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09201__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09202__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09202__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09203__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09203__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09204__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09204__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09205__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09205__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09206__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09206__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09207__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09207__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09208__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09208__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24311,117 +24299,117 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09210__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09210__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09211__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09211__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09212__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09212__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09213__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09213__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09214__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09214__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09215__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09215__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09216__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09216__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09217__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09217__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09218__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09218__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09219__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09219__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09220__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09220__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09221__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09221__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09222__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09222__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09223__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09223__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09224__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09224__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09225__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09225__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09226__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09226__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09227__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09227__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09228__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09228__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09229__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09229__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09230__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09230__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09231__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09231__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09232__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09232__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24431,122 +24419,122 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09234__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09234__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09235__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09235__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09236__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09236__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09237__RESET_B (.DIODE(net356),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09237__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09238__RESET_B (.DIODE(net356),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09238__RESET_B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09239__RESET_B (.DIODE(net356),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09239__RESET_B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09240__RESET_B (.DIODE(net356),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09240__RESET_B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09241__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09241__RESET_B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09242__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09242__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09243__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09243__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09244__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09244__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09245__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09245__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09246__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09246__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09247__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09247__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09248__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09248__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09249__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09249__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09250__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09250__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09251__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09251__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09252__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09252__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09253__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09253__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09254__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09254__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09255__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09255__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09256__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09256__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09257__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09257__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24556,42 +24544,42 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09259__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09259__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09260__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09260__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09261__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09261__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09262__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09262__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09263__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09263__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09264__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09264__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09265__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09265__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09266__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09266__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24621,17 +24609,17 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09272__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09272__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09273__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09273__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09274__RESET_B (.DIODE(net300),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09274__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24641,187 +24629,187 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09276__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09276__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09277__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09277__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09278__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09278__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09279__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09279__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09280__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09280__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09281__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09281__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09282__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09282__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09283__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09283__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09284__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09284__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09285__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09285__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09286__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09286__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09287__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09287__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09288__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09288__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09289__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09289__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09290__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09290__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09291__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09291__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09292__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09292__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09293__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09293__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09294__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09294__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09295__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09295__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09296__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09296__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09297__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09297__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09298__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09298__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09299__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09299__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09300__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09300__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09301__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09301__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09302__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09302__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09303__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09303__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09304__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09304__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09305__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09305__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09306__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09306__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09307__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09307__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09308__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09308__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09309__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09309__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09310__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09310__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09311__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09311__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09312__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09312__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -24831,302 +24819,302 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09314__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09314__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09315__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09315__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09316__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09316__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09317__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09317__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09318__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09318__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09319__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09319__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09320__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09320__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09321__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09321__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09322__RESET_B (.DIODE(net356),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09322__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09323__SET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09323__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09324__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09324__SET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09325__SET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09325__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09326__SET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09326__SET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09327__RESET_B (.DIODE(net356),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09327__SET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09328__SET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09328__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09329__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09329__SET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09330__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09330__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09331__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09331__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09332__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09332__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09333__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09333__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09334__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09334__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09335__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09335__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09336__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09336__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09337__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09337__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09338__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09338__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09339__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09339__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09340__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09340__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09341__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09341__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09342__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09342__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09343__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09343__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09344__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09344__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09345__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09345__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09346__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09346__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09347__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09347__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09348__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09348__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09349__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09349__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09350__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09350__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09351__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09351__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09352__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09352__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09353__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09353__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09354__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09354__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09355__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09355__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09356__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09356__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09357__RESET_B (.DIODE(net291),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09357__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09358__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09358__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09359__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09359__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09360__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09360__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09361__RESET_B (.DIODE(net292),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09361__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09362__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09362__RESET_B (.DIODE(net303),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09363__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09363__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09364__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09364__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09365__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09365__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09366__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09366__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09367__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09367__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09368__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09368__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09369__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09369__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09370__SET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09370__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09371__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09371__SET_B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09372__SET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09372__RESET_B (.DIODE(net356),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09373__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09373__SET_B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25136,57 +25124,57 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09375__SET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09375__RESET_B (.DIODE(net356),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09376__RESET_B (.DIODE(net356),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09376__SET_B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09377__SET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09377__RESET_B (.DIODE(net356),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09378__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09378__SET_B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09379__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09379__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09380__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09380__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09381__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09381__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09382__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09382__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09383__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09383__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09384__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09384__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09385__RESET_B (.DIODE(net294),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09385__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25196,357 +25184,357 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09387__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09387__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09388__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09388__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09389__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09389__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09390__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09390__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09391__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09391__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09392__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09392__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09393__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09393__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09394__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09394__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09395__SET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09395__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09396__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09396__SET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09397__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09397__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09398__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09398__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09399__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09399__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09400__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09400__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09401__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09401__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09402__SET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09402__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09403__RESET_B (.DIODE(net356),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09403__SET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09404__SET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09404__RESET_B (.DIODE(net356),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09405__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09405__SET_B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09406__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09406__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09407__SET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09407__RESET_B (.DIODE(net304),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09408__RESET_B (.DIODE(net356),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09408__SET_B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09409__SET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09409__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09410__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09410__SET_B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09411__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09411__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09412__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09412__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09413__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09413__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09414__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09414__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09415__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09415__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09416__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09416__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09417__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09417__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09418__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09418__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09419__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09419__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09420__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09420__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09421__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09421__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09422__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09422__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09423__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09423__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09424__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09424__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09425__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09425__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09426__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09426__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09427__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09427__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09428__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09428__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09429__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09429__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09430__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09430__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09431__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09431__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09432__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09432__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09433__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09433__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09434__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09434__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09435__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09435__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09436__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09436__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09437__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09437__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09438__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09438__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09439__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09439__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09440__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09440__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09441__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09441__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09442__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09442__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09443__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09443__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09444__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09444__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09445__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09445__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09446__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09446__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09447__SET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09447__SET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09448__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09448__SET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09449__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09449__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09450__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09450__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09451__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09451__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09452__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09452__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09453__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09453__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09454__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09454__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09455__RESET_B (.DIODE(net286),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09455__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09456__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09456__RESET_B (.DIODE(net302),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09457__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09457__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25556,202 +25544,202 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09459__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09459__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09460__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09460__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09461__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09461__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09462__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09462__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09463__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09463__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09464__RESET_B (.DIODE(net290),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09464__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09465__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09465__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09466__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09466__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09467__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09467__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09468__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09468__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09469__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09469__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09470__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09470__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09471__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09471__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09472__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09472__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09473__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09473__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09474__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09474__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09475__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09475__RESET_B (.DIODE(net291),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09476__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09476__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09477__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09477__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09478__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09478__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09479__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09479__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09480__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09480__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09481__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09481__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09482__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09482__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09483__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09483__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09484__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09484__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09485__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09485__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09486__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09486__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09487__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09487__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09488__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09488__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09489__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09489__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09490__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09490__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09491__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09491__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09492__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09492__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09493__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09493__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09494__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09494__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09495__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09495__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09496__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09496__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09497__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09497__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09498__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09498__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -25761,482 +25749,512 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09500__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09500__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09501__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09501__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09502__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09502__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09503__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09503__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09504__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09504__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09505__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09505__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09506__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09506__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09507__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09507__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09508__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09508__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09509__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09509__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09510__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09510__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09511__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09511__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09512__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09512__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09513__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09513__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09514__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09514__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09515__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09515__RESET_B (.DIODE(net293),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09516__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09516__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09517__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09517__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09518__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09518__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09519__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09519__CLK (.DIODE(clknet_leaf_88_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09520__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09519__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09521__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09520__CLK (.DIODE(clknet_leaf_88_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09522__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09520__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09523__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09521__CLK (.DIODE(clknet_leaf_88_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09524__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09521__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09525__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09522__CLK (.DIODE(clknet_leaf_88_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09526__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09522__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09527__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09523__CLK (.DIODE(clknet_leaf_88_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09528__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09523__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09529__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09524__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09530__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09525__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09531__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09526__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09532__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09527__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09533__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09528__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09534__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09529__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09535__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09530__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09536__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09531__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09537__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09532__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09538__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09533__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09539__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09534__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09540__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09535__RESET_B (.DIODE(net295),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09536__RESET_B (.DIODE(net295),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09537__RESET_B (.DIODE(net295),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09538__RESET_B (.DIODE(net297),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09539__RESET_B (.DIODE(net295),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09540__RESET_B (.DIODE(net299),
+ .VGND(vssd1),
+ .VNB(vssd1),
+ .VPB(vccd1),
+ .VPWR(vccd1));
+ sky130_fd_sc_hd__diode_2 ANTENNA__09541__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09541__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09542__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09542__RESET_B (.DIODE(net288),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09543__RESET_B (.DIODE(net299),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09543__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09544__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09544__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09545__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09545__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09546__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09546__RESET_B (.DIODE(net289),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09547__RESET_B (.DIODE(net300),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09547__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09548__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09548__RESET_B (.DIODE(net356),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09549__RESET_B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09549__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09550__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09550__RESET_B (.DIODE(net356),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09551__CLK (.DIODE(clknet_4_3_0_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09551__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09551__RESET_B (.DIODE(net57),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09552__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09552__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09553__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09553__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09554__RESET_B (.DIODE(net297),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09554__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09555__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09555__RESET_B (.DIODE(net292),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09556__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09556__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09557__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09557__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09558__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09558__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09559__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09559__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09560__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09560__RESET_B (.DIODE(net294),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09561__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09561__RESET_B (.DIODE(net294),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09562__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09562__RESET_B (.DIODE(net294),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09563__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09563__RESET_B (.DIODE(net295),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09564__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09564__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09565__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09565__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09566__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09566__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09567__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09567__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09568__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09568__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09569__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09569__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09570__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09570__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09571__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09571__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09572__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09572__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09573__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09573__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09574__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09574__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09575__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09575__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09576__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09576__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09577__CLK (.DIODE(clknet_4_14_0_mclk),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09577__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09577__RESET_B (.DIODE(net296),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09578__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09578__RESET_B (.DIODE(net295),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09579__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09579__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09580__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09580__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09581__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09581__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09582__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09582__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09583__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09583__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09584__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09584__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09585__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09585__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09586__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09586__RESET_B (.DIODE(net299),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09587__RESET_B (.DIODE(net297),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09587__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09588__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09588__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09589__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09589__RESET_B (.DIODE(net56),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09590__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09590__RESET_B (.DIODE(net298),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09591__CLK (.DIODE(clknet_4_3_0_mclk),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09591__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09591__RESET_B (.DIODE(net296),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09592__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09592__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09593__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09593__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09594__RESET_B (.DIODE(net293),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09594__RESET_B (.DIODE(net301),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
@@ -26246,242 +26264,262 @@
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09596__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09596__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09597__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09597__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09598__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09598__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09599__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09599__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09600__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09600__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09601__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09601__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09602__RESET_B (.DIODE(net301),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09602__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09603__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09603__RESET_B (.DIODE(net305),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09604__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09604__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09605__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09605__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09606__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09606__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09607__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09607__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09608__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09608__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09609__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09609__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09610__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09610__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09611__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09611__RESET_B (.DIODE(net298),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09612__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09612__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09613__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09613__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09614__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09614__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),
.VPWR(vccd1));
- sky130_fd_sc_hd__diode_2 ANTENNA__09615__RESET_B (.DIODE(net287),
+ sky130_fd_sc_hd__diode_2 ANTENNA__09615__RESET_B (.DIODE(net290),
.VGND(vssd1),
.VNB(vssd1),
.VPB(vccd1),